From e689697e58964f77f94e2fafa6c6be49ca02d4ef Mon Sep 17 00:00:00 2001 From: Loann NICOTTE <l24nicot@fl-tp-br-515.imta.fr> Date: Fri, 9 May 2025 16:14:56 +0200 Subject: [PATCH] Ajout premiere partie avec le controlUnit --- docs/.~lock.Sans nom 1.odt# | 1 + docs/Sans nom 1.odt | Bin 0 -> 55056 bytes docs/img/FSM.png | Bin 117251 -> 133177 bytes proj/AudioProc.cache/sim/ssm.db | 11 + proj/AudioProc.cache/wt/project.wpc | 3 + proj/AudioProc.cache/wt/synthesis.wdf | 52 + proj/AudioProc.cache/wt/synthesis_details.wdf | 3 + proj/AudioProc.cache/wt/webtalk_pa.xml | 21 + proj/AudioProc.cache/wt/xsim.wdf | 4 + proj/AudioProc.hw/AudioProc.lpr | 7 + proj/AudioProc.ip_user_files/README.txt | 1 + .../ip/clk_wiz_0/clk_wiz_0.vho | 103 + proj/AudioProc.runs/.jobs/vrs_config_1.xml | 15 + .../impl_1/.Vivado_Implementation.queue.rst | 0 .../impl_1/.init_design.begin.rst | 5 + .../impl_1/.init_design.end.rst | 0 .../impl_1/.opt_design.begin.rst | 5 + .../AudioProc.runs/impl_1/.opt_design.end.rst | 0 .../impl_1/.place_design.begin.rst | 5 + .../impl_1/.place_design.error.rst | 0 proj/AudioProc.runs/impl_1/.vivado.begin.rst | 5 + proj/AudioProc.runs/impl_1/.vivado.error.rst | 0 proj/AudioProc.runs/impl_1/ISEWrap.js | 270 + proj/AudioProc.runs/impl_1/ISEWrap.sh | 85 + proj/AudioProc.runs/impl_1/gen_run.xml | 213 + proj/AudioProc.runs/impl_1/htr.txt | 10 + proj/AudioProc.runs/impl_1/init_design.pb | Bin 0 -> 13707 bytes proj/AudioProc.runs/impl_1/opt_design.pb | Bin 0 -> 13442 bytes proj/AudioProc.runs/impl_1/place_design.pb | Bin 0 -> 2853 bytes proj/AudioProc.runs/impl_1/project.wdf | 31 + proj/AudioProc.runs/impl_1/rundef.js | 45 + proj/AudioProc.runs/impl_1/runme.bat | 12 + proj/AudioProc.runs/impl_1/runme.log | 315 ++ proj/AudioProc.runs/impl_1/runme.sh | 44 + proj/AudioProc.runs/impl_1/tb_firUnit.tcl | 283 + proj/AudioProc.runs/impl_1/tb_firUnit.vdi | 325 ++ .../impl_1/tb_firUnit_drc_opted.pb | Bin 0 -> 37 bytes .../impl_1/tb_firUnit_drc_opted.rpt | 49 + .../impl_1/tb_firUnit_drc_opted.rpx | Bin 0 -> 1631 bytes proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp | Bin 0 -> 21007 bytes proj/AudioProc.runs/impl_1/vivado.jou | 24 + proj/AudioProc.runs/impl_1/vivado.pb | 4 + .../synth_1/.Vivado_Synthesis.queue.rst | 0 proj/AudioProc.runs/synth_1/.vivado.begin.rst | 5 + proj/AudioProc.runs/synth_1/.vivado.end.rst | 0 proj/AudioProc.runs/synth_1/ISEWrap.js | 270 + proj/AudioProc.runs/synth_1/ISEWrap.sh | 85 + .../synth_1/__synthesis_is_complete__ | 0 proj/AudioProc.runs/synth_1/gen_run.xml | 135 + proj/AudioProc.runs/synth_1/htr.txt | 10 + proj/AudioProc.runs/synth_1/rundef.js | 41 + proj/AudioProc.runs/synth_1/runme.bat | 12 + proj/AudioProc.runs/synth_1/runme.log | 540 ++ proj/AudioProc.runs/synth_1/runme.sh | 40 + proj/AudioProc.runs/synth_1/tb_firUnit.dcp | Bin 0 -> 7730 bytes proj/AudioProc.runs/synth_1/tb_firUnit.tcl | 114 + proj/AudioProc.runs/synth_1/tb_firUnit.vds | 550 ++ .../synth_1/tb_firUnit_utilization_synth.pb | Bin 0 -> 276 bytes .../synth_1/tb_firUnit_utilization_synth.rpt | 175 + proj/AudioProc.runs/synth_1/vivado.jou | 24 + proj/AudioProc.runs/synth_1/vivado.pb | Bin 0 -> 73145 bytes .../sim_1/behav/xsim/audioProc.tcl | 11 + .../sim_1/behav/xsim/audioProc_behav.wdb | Bin 0 -> 119389 bytes .../AudioProc.sim/sim_1/behav/xsim/compile.sh | 28 + .../sim_1/behav/xsim/elaborate.log | 11 + .../sim_1/behav/xsim/elaborate.sh | 22 + proj/AudioProc.sim/sim_1/behav/xsim/glbl.v | 84 + .../sim_1/behav/xsim/simulate.log | 0 .../sim_1/behav/xsim/simulate.sh | 22 + .../sim_1/behav/xsim/tb_firUnit.tcl | 11 + .../sim_1/behav/xsim/tb_firUnit_behav.wdb | Bin 0 -> 67691 bytes .../sim_1/behav/xsim/tb_firUnit_vhdl.prj | 8 + .../sim_1/behav/xsim/tb_firUnit_vlog.prj | 9 + proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb | Bin 0 -> 1474 bytes .../audioProc_behav/Compile_Options.txt | 1 + .../audioProc_behav/TempBreakPointFile.txt | 1 + .../audioProc_behav/obj/xsim_0.lnx64.o | Bin 0 -> 809360 bytes .../audioProc_behav/obj/xsim_1.lnx64.o | Bin 0 -> 351296 bytes .../audioProc_behav/obj/xsim_2.lnx64.o | Bin 0 -> 395784 bytes .../xsim.dir/audioProc_behav/obj/xsim_3.c | 1437 ++++++ .../audioProc_behav/obj/xsim_3.lnx64.o | Bin 0 -> 96880 bytes .../xsim/xsim.dir/audioProc_behav/xsim.dbg | Bin 0 -> 90072 bytes .../xsim/xsim.dir/audioProc_behav/xsim.mem | Bin 0 -> 177487 bytes .../xsim/xsim.dir/audioProc_behav/xsim.reloc | Bin 0 -> 301307 bytes .../xsim/xsim.dir/audioProc_behav/xsim.rlx | 12 + .../xsim/xsim.dir/audioProc_behav/xsim.rtti | Bin 0 -> 1191 bytes .../xsim/xsim.dir/audioProc_behav/xsim.svtype | Bin 0 -> 140 bytes .../xsim/xsim.dir/audioProc_behav/xsim.type | Bin 0 -> 8344 bytes .../xsim/xsim.dir/audioProc_behav/xsim.xdbg | Bin 0 -> 83672 bytes .../xsim.dir/audioProc_behav/xsimSettings.ini | 50 + .../xsim.dir/audioProc_behav/xsimcrash.log | 0 .../behav/xsim/xsim.dir/audioProc_behav/xsimk | Bin 0 -> 1280104 bytes .../xsim.dir/audioProc_behav/xsimkernel.log | 7 + .../tb_firUnit_behav/Compile_Options.txt | 1 + .../tb_firUnit_behav/TempBreakPointFile.txt | 1 + .../tb_firUnit_behav/obj/xsim_0.lnx64.o | Bin 0 -> 383088 bytes .../xsim.dir/tb_firUnit_behav/obj/xsim_1.c | 714 +++ .../tb_firUnit_behav/obj/xsim_1.lnx64.o | Bin 0 -> 46472 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.dbg | Bin 0 -> 36976 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.mem | Bin 0 -> 84391 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.reloc | Bin 0 -> 142624 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.rlx | 12 + .../xsim/xsim.dir/tb_firUnit_behav/xsim.rtti | Bin 0 -> 633 bytes .../xsim.dir/tb_firUnit_behav/xsim.svtype | Bin 0 -> 78 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.type | Bin 0 -> 7552 bytes .../xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg | Bin 0 -> 31264 bytes .../tb_firUnit_behav/xsimSettings.ini | 50 + .../xsim.dir/tb_firUnit_behav/xsimcrash.log | 0 .../xsim/xsim.dir/tb_firUnit_behav/xsimk | Bin 0 -> 319288 bytes .../xsim.dir/tb_firUnit_behav/xsimkernel.log | 4 + .../xsim.dir/xil_defaultlib/audio@proc.sdb | Bin 0 -> 10176 bytes .../xsim.dir/xil_defaultlib/audio_init.sdb | Bin 0 -> 15192 bytes .../xsim.dir/xil_defaultlib/clk_wiz_0.sdb | Bin 0 -> 1735 bytes .../xil_defaultlib/clk_wiz_0_clk_wiz.sdb | Bin 0 -> 6162 bytes .../xsim.dir/xil_defaultlib/controlunit.vdb | Bin 0 -> 8578 bytes .../xsim/xsim.dir/xil_defaultlib/debounce.sdb | Bin 0 -> 5884 bytes .../xsim/xsim.dir/xil_defaultlib/fir.vdb | Bin 0 -> 10465 bytes .../xsim/xsim.dir/xil_defaultlib/firunit.vdb | Bin 0 -> 10398 bytes .../xsim/xsim.dir/xil_defaultlib/glbl.sdb | Bin 0 -> 5663 bytes .../xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb | Bin 0 -> 20280 bytes .../xil_defaultlib/operative@unit.sdb | Bin 0 -> 209383 bytes .../xsim.dir/xil_defaultlib/tb_firunit.vdb | Bin 0 -> 7630 bytes .../xsim/xsim.dir/xil_defaultlib/twictl.vdb | Bin 0 -> 40399 bytes .../xsim/xsim.dir/xil_defaultlib/twiutils.vdb | Bin 0 -> 1892 bytes .../xil_defaultlib/xil_defaultlib.rlx | 17 + proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini | 490 ++ .../sim_1/behav/xsim/xsim.ini.bak | 490 ++ proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log | 0 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb | 4 + proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log | 0 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb | 4 + proj/AudioProc.xpr | 312 ++ src/hdl/controlUnit.vhd | 46 +- src/hdl/operativeUnit.vhd | 5 +- vivado.jou | 92 + vivado.log | 1056 ++++ vivado_pid88932.str | 4534 +++++++++++++++++ 137 files changed, 13482 insertions(+), 16 deletions(-) create mode 100644 docs/.~lock.Sans nom 1.odt# create mode 100644 docs/Sans nom 1.odt create mode 100644 proj/AudioProc.cache/sim/ssm.db create mode 100644 proj/AudioProc.cache/wt/project.wpc create mode 100644 proj/AudioProc.cache/wt/synthesis.wdf create mode 100644 proj/AudioProc.cache/wt/synthesis_details.wdf create mode 100644 proj/AudioProc.cache/wt/webtalk_pa.xml create mode 100644 proj/AudioProc.cache/wt/xsim.wdf create mode 100644 proj/AudioProc.hw/AudioProc.lpr create mode 100644 proj/AudioProc.ip_user_files/README.txt create mode 100755 proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho create mode 100644 proj/AudioProc.runs/.jobs/vrs_config_1.xml create mode 100644 proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst create mode 100644 proj/AudioProc.runs/impl_1/.init_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.init_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.opt_design.end.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.place_design.error.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/impl_1/.vivado.error.rst create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/impl_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/impl_1/gen_run.xml create mode 100644 proj/AudioProc.runs/impl_1/htr.txt create mode 100644 proj/AudioProc.runs/impl_1/init_design.pb create mode 100644 proj/AudioProc.runs/impl_1/opt_design.pb create mode 100644 proj/AudioProc.runs/impl_1/place_design.pb create mode 100644 proj/AudioProc.runs/impl_1/project.wdf create mode 100644 proj/AudioProc.runs/impl_1/rundef.js create mode 100644 proj/AudioProc.runs/impl_1/runme.bat create mode 100644 proj/AudioProc.runs/impl_1/runme.log create mode 100755 proj/AudioProc.runs/impl_1/runme.sh create mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit.tcl create mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit.vdi create mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.pb create mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt create mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpx create mode 100644 proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp create mode 100644 proj/AudioProc.runs/impl_1/vivado.jou create mode 100644 proj/AudioProc.runs/impl_1/vivado.pb create mode 100644 proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst create mode 100644 proj/AudioProc.runs/synth_1/.vivado.begin.rst create mode 100644 proj/AudioProc.runs/synth_1/.vivado.end.rst create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.js create mode 100755 proj/AudioProc.runs/synth_1/ISEWrap.sh create mode 100644 proj/AudioProc.runs/synth_1/__synthesis_is_complete__ create mode 100644 proj/AudioProc.runs/synth_1/gen_run.xml create mode 100644 proj/AudioProc.runs/synth_1/htr.txt create mode 100644 proj/AudioProc.runs/synth_1/rundef.js create mode 100644 proj/AudioProc.runs/synth_1/runme.bat create mode 100644 proj/AudioProc.runs/synth_1/runme.log create mode 100755 proj/AudioProc.runs/synth_1/runme.sh create mode 100644 proj/AudioProc.runs/synth_1/tb_firUnit.dcp create mode 100644 proj/AudioProc.runs/synth_1/tb_firUnit.tcl create mode 100644 proj/AudioProc.runs/synth_1/tb_firUnit.vds create mode 100644 proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.pb create mode 100644 proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt create mode 100644 proj/AudioProc.runs/synth_1/vivado.jou create mode 100644 proj/AudioProc.runs/synth_1/vivado.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/compile.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/glbl.v create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/simulate.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log create mode 100755 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log create mode 100644 proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb create mode 100644 proj/AudioProc.xpr create mode 100644 vivado.jou create mode 100644 vivado.log create mode 100644 vivado_pid88932.str diff --git a/docs/.~lock.Sans nom 1.odt# b/docs/.~lock.Sans nom 1.odt# new file mode 100644 index 0000000..2badea4 --- /dev/null +++ b/docs/.~lock.Sans nom 1.odt# @@ -0,0 +1 @@ +,l24nicot,fl-tp-br-515,09.05.2025 16:08,file:///homes/l24nicot/.config/libreoffice/4; \ No newline at end of file diff --git a/docs/Sans nom 1.odt b/docs/Sans nom 1.odt new file mode 100644 index 0000000000000000000000000000000000000000..c39e09ec508480cc8ff9dad8856d41a7a6fff7f7 GIT binary patch literal 55056 zcmWIWW@Zs#VBlb2kSSal6?e>tN1cIz0fadi7#MOhb5lzy3sMsc3UV@&6H7Al^YqK| zQuOi@i!+P$@(WV)Qu32ab5rw5^h#1IN>B}BKmsxh3=Gcsd1;yHrA1(4ijDLWlao_( zQi~Ex@{9Cw>y*c$Gcz|aJ+)ZhDYGOuv7i`_W+^P1OY-w`3KH{DbMWYsz@jfLCqJ<y z6`xbF*;ZVVSW;S?l!#9sHrqh1#IFlmkQNl>rx&Fb6R;1PI}7p)N(*vR^GZn!6_6H$ zJ2^Nw!08An<{7vc7#NC6Dsxhc^(u06-bP1GzHKH{cRzk%lGg8I3pIM*NFIG`Q=z^4 z<gI(FZv38eXu^UP*+~hE7oNuNziZ*~VUmS=P<4-dkl-(u#lM}IkL>yVG2+Q)ThU1$ zKk0R>e|E5E8mE8c&Yj%<etup4LG8!Kzw_^X)Jf)yU2bzzbW6y>kmYkuNpegtn(MJM zr2Jsm$}Cye_QMZfZP@cDNx1f}sZ-R77lE#gPw#b@oNeDB{`sfTEf>u|l{>~&KhAl| zY+ofYr6WH-U)i^Gji$a^$Z^4fmJ<GZ-p6%?4{Cj=Q9os>KIvqsCzsZBt;o+^)AF`u z&#zvaoZ@Dr*R}G}iPxvaTyou?l?c}|E&6wUd4J#iH3ven%l6t-&-r{yhD(A^eD3QL zfAZ4Iu3id!mU!%Ni@0du8@u;XwsW>t3HSsC>X)25)&8;7Eo<+ECYf`7n)BRGJg%HH z=c|fhWsr7$#Ok>xOoL~+m@Ky_lsp<<IQN_e`^((Js%E9VDK+6vdd{&oe_Tv<Wpx%h zx%I}br3d?G>#aXo*?DH)maIQJHP%>7t2DDc>W~+BTV=zS=f!W#F8Tc9^4r?Fr@>?2 z;*}B0E+3t~QeRuE^w{}Om5igUtHhJ{&)g&O`>cnmO0<%iepg!FdM>L9%{_00t{t2= zhi%E@d`HolT0MLA<(!)zARxBUhIikoqVPMxhLw90xnG959?N)Vw2bfG0`)oVtvr#O zCyuP%s-Al#(lh^Z4BPv=d@~Dg$Qn<&V6fCv@BV({x+{l`KTDsz$0{%B<K>?6t#i7~ z499;K*P5O#-~HhHl1HD~`Q}UVr0HHgA)lAQ`I+I1R)v@A&7_qxyb_L|yfJZ^qsh&U z`b(w+%Q)}j>^sRkx#+}tTS1@0hm~WPr`}Y#KcTRxxJf+4AR~D0?=zy;C(fw-@$~&> zeR;RJuZ{dV?|5oO?dd76UsxS9iT8x>hYgAI_U!X7P^l~Qk28piD7`%W%Hw~3y|2zV z$}<1ig5y7v9D9x(INvw>a?@;gFXK(8-%1|J+%_+dW#u*<PMP(8Wy2bdglMp(^UawX z(qtcSBdeHi_M!6Aug$fKWY28yWmt0V^Q=#0g|k%~1SR9;9YRlNFE!l#MDgD?r6TX3 zI*x<Uo}m?cxSxfeULv6~&&q|>x|E|=lQ-2>=tbZmUGX>4$LF#{ikqj;{BE&w$$75m zTOn(0uQARwJ7Ia|@qsI5CbCIxMK47iU0E)E%yW&NJi%VY`shVA6<Kdh^EE2#w;hqZ zkavgMYe8qbY7*y{fHdv)e@xeAhbNv=;8J}x!@TdICWGzqpdH*rf~$Uqo!V%$bjRt( zCoj)mHM?nc<7Op8_2cTHn;);KD!%K<<)~G7c5C5mFRwQ%X7jp#<_doJd)oYDYmt=s z%e=qwzWBH(s7gouX_~v4!4yv02@dT`SYG^ndEIJBh@-TS9fQjYPHA&1Gv^l_9+o|< zyy{Wqb3XpbYK@Cfz9#7YcU!5*>xs%WKkjVr{hR04|9Hi{j|-le7rv+n2;RQtwX^T9 zpT!q+3b=ijIrz*KJb3d+`_l(ZMZ2ezA3Vgs(L85GeXjr2Y167^9-gzL;o-uvkYw+3 zTw&*PlRk#*HVU*}EU=N&iNUea)jmA-?Vj(exckypSFAat)lm}seSPYO_-M8|rJ(k< zyKh9cD6HZ681OP^%lt!E{qlC}q)n~W^LX15vT2*iiHV1AYTFb{oR{cabox$K2e+Bc ztE-odyYAhwsrc>U_jii<@%z;UT$6aRS<L)Be#kuQV*L?wc;SQgzCwwY3(hhx4PEi0 zZI$l45<vq!$#1-~7X4;=I$vla+u{Y!H*tn>UK7mT@7T0&-~PZ!RRSif_}E@$KP<R0 zRVeXT`VTJV{kqTg-~If><jFPF3cU`7ik1nXH(ti*mn^^BbH8}$2Q!IT3o0%uBr=I| z+$vXjUnclf>4n(JE4o4_`JbrhCqCtP^>XrN=Dqj-Ke%)B`fIjuH-$~50dHq+TjOuD z!Rq5i;g-eb@A?zJJg|{t+<t9+)Fa8|r`%4y*pk)vvD5TIe{gozAE#XYtK2z1LjC;W zT+h~gDt`6#<Wh^Mw(I%Jr!CAY-8Ln6TR?N+o?mrUQ|z3$zf5^K-*K@_sGmba*fl-5 zxm)WUF6}x!Gjrh|hcB5wStoNQsh?18yu0XoaYJnV6NB&*zy4_47MYv-uC3CJ@0;@9 z)soJfFWx?EI5(+K=XF|Z=gg&i9RHuEoZZ59ZFY$7_RF*8l-VD4{QslL^Z1s1n?1if zaelJzVU7Rcry{~A)L2yU+t9{%{=&TGzp+mYeW(1?E85suweY;s^xI3n`F^-E@1>#n zA;04@*7w;xD%^YEZ@>3+qgNY0<~(t)U2FMj_nXX@-@=REYwxZ!c~}<_uA@0wSA3yW z=$2mD-)DclcqjMpzyI87k3D6c{tuj+4~vOS2)k&qX6ng*zWw`>j@5E-Ep!ubE}Fhc z@rcN&#&FxF1J3FE&t_G}E6=<TWIy9Y;O<9XV=Z<?UaEUhI_HPqOzx}qxc(dtXyQxu z`);!R4V!c!gTXoO@Q(agj*`5uMv3gHuDi9LPTrC7>khxcy6*+A@>)*XPDm8LIps%h znMZE>(O1`+C1sxcWSsWyUrLpwMPv0ti7&h>k}uDbm~b@P;TB`$KDH&Y6JB3$*uuQ2 zir2$hAwQ1!65om2Ac3OKQWMG?^5U5<WuAHV-(4_s@*jh#F@c?XE0393SD#qPxp1%M z35)O_)f!0~_i`KbTYOWw|4^FE`UOj>tmf~DSEn1YbN=D#{&i=v>zwx`{FyWREKjt_ zmb@}l>ScFMb6anJ=Cb(TiBG?6v()8vJ+76xXu@TmNGWaMh4KN9{rK~4PFIYU*nI!r zo0Eral5-7boIk(mDEskHN9!}Q)*hWI^ig1UfA_n{3o-w*=e+2CTJinP<kMgF7Z+vZ zeRL_d-Ff<%M-%&@dGkN=MxL8Ko%Q!Tz5912aW<V^rx2<4Ql$HO>_KUP*-3?0MST>+ z&$D0U*!PlK@6n8T!guB|<eZ6@{vtkUp6FV|+>qm0qLZbWi~q9Z^PB0vE;(w-|LVhI zgDbM$PVNQMXG=S{6fv>n$Ud_Vb(P^UD9zh$`t(51pVL!ZYfR@K|Mo0a_|EqkN8et( z9VoIvjUgrE1nXh(t73dR1DXs(OY(oX=Y6+6+@ZYJF;RBbk4a||N+KpC+ltrzOjgP( z%`5wH=}5q=>C?kPE}fDz3Y-;x_VMgvd#dY&?*(^sK69T}A;<q=0?+&{JR41RUyoI& zJohTwZqmPO^{EE~n%AVo91wePEjQHu*vrHIC3?(va<)%-V9fDX`IGYl-fyC&J8${> zd24j6DZO&-jY5{y?@0&0-}KIU|25OS*5r?Q_WS?50p9E!f6uk5ujFK4_-w|&5CHBd zU~Ay<fI13^d6{Xc#U*-0DQRaXpUrDF5NLUC)0%qjhJ!vUYmvsOomuk_n6^c*>VKLg zbZ=kZ<gmact6t5&@_3z_@%_Fnv)?Aloao-P;$VnLvZm@OkBqG^wXJsR?Ob}>>eh{I z+VZnxKV~&Aov*d4JWtCqep;)pIZw{{&5JZDW_`Bt-=lQ!szsp(&#^6i9Gr5!PMKey z)JR#Ld&HR*9$4~v!Gy~13bx&MtyV7B#Fe-$Xm9&Ag^7(0y_`O$T1uK_cKLZ9HJ<i4 z(DLBIw~vk|?7iH)$R^=~cHj9M_d0l%J&k*?cf-4w=KD2MGpgPtSCw8q`tiQ<l!Q4( z@BClwwyymD{GZ<W|B{c^Zz*%haX7a){nv!&TRzE$x~~TX-<IzgmslAY7@C>!1s^v9 z14D9tUJ0lZRgs&sH}Y=L?H!`~-UlaK$y`2{)AgiRQuNlT-6`MJ`|XO}J@cLui$@|8 zQw+nDCoylc-`tJ6_Pw_0>g+F`ReTOXQ&hD|-l&LgW1KVp{U7EtM^~5Xotz}Cy=9k7 zPy1rVhguhXR=g?tuzq&_qRj_a-;~!Ynbp<eKhy8j9BpycD9azd4be9<linVQ<r6z6 zdf6gwZP?+fDJy)%?*B19w)w=zt=;URrD+nA+gJFzE%uyqw8u(Hmo@(H#%B@wF?AD? zYwGIceqV08(#3c2@sIoY>(@<YJ)fyEr8Gpf=JZj!vsVR{-+HpG`JW2kaZ|&~mCI8W zbo>yyb0gsPkq#!CNGa2+SGcT0O`ey%nzT}H$Ev&bxxxOm7Y^~QdUv{aOYXfnyIkA* z&p+B;@S=Xt-c>K1y}n!xQw{K*e{EM_J5S@HZ|6Q+tl2*8>(wpWjJ!-!GU5W%pMBbQ z>1yCwZDkpA-xW&(<aY`4`_Fce-er|_sX|2Am)o;Q#M3GD636{XVoN8^>rgeEF2lS1 zV}(WDDej*O?r4?IT%&m_WI9(CH`m@z^6}z#IBXVO+U#4dvgL2u-L-FIv;FTpD~q<7 zpHlYS<n@;NF2?(wizhq1=zo5r@=fP0!8fb9GZ(sUYcw)W{CiV<&Dm_Oa+c@aH$FH? zUtjmBbm=NZJCWeE8;@p8b2{9-=9;Vf%iF2fthb$Bvv|uSR@1$U-*lEA?s2QpirZ~_ z(0zS*+{NmO;&&PGX-jfHd;OIZ4LiD0b)jz1)Sq)^-{WL4E?R#k=%DKB>Ec$W-u_+U z@J?afqnuc7wdTlLc8=iV$IiNOl{acE<?NU6UotnACE=_YXZDdo%N;@qOUnf-S5K7_ z@K`a+MEujYq7Ug&8Natzmn0TDl({I^PAOz<bF4pO`q=I51d&6k2A6|2KFbmK&Q|6- z^(`~c%PNM=+KF!!W4yCk@*Vga*px2E-I%a}-C}WZ)rHL3gL^OgRr^o4ZvSU)%>H*Q z<?}c6KhInH_~+#X)rY5tT;%ytrEo{+d*AzX21$F%N$Ow3!(#tW*tTMS%}TbdGame9 z*rqZ6OlM*E=hfdbUoO}*$3sbf`OB_<&-+a6`=nz<zcoqCl8n-M#eCy{`}BC1#@AB! z^n0YtR<J(atEshMZt-K5?J8FpZ+CU)FmBFTr@GqO`pbXKEoC!AKkzJE-qU+az4zA( zJKxi)jyWvNU8|yg{4=~Kq7om@@?zUG@xC2To~+*Ca?rHnl>W0RQGW!thp6n8E#5p` zJ0p4dL3SQ%@0&lKGK;)(eYE1xVbAYdFFi~9#FDUk^26+z6KCz!_<ctB<iscc%>r&5 zyVbQcx4<Ie5!bv5!4jvCWuH&HOe*+)rJ!=b8?jp*{Zjf9b<0i$mp44v&=MoDn0MK} zrf!=f3KJJKRCD;>iFfn-*OOD<<9_(^<;yDnUpG9c&el2|qwyz)Iq+xj)^_<tJTa}& z(QTWrX&KL1eXUCGv{}8|XPJ47j|06IU1587?Ce|frI$Xv3V34jc%oj0(w(=AW^-~3 zoL>2D5&yiS*d~O%_-x*6*0eWo4(;#I{lD``mUY@U^UnK8hr}k`bK6xCq`|i_GD$+A z_HUubwD(JO)^eM>9{Hh>X#UlsW{$|3E62Gih2x&~Py5_^!b|vA&GygT2QC^m9*T6l zYA5>T%1wXO?f>S@<9f-X{`h|V>`B+H=5w#(c+9fO?BTU(0b3?KSW@D|wR`907Hb<e z3!zPI8m|~K6uMfr?En9bYv}{y{s)%AnY(s2r%mMeXBhQLNHc3OLrm-C>ZCl2zXIj& zN^Tg*o!K}2$+Uom&~@8)%x|oV_!v{*d?1YJ#l_AG9V$|c%TFkn{Xc2xd7)r~EBE2~ z6YE#lzFBf%spGsUT<zDkFWr1r)^5T@{ZIA#|8U8Ayy_9=`kIj)b$Vf-0h4d~j^w%@ zyI%-i`q7z@CcZCr3EMX3=NXfK-g{rZ+UsbY@w!REQSuVvJ8v`jec<-m@%>Okr{5$S zodue`ZO@lK|5~LgQFqO@vHWjFOupW#&hIrpE*V#~$}fCwI45ke)Z+ZIBlS<`=<)bJ zOi~k?Utnlr_eZ>LZ;jo`u;t4aZx&B~KKbK@2BS3*%yHTU64$EB8t*4o+O^-V+v@6Q zQtGhs>eOXxoThfq2^XujC=`5UeXr`{!J}nP%bs)zIfR`05OwU7f`MA`JEb)`XO^l? zWtyj1&}P%q{$9@G@4wyV;ZH<=GFGkdSh%6>iE;6(;1iGK4n0_BQ{DdIWzb>gp5>Z8 zmx_wry{2%svYSt0Nz~S`Y0Eb?&|er3AE3STQEB2KY3)018)ZV3yjR_su;=!jz^T~_ z7c3CX3OpccBC5bF+MuNqE~4qGCo@g&l+}~1iTc%jQv_|MeLG}%@ZQgR?%H20lvZwU zWIq}juQAnO&6(TJ&pg{dDS!4uLx;!hOjZ9<&i?UUP~G@KZuUQmjCHJAE~m@c-Mn-1 z>|A;40^KiS=T*0T`{vLjw=YMjCD6bv<&J`9u-6msh(DhkITvxyuzE0EwLVID`?RCh z*LPPue<oi3$N%-4|Dc-qefIg>Kz0U(RkHYMV(^G(YDpq!oT_*7!Ti|<BFEnU))sgx z+QX}oz&N$+#>&fTx>L)#WqwcH!7MEqyy<@(ALFfMmOhUf?*Fc>`)B9;u^>zOy{M1= zR;^kYw|(qg*@~gDzx;RSJ3g}yiRb$JN5?W?;m-?An;f~PRr;-}ijr2Gb;&;Jc3{h~ zLZ0ImSC+Y{bR~r-AJpNFQ|&4Lx5lE|yWXHH>)PpgTGyQXb(imA-rBPuUuSM#X(ac) zzE^CQeVSR^FC2)xqAZiNWy5l}RrcHc+CJO32bVi;y{27Zcl7A{BxT3xU%mWS|KDnm zZa#Cy{rjwPzpqYucQZTGr*9`?nylcCFAJ0eJ)2M1{^VOO^4!Glu+7P&ITLO4FYvl5 zTKrYHdqHFIOSPVDg+1FXytua{Okb(6q*PqK<DgG<sD1ep>x28B3C_PIANof>f5G|> zqPElZ-~3|ydM)>2^vS&|^KLF|Y<TT>^HRB`uj|cCRl1LMuHe@{@YeL!T?Om3h}?+s z7^&Mk4YQQPDkh%^tt+wFo^UO!W%|6Loqg_^3*SqWH?O;><6C1Ay~eTewEOH=fpR>5 zX1o)dsG{=FOyzrj5byH8?{hZaSGi}MbYHTztW8MhZoO=ZGLw<|&uz(#b~RcruH{Gm zWd<by^G!+BXBin7uHbEqf!eagsU;<udFkNc%A?WI<x-YBb@$^Z9<KJfv@G*b=4Iw3 zTeheaG^nKiPD^8{c)aoXjd=q9{(8-8Oxw9-isN1N1ieYR-t+BFKKpz8xr?lAW9g+A z{8KN7t4~$FFtKy#yqXnvc%zStPwfw{-)sJOW6uJy*&5yszmwVTJyw3l5r2K1n56Br zA5LkdXF1ekeY;s=&bpgUZi?FzR{gU?SL5`08|{_y_94seE#I0|YBqcCti6?~Z<bV5 zRaQpwmW%gGB~Rm@^rj}|;lu0d>giYieE;<FL-zGX(ak)}+WYn!oSl8@>LI@Fm+^b` zpG~Tl@#g2e`E11wpHp@r1t+$ub8cRGRcrRW-|wxz|ERg!Br$8trX7!;Yu*cV^VbSj zf4};*QknSe#*NP>{8mV|zpL@%&67t@w#@mUub_VU?BTlFs*m4l>|ZvoocUGJO}_bA z$P3OLqP)t<A{?<hKbbZEE!k3fc-G8jY2m|WFOwJEIrpevc%q!Q>|x_0uaDh&lkiZs zq|EyM*(Yx+QdgXd_B^H*U$^&vP~O+QmoImpKK=J;9{1Gk>FdACt(~mQ+Ri^s;oF0S zvP-^gKX&5g$=!d?N~f6jd{?_E)sX*P_}|?bDIJT#_U2}-(P#CLH8h`@FEv%Q%5(+y zOH~2Q>GuqteM|`4n>T%0$DWPR$LcmM&%Kq$z@@}rc={1*+roNgkKc#94=e0mr##30 zh;zlaSfBIBsRBW2O0$eLPP6h0d=p?ZaNg4M%{W<JGQ_m^n#1ba=Lrj3ZylHC$+{)n zdo4S`s&-Ak?Ty(!m7XWpt2(Y#`lrWb9#b<jbK70LN!OpTPtbVlxAqhFOx60!`wwrd znsKh?ywvnXD}Nv6Yu;Kcn#9B_XzRD;<d-nv_viJRCS_}<CEl}n6#eLDnXERKTfp5w z5BUzi!*+4oCjZ%W?HIfH4Th6XKY330?|RHIgGp|kWQ|vly?Xt_sN?6umIO;0cI-FZ z9%;l>#Ifj#?qY!%(lQJFq|Wnm<mNB`d+u82l*SV*;tSFfdBi@SDP6yJ5%bv{+vT_R ze0;Iw!Zk~MNvWu}{xTarSbpw!U%LOCG5?fx0_nz+EoE%Zb|kAZ*{@8$cV@-9nhAAP z%_mo@uSp0nJK=Uu>3-JAozW9|s(u_@bfzuGA>mVlp`}MlP|OOa?9|>Qj$ewOIObno zd}n@f(nF_Hi`Vl6OLYl;+~=sJ<YmXhBP_d4b?xUPKW?1}HrVZzK9TFz484iZ)~?yv zqWih>E{FBRS&32e1?E0p<{89#zWKzdE5fr=3%VApc(>%jnyjYm;6GnP)*ZjHLLy9i zX>*11tW}mPS61h@tEFUoYTD)g;B_BokJ^-wnQts+Snv55EIjQir`|&elcXgJtmTAd zzVu9XIa`tRjeY+z)`b?oL>2Y=9YQMQ>aM;%GP^0i-gNP@3xYXkxmBke`Dh!Vue{Ky z@2KgaL!F1iBH}A`*01oN#PR#YLMHXYcAPPNY=_P$hp*w$4EmMkHdk0rAlgZEnZ%q9 zUzVc7s+rG?q$9i*Zn@c1X_7xH_ocS~)0C>5gNhRs7ToZEe*H|PB_GGz4g<br8h<9H z*VU>&wiEj0`}N?<pR>!K=bt~dg}3V*3wLF>*AhM_qwJ<2`^8sOjZ6HOBt&0RVg4ZL zt=beaOJmlDBo&!Y7gPkZzg~Gaw@b9}i>1NL*yNhs-ZCBP5`Ko~woKrOkSnU_Y0WZx z6jVFQ+E(e7U&a;}wrRiEb3aASoEUKZsGN?Qj(6FPLjGfH_pG-xS<Mw@DUu7dR93xR zcro@?S5g*#qL``($Kn63xuHcu3IEl7ZfrOmvTXY0Ib5$-M(puUekiGRDDT-y<NJ;3 z0rkPv_X^WqTeHWC7H4V1tog8RA?H4~m+c4V9xh{h{wSf!PyBAfh0iMU9!{%ep7zhd zmcilPBnPJLDkbaIT3^~&y7cfoBjGnOv!fr+R{A=VRqN)i#q}}ala2b`+9*G=>$s6| z^wJEsi>Hr;FlQtOS)9MR?g&rS{P}*bUM(}~JDlLFdRgSryq=8Oh)bJ4TxN4JVT_GE z*{P;?ATV@sM$dvq^OuW+3oCRv7KVg3)-v+MIL<7O-9JlEdNN~lmzP+^|3e~sI_{U< zKk({8`I}TJ*|0x36HkBH%CRZz^%?1f#tU~;-E%Q@Y0-AF5o4aX=--3%v|H<x-8QH^ ziFtdBZ{2D67d*-W`AX9{OrNLou56wAK7`+Lhj`ee+ijbBxA!{spEig+<F%rHt-SOs zhZP5ebpI){y4>ZrDN5;Mp6Z`a%gk+ku6Mzra>*4c!H+*(Hnv(NwyOC`<I+cqHd!q{ zaQnQ=n@X;{nQtd-UO2Nt`cL^U$*Ha%WY;(@{(C6y>8S-ZVclzQPI33YoT2_$K5LiM z*$%TO3%cso9k@FyTcX?N<6N!in<ly0=aN2Ms}akzIMjA?M@HC2h2mGwuP<(3j4#+L z<G<RZpv%sJ<0(_Fsnr*!Iijk}+gP2~l$FXp=QX_Io_$?J>y(Ii`J$#p@1~Wc6`p%^ z@X_LJOP?hwx2GBloi5ceE>CD%`l3GkDC_SXj47vX^G8j+S$5+8+JF@GIVa5{R;SfZ zel|h*OJmqy&Nemv`-gA0fAcnbH#KI?UQ65i#p_l4^RD>FI8D-87w50QG($YX`igl_ zFvqj{lFT#FI<Z{^S2&yxer5Q)@>>$q^XKeVKcxBIg<b!r8hZEJ|Bb8b89~**$PSZF zN7)$|8sS4(usQFW|F+qEi(y~@VO<6WhJeiElG38oVtqpcFn~~ICdN>nxw(ObsfDGn zp<Y2=dS`&2J1>_MXpWQD)59f*fq~7Lfq{{ogN=cKLH3~GKL!Q`22U5qkcv5P?pDWy zl>R&Z@jFLTQwPVI1xuDy#_C7T+Lo%Fks7&7t?Z(n{pzbZ+Mk1F&)%AwwtLp>n|s%; zUE^bHY;Jt`b=0)Xd)xM0SysV#u%S^>#D)FY_x7X)<HH8x&v^FCv-3OnbKYm$`Nek6 ztIrkeD?VrV^x3mpXVW%s+Prz{+_`J7TnXWwxi9vqUG=Kz@VVKh&}IjAIPZafNgIsQ zVAXq00LBSeeo>MM#(A~n!Yj2C=gzI`wSWmR{AsdwhH)I`hP|Du*xA{+Rgj%wLEpyP zZ>QFo&-7vJVqti|9oql;gYCJg3=9koXH!yBHTCq)t<7BhmWPpnfuZ5@j)?d6C1xP@ zzaz<;5C$Hbs|ey`t$8IpH|vv#Zu;k4t1e%DS{bx_@$%4*Qw$gw7!-CuoVdWvXIZf| zyG(C!`Mp=KzLZqnyW;sA<i&vP7r=g4;AZnyqhR~tC1yXA`?_Rx`=&iSd)EYP{IgE= zc@tD@e?FN!sUjWJ6JX$<;WM>;mYv$_8jbLKoex&{g{Q6yF^~5>m9;6atoo_n#8r<^ zgA8Z*7kE!F=wtdjutx%t`>gnEDt)F|_pkkQJb6>h+UCWTS(g|eYt4JL4CK29bGu{} zMUNLkELnf$$)kON)=V)+pLWmCz9$%TdABdfJv){sxu2NqZ&&$EFjA=hBq#y`oZrQq zSpT;BuW4+Yg0*tbEkzav1_qYRt7H{J>z5gWI1ar(9?QyY{d-OzJT>(A??*@9?_0+Y z3h&gXbLB*>owTNXghZ@q*xlWRbEa1RvRL)Xioa&3jlZ?ls&nBV%dPA|w*N1_A{f*M ziPj$?;{QPImf(d%1W0~?-(s+RAU4C5%spUE!|aNGqM)dJ-~#cR`3%4R*F_$HVu;}Y zvyB>Pq!X%xsI+Ecg_N$`AZCK}uekgI9Mm8-L#Y(9v$)shHEqqle$7pW;Z@_4Cr`Zg zuaDlY=P(hhl!0M||Ejk<6PI}F?L2b7kYUARv;2E+3T%!~-*qx_AIOgk3=IwitBsH3 zZU1e}$M99;xHwefzh`0T9XrA}55!ySg9?2x{{D{VVU{X`{lg!i=wV=BIB@??jnB0G zhZzFm3u_?eF+9lMW6a{<fW&{d*SO{Q4TcZWefm(N{&XKtVdT(2@O#ntXZIdYDO@Ym zu%G3@kD#y(L6fWQFY<5Kz4Gm5_{x<hLw-9#4O(H!duQf}cMta)-R843S8zO=Ed1rS zq;%@m>2oFbyVk#+y@0Pj|3atn;~&TE|6P4szt3jhl$+mP&z&9p+I;W-MeDojY}@AC z`mW!bGkbye{GV>FdVRY4za3zyT7BhiJ;aWNi9f#1Rs6Q;(|oTj&wJ}L0$(xRy=wU8 z`p0jVON(#vtk`d3x$lPboaOgp@4EMzFL}8-w*N)>Ug?v0`k~LZuipCfN#(BB-)*mW zs4Xv@K2^T_O;F^_l=9Wlpfxa{gu0>Vz0iWY?|v>2*t+kN)Yq$Qd%rvqKc%O;y5Q^Q zFDBnEIlcW?(WbV9FJ!*n+tP;zF8(_0zB@D`YT2egQ>#`uSNm3EFTFR%#3}sCn?>4r zl}`Wf?eyhdes|3iZtIorKeT^+I4@aCTYK`}H9p7dVwUZ{U%R!c{MCYc&Hopq@An8V zjGS5i`rv^B5AHrV{J7gwF{}7yLaa<#z-qI9D<-F=2HgzIjr_gUPmMRwtKUtpUwdj* z!rQW`&;CSz-<rS9@A9>kJJ$Go3;zA}+zPX-tMBuFy;C_ZI{(@2gg0f?OAm^Fu8c}s z@|3kp{bShN_L?7l8*=17J^W|$<nZ^NeYGdtzh0iie}7fp?rSM=@lzx-D;pnV+GWW9 zd)htc$D%VHr@5ERI_C5H1Hb$2sow-G<~>smc)M8b&#xIeyH$2C*|cu^%Z0nGU%ycQ zf8q9h+2ETu+gHq)c}`^AiVa4tW%7%5tNC9sy?Fb}t1B;~=Hyu$r|z?>dE*}?J9W*( z@c0FbU&mdzvD!U5G1I<R=9aVS{XJ`6>aPu)cXyr9>F`;_=PUO9DwbaAH*3-CTko#! z`B;A?y4s8B-wla>AJ^Oc|NQmiQpek;OTV@%{wn-xek(jHd}d|$wYByOr(IWB?Vh|_ z`nc#^OQ)NvQ$stKtvMtj6K?ZUoaas1ZXdPar3dd$-o0e==Mb6anKLI&6W5sc%=wb# z=g>8qlPZrEJ~?c9t9|1IyH{+t+CN^f`*ZkaS!wIHhxYTH9KKrf<gj($xtH(GC||Vu zb8vEv#}@wjf-Sz8@{Nx(?dF`-{%J06`Y<lN<L+U{Ng=BqJu--`IqtkmF>U(4pMQkj z+TV2GbyAr-(~m1OX1?IAe~(mL+s#9RZhsaD`_p`Bv)B1!0vEIAEc;*C^zQd(c~cXs zkcYDW{p<go{wwwWh04-xoPi$?DxcDv+Eu>p%5nSF6}9K@Yb`8P{=2N$e5>wX$L#&K zYl2hD&R=hBY&^L7;Pd0t+1{4ie2{mEfA!b53xgu}MK3RP)itR&(3+J~{(i@z%k2xj zK8E&Q*Xz^Xccy$ns7>ItC;bOkX`PGSD(8Q?XVac8c{iEb4I1+A*X&WNnaUr2qWyP< z{8jE_H9MT_?mS=fZ`QN6Z;5Llyw%;7TlkZo9v1!da9`Kw>f$+zY9+Mg*G=I6UifcC zoWZlhqV>-Y%jWGhKJr*QIyL>7_Et~bb=TzI|D9`B|EhBDreE)^)=ykwyjO7>v;3=n z((+f-CAV=IANLZKTPb=^&y!Paw}{xv6>dQ{ey*J&kTm^`VAd@YmrYYo#)Yj)ekLQk zSyc1$U-hkz|9;w~BoY^q@<zMFq4sIwZWB!x@tCr;yQZJJRB!fw&F6jbtDAl(dhOh> z(PvW5%kEXS>sI)P#wjSxeJi<f`l>HiUJ8B->eK$(s;*uaF2BH5*Z9yUEx-3~((6}y z+O6_?t>N}5u=rih^;gf9*Z$~R{&PX?kM`|fFWc3ep8YmR@a<u9i~5lLa}rLlzdc;9 zl^1>M_|D7h&Y<j+`sHo#?bF=bYFJj)?l`?)KR|!~v5e`bM3(U_R(tiWJpW>JaeVmw z$?3<YPt{8Q?6vk}#+pWd-OH!T%K|?x|NiQ`@V+UrqU(a&%%+_ClcPWRih|42@@apn zWH&cTxgXb3GV%KRyl&<7{G62&w50oAPixp%aam1z%YLWpCADt)xiKqR=B6Fx^$R@D zG5ORfuc>d(6+S(4J~c~W@{&!9lIChmKIH#zQBO~jri;+=sZ|UAq{LnpUbp%s*!wZQ z?_X|P)j3ON@hg+3_d5!|>P~+3svtb}y!%nBxw+xx&%cMx6r3jR0}2au`FSPt!d-uV zkoEuU`1^yu-JQGZOjjNjPj!okGkDtk?cx5<l~><-{9aL4wHU<xzn|UK^*6^Jhi&}j zJFiPVdieSN+tB*%T_MTxd;H{WH@Ljc3CcP3GE>UDH*E2R$yS@*a_j2-b&(cddvWE3 z4O*wS&HwrK`h9Ktx&OZS_g3>>TycNj^6B&bE&eyf(&g?VKaJw>HoX}irUc#IU9Qa2 zaO?5e->PpGiof`jy({C|p6_2Dc1rYCyiqbWyqP+ErQ31Q;K?jo^CZ_D+WPtHt4YCD z_4nC-z1ZNJRb3_3r(HVxqMeOI<W+l-RehgX^Ix0Jyi-5jX~XK?nvj^V+MDa<akbT4 zS#ERf*~e9X($6WMV+BR~F{`+%>IWzE|C-`n|Gc@_^(+fWbf5kF%YJu+d43us{VsSt zS22B^__dl9LG7N3S(RtyP51d<xfv%hSxV#Ar^2dF=k{6ce8{_Z>%S>^KYnkPlDmB% z)Asq1&_YSyUoQ`a|6l3OZgOFJ{`o8M^Qz-#`>U=Dz05XmJv%dV^Y>=sxGR}K&ZTea zd#&c2y8iK5xaQME%d!+E`xsq)v*Xf+b~pR48`kA-jQ;%p-yS>NJzo6xumAqitN3Wg zqsukYK0iC}-aL8trswWgtm5%gSd%L6eRyuZIcrw`t5+pv_CE{G&Ha%fe@;?U{@poe zyR5MIqX#b<K?K%K;Qzm{)*^jL%?@k+pC5E@wHLdcYTx~N0{{I*P~m?2PY?Nm^YYf+ z%`wxqwvN7e^QM=t@6`z}Z=d%wP+jT}YxRGd+f*ip(CTmRf{KcYmKMIgw)XLlAa~1C z+XeUCP23({w{My9yE|&JrE%`}Pprs{RG9YM<ElhVu5o_xtL_?$S^YH?$1ZM)x$6Jh zpw6!5uH7m3nLbYz?=rg8{_*p{pNrGJ?%ciR)wb1(Z)o+Eo5}wyn6LlI@%M_zTGQBR ztAAz6A3M+fc5&L*%3V6;%hp@mi;Q`4xOU$O_XW4y%gVMrdh}?{v8ajh@{?H^u0H(H z<goHxbJJ?!{i`c&b&Esat$(`H*#GGLy?+ZrCqE5)sv|!yFF60%TK=Ca*45oQzH`5{ zq5PKqTMysKXZ0=n>N<0E(I>~>1z&axo_~Jzthb%b;pf`lpBeu8xo6kU6YbA`)P(-E zaXar``gtP%dDh_HCr+IzvdnjVXZvPe_Ed&Ht&h2RR+;JFSy1)laKwrBjT88j73GgP z+4Z#6NO1o&5c`y<1Cd}Uw!5?2_{c$BJ%$I|GVzc`6~m9&v*#-Q<70NHJ^GOqBG#~f z=l2;p^OBhl<RjXg`f`(56&4`)=1~3t(cO3RUWga}wA%M8Z2o%5+S=YY%Bj-YN*}QX zhR5*s?fxBTZP~oiwl}x`Pu~BSA;2H0iF>uIZOM`)SHP|CRr=n~e*|q0J-dB(>OCLt z+AHoL^l#1ikd<<ekAgW6Aqe?(sh$5l#YfLTosSQ59rixSetT<c$stJ&NFchMasPQ= z&aEzR@qP(I`LB`?QAp52!aOMc(?x{~(AGBt1H%tt<PL%{wvO@NH!Rv|F(L;(FkDgH zSFugLsr_`#?{6C?GBiX5)V+B2h8NVwd~ohh;-sllm+shMu|4e}q#Ff_set9IZ&+V> z9=@fW`GYmR{=Q}I;x6O<m4&O-URi$LRD6sXqzHs7loHC@QkKhJ`F2uzOZv5PzSEcQ z-;6yiXenqNxOz>r>E`L}f890;Z$0&E?Y~>P34i@Snn3tUHsfoajiNV0CPlBA%&N+F z+CS1iEZBJuo87L6X#Qub7JS&z_f+=6HS>2NuQxx{onrG+ckTVzYinK`%G#%0dno(< z<vqO;FNTB4UVGoy`rC^<$+~&hu#pcmL;-4YPvE*Wud$=CKl|PlvtNIn&3iR_+WHo| z>lp>JnXR-uxx)9q?Ed<&Rx#?shp)Rb4~mJZeYyPg`u3~){%&J%=R2Ug@a<Hq=dow^ zz1qR|bQ-G_XmL5n(T-;(=m(hZH1w@_J*`Xo&T8NLDW{exrRGE~GPk?6O31Toe`v6J z$)#tK+p|CY(Y4B&++}2zc~P7D>)glEfhwM!*KHOqwdT`ae_&P9;@e*iU09(N+_LKH zSO1rn<@-*=Ojv)XIeJS%QT@?f*7Yw~cfGy4*J{r@!`QH`SqxKeuF<-)Jw!Nv#ya1y z>II9TNkQNU&s9kw*}Fc6+4!z9d{&h*{t#Ar)+#=c_pq#A^p3=-X05!J&#)SsF7xm9 zN)4R;EquM3^_HTKb~|h3Js#!G`h3g6^k>GFU1r&<KCj>5tp8{EhEpcnv(B9^*ZlK0 z@YNNaIPt#d<)7v2V*U9$%aZ>|#9BU%wY^-tSM8pev-Ii^-UX{<*3L1Ho-ecI^b<dR zO;8{)Ffdpo&N!aZYRYr@toi*VyWh*L-mt;IbJEreTDQ-u&ia2W`nga3?eD9O_3>OZ zHkP}@E&cB57ybJedsbfl^6s2c>oK$7Cxx4f{CDjC^FllSg6PuKCJ%q|E|=f>_3`&p z(@VZ><M+H6&Uf|cw$NE09)+KpxMXVmb?N(e7G^513#eK1`e$<6-gVdWPF=j;Zo5KN z()7L^)Kv@zjN5h^FL7Tkx9j6EK`l+qi<kVTWj;M+;_Bv-^+5Dw)>W;O4{a)?<1fii z(hoTPk3XaGhQ?l#`nE~RD$)BwT_+lL<v#iM>2TfUn80a^PZfN;_ie+ERhLCxpU@XG z?fly-wR_fnNAcc$^%EZD{QRYQ{b=znn9U96C6^gL*>mKxh`jvr!|ldXrl*Iwr7b&? zws}&N>dMmD*YuvB{rN7|x4NY9((Ug-?=@ByoKAbIw>Kc1uk=pmqmHvN3m#wGAGq4! z`Q<r(RQ<1|ab^{l&GJy)nxU3`E^z9OS@-8H?SCh?XurJVn)G?H%Ga~uIke`a!Cb{k z*UD4Iv#+kZ`!nnIvR<q5S4(#6u#o-xbVc8vq9=1+KGv6Bcjcd~sI->mp0#YfJA8Ec z-(UUSI?3q%^=|nm83!KcXFofU*EMm;g^JSMTc%H%V<Vwc|COs≀AL&kmoBla$WA zUw!YbwttRi^rF&lj<XCta%~M*F=<_;OZoqEYqp;Lw|McoXWPX!tp8@){(c~{borSp z_V2Rt=RBVbO~?!Z$0e5;FDcj%E4{5&)l<qu!*zQ6KkG=Hu(xM!ti6<{_}oYSW@^j4 zh%J%r-2EqK?RC}v;(vWjwfyB%N}iiud~SEkymKfi_V=CXRxue<ukLX_JzsKV-??{x zEWT`0yZe2e*ZUtH_Tmv!x4um6eR*leClhz^tW!ItPpyB<^j7o4)pOS;Pqll^KWp2b z3u}LRm;G@T{=dzA`rogXe-{eh1rODMV)6<bj#kBnq+_oCcomK;*)Zd>{`U;2n<3`B z`y)F!J2y?gRr;V{mss7V0QEJln?!H^kz!}~zZo%txb<M_zPC&aEHghjo2Tc4EMY8v z#y@$@8l9s@kM1<}K9KLfVfwAmNzpo<r%vi#>n@)E{XJ8(f8eccFJIl>@aRPFtuNQP zOTW(H`L8Dp(hb5_b~Ti@UD@S){?)1->CCdws+WObg=$+RV!)}v9x{9g(!uZwV_0gz zx0y`FYht&XC7e!Ekav?m(Y}$*`Pt%_1&<Zvzt$<pACm%!d`eu?SR;`Q<+d$2)6R}_ z7z<@|ji|A*Ef*YgEixmjReAS6c=O(Rd2UGIGegt7t1h<bab@Q!z%B<7{w#0KO?b1V z`IcQ}^S3j<&Lvj<vfiC-sRWV(;Q(>gHO5E6Qi476Hu{N8vCy$kwT<-U{V4V0MN+Qq zjMYtt9$QT-cZj~fBY5rkY1;!nE!n=ME`QsnwZ}{T%!q6+-?O}WH_Mwe3D1YGY|Fab z%DGc`95!%-{+|6j^YA~L$hALD-Pq;u{d*@zNdU+?1_p+vfD8MKRgxY&o@KtZ_uAdd z!G(bzU)fY_ii`ZYx6f<Rp{x17l4^exxWAoKx!1}(f6<R~*RxJ#pWd3fU$?-q{rffD z@BN|wmuxt2l!2xD$Lud(-aOo7WA5?X^!>Vz@#WW&i<hqmuUNng&Eo<&JU1niijrr2 zxTY%_z9W40zLQgYT~FQE<dR>rB~*2iNd4+%elM1sHO{;K<Y#or6*bY+oJ)(s#a>w- zH(%tjQF!XKpZUJ?f6UvK`b<0ju1TzbmTKJJ9n=0!SjyzRudn9+#$`*7%%5lX(dul( z#^}hOVUcTh-rE0BewX4ipNWer4^?NLn#3w~eedFT5pz_%&vDsKdGU7})2mf07@M!I zuUHyaUA<dp8;|b%%?`VF@m&1ScPA2>A{V6Ls=Q?DdG{0?*2?9QUN)0EEz4)V*wj;t z^xm$I>n+Q8`lxo*$y$YFFGPCfr>)%|GjG9-Co_LpK2tkeSGs4B*xe<38)ZvhFXyZ( zcsGBljN(=~<H-7)k28aAn}&Wi3x9EMzy8&0PM#nAo^jq>m+t=GbAH<2HRVCVqO$dC z=bipk6U|r}Tk_ki>+HSdvaRWEPyVhbDfTXXAtrfY2Q+S3<PVp$wLmJjMV{a7m&^`! zd$lh5{gwZ*c2VX$Qm+cCZtn{>Eqk&!>VC?UxEmY7?U$XsnrrL7-(SIG+f1eY*;A_* zEem{-`IX!M)%};t^W{7D{c>As60tb^SzbV&uKVlwxK(#^f4^Skw{Vk;#ge8aB6q*m zUtcjdcl*8{->0A2uyY=J!)~XmI!ZCA>Rq8RcB{VZ=<;vVR=Q-+4w~x$In(iwLAYpj z$*YCZ`7#S9O%l@mn=&(OolkIz%M!M@iEF~vPLeq{dHYAzzluMZO5gl%nAYBV$@_D< zhNaV{r_rGzl8bM+ner^s33vZCfA5!78^j8;?tOCGJ2P?O(WHr~*Gs+{wyyMynW57y zf8oQ)>?>cc)n{G4cebkj6T{JPV}6G6n<=_l6ITW%o9fAn3avbrsH$ZZCwAfh$Yr3> zeZ|8iZF8nq%zO0samkN@wojkVoH}u4%FUdxpw%i{^Df_dy2tYV-qo4?dI43x<6g<C ziss#1b9brut5Xa6tOH{<hX44PGB5Jy%lBC!Nm~{zUMwnmH^g_*uGjxUT_@?>`giZ} zu8rmHv38Za>#W~e`tCK^GpmwehxY&DB~MkhTyG6bcRNyY>F36qxAs4;aCi(I<rb*n zxhy#;e9Cg0&5>_!&0W7O_tuKy<$hh=-Ip)M_q{ry?|yEk-M>u_z24pr+_-Skq1#y( zI!<5t^dYV`UPJS9?cN`beQY-Wwx#vGStjAh)f>LuyION4oB!%fH5)@-A6|ELN&BR$ zS|LFhi>j8!torP{^v3D7?3DW|t}8s+zyDbh@7JcaC$ZynWyz^EO^*v-UD&&}B17y! z)Wci;Us6wmN|mSUySA#m+@-mF)$xC&Q5%=5<ugGY&cIySCc?KZ`|!5)DxS@3OJ96C zU9aAKbk$tR-J!R1pUiowyu0UuaJSj(f`^8Yo43Dc{r7TK>HFlUNEJ`9+J6@`dZQ!O zWY7N{)Xu$j)2#0qKaG{IZdCJMeX1&c^1I5=X@c)F()}8_ine86yyED^HTlr3`1R^` zH`mTd7L)aoUVfp~*yR0drRkqnWbD27^ZNBH%dgo_)9M$tTVGOTvPg|wbzDt1WHI}t zDT()%ng{b`o_mtMWuwZ4Mrf_iVaB*$s$S{$j&1(;6g+>$KQWtWeAdgyW6Itu>zLaw zZ~ZX2)hf~UyI?T;y&b-H?-c}Y%<UKR+Z)Hde3zuhy_ndWCGRX3Zw|9vdnMF=zV+Wf z8(-PguQ|MG&bh@xxwA||V$*g?3J07|)v0y~*Q-{!kO)nH97T-pc{YNo)#_hMpIYub zT2=V&^S{`H*P33p&0=e%!(=MGX8)^NxNhF$hxb#Vr7S~3l=z2p6QasrM8=AK&sne- zY8V5<)*}Y*5py>m*pX*=(oUT{yLI;2wAA!;&``w6l`Ex;YJcD43_G(!qv0Xr3UAOb z`h8!u;07hf+=7|;yBQc9m;JeL_9mz{cQ`Qj=V29XZSTUu!o0JG<|;BY+)C#<v!U$n ztp?rMjWrUrpo*7gW`9v*Uvtfs-$+$48&b{OWF#-Q06d!ocJh@>Vk=*E28I>wZyF<W zx`LRt<;>}Z^!lBjA6`-TbE4wshp(1SbnCd<|M91a{MU-#KUqFjwtoxEm-zH2uzw<W zs6c4S&kqk&UxDn)n$NDT!{E>`^?%_JLz@qGema{@s8q6hFEGEn<Gi(7KR*M5KFb>8 zC7G9(O|7#peAMDM&qmXei<>)mv0Ja!)abR>qPlrO?&mqZ<g&PqZ0v**vwdb)FaCJ* z?Q(dh@3La`f>1G@k2l|b+ng#||1Gs<-=p<EdgjH~7wm{*>*D87Gqr3Bsg_}WV9xlO zXXn#z8?$7lSA6?^r`i7X-tGT1J*7;4@g3c|^FdBzVcxX3>cVyP%vpuIpVixW-M??> zvw8papXHHm0@i`6FXdmJcK`d;EpNXj)G{)xIDYCsgLe4Ge*O9>j0R%$+lu33RKBUP zm7m^g>$Y=O!PAqjy7BVPwX*Z|Sr1npoBTFBdSmsbIjbJeJ$rhm^aoyL`DVtDXPd=W ze1Cg8T-mLsp!!!^;MpsxPk(K8Zm&D}>dM#cV0=M;XHewf6={4~9oG-Ii)X(+7$Yho zcYWg?=3Bpx&CROawx@NH`rf5qt2a;0w_W!5_!+A`k8SVXKI-}Z{KcQU{w{j`=Z2ur zx_imXGfK99dKxai->{{uCg%Q>DKB;`b#R~77u=(wiQJRk?<aFFd~?~H3!P5gI%3^A z(`w$%uJ2xSer@R6{CUfHe}7Kd`b?WwysHql79jaG)7|^(PC?PWzNe=it%^}~U2S5x zZCaQR+tVETkKvLGSA0eFS;F>uJnA=M*t+D;?c1W3Kdx;vf4irq=4Zg-vUksa%sKkv z^^ZRX*XBwe_;DwQ`}h3KM)Aj<Yld5vXqVaN+q=J2inztj!0_+M=5rHzdU(FRy&ax> ztmk9Kzm{{hr9T@SciB`<5VHEdrtBBA;~!P-?|;<w=<3f8PFAHp{f_APAC}!({Os$i zJ9|1GS;w!|T(5h5+F!<9+)8`4=k2Ut^Gm&Tr{MmLH~e!h%)f0KeEQ*fP5Ui-gXaHt zlDEC!^z6>2Q^IYk8hW47{}yK~0@pvXi@$BJSMa{d^*I01<<0G<KiyH7dwtLDWA%3< z`_Il@6!(zb<o7Czqu>7R-ZpR5(y7gbmUsRCgs?G`MjYi<2-R=<v6!b}W5uOucQdc= z`?YPg`p2D{bK;MrZ@;Y_TeI$#WN>Wc)sLaq4@b{C7H;a6{`J?Q#kb|3OU564e)e1O z(do}U;^%kojnrqD`tz~Gn^%7r4^-Q|<~e!h%#}TrpReq#F7LN;Su^vcmrusRmKK&s z<IAh(+U+UzJ}Ua|>au*J)ZmFm+jK5U%jbr6svNz)YNfDM=I5T<rj`H1WVd>{O3vQ$ zVruKJbw@l~LBlw^LXE3iy+Ccosmpfy>tE-W+_@>Lc<cAgFTFY~ZDVg;46XV%Q}kBx z5uI$uHnaW4aeEJ)zvEi?#=dIF)tg>lFJ!GYv$c!}o~CnQ$2-~5<BxPAi^4LT*u_6; zM>WrnSt!fir?r1WK;?h$%RSkT&L5jOZSJzl&0$&oVU2xH<u9~ZZqK?Bti9#6$G$$% zwCvlr%U5Twcpb6YYtOGj-QSAdtkY^_fBsAM_3kX+GdCwp^j-9-PII5@YS~kpi(cf5 z+`h7E&Y8*2&xqannz+`gDnP!cGw@2o!B^pXg4XZd8!HywHtl@mg4o!$jEdt8wp)4G zo@Cj7beCkf!oKd`f=}*}4EC#kyv;S=xAm=WbXm#9Ww*N5Zxxuoy4!PK$NE?1uUE&_ ztXp?x?(VEP(|#K6TUBmmvHE)Io2^^^TWWm{t-1GP`zuy&_uCg!cdt77_W8T2N@4i` z`N}Gul@{w>6`onW+lVpbboyMyNzY}KepYW^<-A#7={*CN#cgq`w+WU#eS7Oo(Y!;v ztG8(8%t+IlvC^<MDnzS$*OvWNrneSO?f!o9@^1&9i_9i#K6BmucH83U_j!xv#>!v1 za&h_n!lbTUPS>}_F1q4(_G;DvW2;Fv!NqE2g>lpQUf#S|e`$H7{p-0`TwIUGuim+O z&T)O&>kHp{fBABPd#b=?XJNCgm;X-A4-TrV^{ro@9kp+L;@ihpzRvsVwd1|-*;gNT z9xLe#oW1b<s+=D#y}x5yes4T>f62}Dec@LY&Ay_zZE@aT6G#4Ye>YE(wX@Z5*M5HX znmYSitLMhSi`BY%-Yo2t-ZVA;<mH9A^Ix2NJ;`tXjAa(#GuioGc{KW7e0y8Nta^T# z$h?{Q@7<Tqj$Y-w{Y2L1reBAz)`1F<75p)=5?|aU8InJ4(G9Qp;&=3Z?b>A@L-#I- z|N7Cf@!2`^>eYF-cdgNzn|`OZXO4a{|F&F~1I2eFnSS*9TRShgEUP46|7WA#&L={= z5(W!yz4Tu5GwbG-iMO`-T<Mdw-dg*cuQa22UY+pG0M%<X%Rk@zws+M=W%;YW)E0j^ z)VBARVeY!T*Ok9s)n?=vyULkse{T`j4yy9V{9hG)TQ}&W|LHBi_M2_ZsC{;*?xo|} zs^83i12jb6Re0;yPg@+v%%-($m(|^#_)VGL)$_AHmPcxBT&n%{^j)i)HjbT7%S)!n zU77WL+Kb~#v-#FWE&l)P`K#Cb&$4&Bp88!j)l5Ef-Lzb(d2_D?t`UttE?E1u*DG^_ z+xjzi7sy2SUE9AWWcTfF*F)!K{mA{fW_s!O)W4;L%Po#>@xD0UZ0>UQxY{uDqu$%T z)W1BpFFfPZrzF#Ej_{kENuu-bUOOIIV-zDe(eA#i;1;L3@6>yhrGD(`spV&2U|IVq zKmYg3s1>WbzlO>)2HpO+^Ye@~4oAc9&J`C;F4`$qcW(W4pCGTIu7!_{e|`I0{`>2y z#YbM;=3mi#$FiQ`!<_T$8Mb9z^-^~02}ny@w(sw^=;fE^Zh4aVd(%&^|F4R-=H7I2 z?@M{Pd+qHlrMJsuZL30Zqqbex_rGS@+tbfpFHt?8C`({$?r7Hy-BZ?|UO%39>eQR8 z3lDsrH|z~y-MRE>_M*f4MOGwUmM|?1=w1DI+U6gkSN*^5-5IyrxF|GX<BJ3K>%uOx zEsLL=svq+2;-?!qR;ku{*^WQG&HPqwxw3>!k@rrY=^EELv$pRE%ukzB`SItvm#>n! zf+z1V_`dCGq^i}1;@y{YCw(f*Iw+j~YIaBWmH&&nw$HeMr5jY1H+9AGIq%hvx~AIc zC*NldxE@+tw{ibz28nL-w|jQESBKQFc;p^`-n0JkXZG~l+w@lTKbMR@78kx<kb$A` z!R2!k!q!H;Y-E?4a5LxHp32W5F)?pSZe5SBb6kJ!=j^bWPZPPg`!&tB?VM$ry==!0 z3)B32F~_<3U*7i?dv(>a{_<=6{SS(E;_6>{>OEiGce!6^Sz4F3&U+1x;N3@_S*04D zj>(!H%PS&Ov`k~m)<?5jEmj{*{3Gt(_Ns~Ve8w|}&2gS@SBhP{nDzaHlx(@VnR&AA z3WJj;Z8m?peE;f-BQK2g?ebTt1>P<Fze=fm`lE<u0n>oauKwv^Qgi<&?<x|y_4?2X zW91~Pmw#^LyuBY>sv9_e=Pmy~fou$=p+}G33i&Ix$^I0BMECOCov*y(|9;)Um*407 zZqH`LfK|uB19Nku{U5KEnr(jjYW(w=4$tpi{rCKI@sjPgPk7`qIi#NJOTH>QpRwY2 z`dmfRmmDi!)Z71E!W&<=a>4|G-aUmo+U^$YO)<)Rd_Dg4gGOe*=iU2rb_D${56M}v z<ij>8lSf8dZT5uU7xy|<6R%%4VKHO>{<Slech9Y>3C-BEEB2PR=e`R6B9H7?%d#z1 zZk`wS9)G=0apSFRWm`X;WiNda!5(Y4X}OZf!oHRK84=fwxouLz4t}&KeQ_^r;krlL zy?ST6XdEf>xc~m_lymZHI?dz$yf(jCE1KQvsS~er_1A`M)0YcVwsK7snYAKLbNZ`4 zQhBRC*ex#keu4X{QcO@ud2e0T8ohH7i=W>15~=zZTb2HK`mf_(1GQp)ckbia>Gk;C zo24r*sKlB-4f}dyzn}KEieGKwS6tV96Rw}a=n(ttMf9bAI?Msxr{ohKzp_0mx8>=o z$FW;WrypmqKN=o8Q~&Ymb$sEskKWGBZut?j$vgY{+O=2zTr=5s>t3B{*TwU14~uR; zcQ-H3e!;%gFAbOMN@khAneqR}$aIgOprBrBCzX|J*7*Fd`CF<R@u6|wzqHBweq}8d z+q2&x|Ig?7TH3|`Ud%e7r~Ku}qO(>p6+YMA=vFPP-<5Xv_lz(x&q=QH?M=KMi;2Cy z^?cuzhfb^4JhP4ZcgCZ1&CAL2Wj4OOw0-}b6|VMAUw@e8*%keDh1&iPi>Lgb_{`?_ z)=B@qEZx-i?&78DuCGU5=}h$sx>&XM^ZNByi(Xwnb@rdr<KGs2|GR}|f7|nW(LHUc zg-h9WPsMznk#t?>dYu3It5)4x7p?hj`f^@)s^rJMvS%VI6w-H#`N}R?B9*s#!m_(1 zpXca*N$v~1*1Y<w%k#Cf?8<gs6Y<Qt6Vv&8?(&vp3n!(nl$*UJpjXZ#xUF*fJs-;@ zmXqgSJSN0{U9fcW>c2<iQ$hV01_p*#I(WvDw~G0knEBj$>a=NL#;FWP{jRL~VtA{_ z)!z4Z;)ndnzZI@s=<CluJni4F4R@!`di~?7uAO*C>Y}ocy+<eKTU^O~BF*q>+NZ-- z?ZwP1e<dX^-uq(4k3GUFAJ@k24%5`sZ0kM$@_nOQ4Fkgum$0yDj~+d`Gwa%O{ebUo znVBp9TRTPh2S&Y{Dc(9?w&?58C$sj%9}#hpXWG-Vbxp|fl9m5<?FV%ltpA=c+@HC6 zW#-OPw~btFz5Gu-i1mf~twG^ow|NH3bl51g>xB(}|7@v~|68J~`?eu1UUd1*ty@5= zR24ETe@)wV^5hQ(1(e<(|JD8H1oEGry*kzRIhz&Ncb4EaP@@@sbS{*e3?4*W(Y882 zeR_Sh^@NV|)<>SpKKk5u@i8;Q0q#4JObjb({|K@&9PCc6C@`p+hoayLznsmkiJ<A& zt>;B%B);9Xl`-0k`KQ4z2fH3q2_yNZOtS1hSIpseXOf*g|M6!}yH_8-Dcdpg)b*^7 z+tRNf#Z&oj#c}4J2G>C9SyJt691sKRcvf<v41q7Zb0=o^&782ZvTdLh&9i2$QoOu< z{@jG4J>5(aat*tV<ZTcCbvNbHhg^3KF1wT4_x|$CIx~H@?8nOXAA6i@?kVn*(zahS z+s7!SW-6o?4GsW4xI8#Gn+*3Ee@%xjOwMwu6&GY+sM~PD{p%n9OUZVtRx3UFjHUw? zP-w!Z9{--d<mJ3j!42moEJ=Jj)#Ojp=Kb&IYzsU(^Z1n=p~7G1RNl0F%*gQd#&5e7 zkA>u~Jmf)j2@Z2R&Rf5)4zE9OPjb@SvVhy_`4{Fz-nZ28uTL+3%XZ~a+1dNsVuE*H zpFBG?Nj+<7>FTs^pDzCjTXX;Ew%qkErTbr=&RqLfm>rrl7Tn{GNbgvCV%elSNpp2H z3M1-HRc)Fj^~m(Y#iO^X48mDkkC*DaZ`ii4aCzO{CHI_8PQG{P*WR0_w#!}qn4Bhi z@8i68Zy!B*^dHh^Hu+sWyFEK(ZoyYMYuClQr|R$D^@CU8k<{LQ!F_hKFRZ%s;=}2A zSNoduzea?cTL=Bwmm6r_y6W%Q&s!4f=dHO`lbRE|;P-DA7Ap;?^Bgr2<|^LnlK8RX znQ!jm((hkFVnX&<@y}Zm9#*%0@uVdMUuW-&khi-NXq_In{@mHw8v8zPo%QKfQSMFo z1>Bz=?kzq2H~Ff)=9%6fyo+Ag|EgFUIh{vx*^<r5hp$#2QP}$Py_fFKljgTC$-jT@ zC@WAudEPwRm}$@1Uha8z*Yw}(b5%L-M80zImn1-))6}bI?R=;5VhuUd*;@A3G|LuU z+H}d}`^%@l6qUonve$j9GmF(UT)y1fdY9LE`^`?(eKS&ZPh5Cber$F44v||Q3u}wt z*jGJy(<`#EDr0Nt?0GV4G`+<#V!rq7N-mtHW2tSju(|t(=-Pw+>n`*$yHAaeSh45- z(#&I~AJZTEoc6oCCsKQ>`f7*cpZGHl&xtmDwNms}sY?8DuFYHDzTJJ*^h)WPP|T?d zZ>>vh{nxeDzZc-ue$UJKeyw2htrz>}*DtX(6EC#7y^SmMx^?{JW6VD{JxhPRJd}Th zv&LOTi*Q^W!>Ugj*3j^?OI~qq!iyJaQf37!*k<TooYA;_Rra-?T-h&vWIt$?4$BO_ zVQ@CaAUOHgmK7mlrJ40#v$i;Ei@%?|{JY+aMeG?7&qa5=-Co%B-qzK6x80JJi-rBC zyT&ejeM>JeYx%P*(+85Wp7T~(3h%a9@7@08%?taNm)Fey>X)@>(c|@3?}VOvJiYJr zg>R}=RZm*II$kd1oPF!%zmwbN?zKLA<;(Y7%bxz0x@PAW`#y4g%kO(v*2vAz_L(lR zRr1%mQ*HiNcHDQ}A)o!nch=um6|TCwJY|!tUtRMT{_^0Cy|$&3)~{E|YftWUxjyeD zFXww(C+6t)7rIn`uj;+LaNA>(>T3bA^{E?f9ocf3_w(%6m$(n#Imi8~|HZdlk=c9w zt+~$kocJC1^4YXk7j%sl6vD=O?b=@QaAr#^o1MSUb@ID<5A)?cS7Nu{Tls%?&6Yd~ zlPeFuY^z<L_2z{8)_o^6_HIaAU*|J>_3f&8?-)3GbC;cXoc46y2c-p<oV|WuzkMrj zqE}tivaA2Mu5^8^(&`n2y@$nib$1tz9@bx{rR-au?N$A?@x(Ic)z@C^|GD$mujZe( z@+N-T7rXSV`>fTev)j^_mx^TPv_>pizb{ae_u7l8JEf;2pT78O`t+>w+y8d03SJSi zCD1$U|03@;p72SBu2vs$*n02Z&S`J9hsrN!Hay9Eb$7<pxayzLPgi?X+ttKs|2w|h zB_MRgEZ3IRZ(KHZopzrp7yj{Nfvbzuvkl-1je$YIL*m)0q<%l!lE=NuAwfZlmd@9? zd}?ZDS5L>41KN|WuA2I|n)j30z9sf5@eBI?%3t`jA+Rd*ACIcL$F`c)T}sI!w@-98 zyb*gU`}3>nyVTS&(~N>=A8o!li9VS&Pt$TGQcvjQQRDq{j;>!(x9k1cueat-T+P(& z%Koo#>nt;azq53z7k=uWc0BE}=Iz2rZoj!d|4#Ap_RSKwbl`2o;iw}kD^E**O>1)% z>vo+Md->{dk!5OyiIcjvynJkGbt}E2ZT@|CWwyD?-)c{Lkv?0x&`RLeo|#IYH<zxk z`7`P8sgutQBUhYK%MR@H>6Kbt_;bYs4^uwvz>6O@Ij}*O@F;MQzhvU)fn`~idtLIg zmhCw5$=$kjWo%OM+)Xo5^|qc~$Hv8J87y)u>f>3bIaePU{OeYBE<M<iZc@A;a{ZET zA>A)BwpOR~_U)FQJ$s{A$c!gAr<#^5$`{Wr4b$h{mpz4T%dKVSr@fi{ByLXV%}=^k z_e}hBPdjt<hnEPKhqHb4{MY~TlxN0z?#1VNI$kdMoV<B!y?<%7B(xj}Nt<zQ!k$Go zPiLOZy8f<aYwl&Yxz?pYF)?%c{uPC|*I5>w`|@!*Z+O=KzAoOWBKN|Y-C~waYybZ0 zx0C10_pgug7nwZxxZSikW&7p+_0Y+ulj85=_%hdOAMXo0uc5i?d&OOIm9X2_)`ji+ zxu8$x^#X0TZy_y8R}8;0^1iN0Yg~Rt%R+8B-`(3<S3>`Utt_0((Hg<`^7r1Emwq;z zemvG)|F>+<`lPi6olm=$M#-10RkNM4CT!s(C*iBwPlM*h?_1lo@2dOi&7~i21ZM4h zYg{|0u4;>Vq1d|U<!>*Bznb@dcf>`m>#XKI*C(9gwT-pDlGvJUe{s5Ec;=nCdqqTN zEqT4{&MLXz*E5gG$*qPafCXthmnDNNZmi?Iy>F5Vuhf)9r}h8O)e&1=mi8trb9?do zbscYvocwietvTF!Jmu`YMdH7fXJ6afpP4#QCGyKp=fx&@i5u6|zVnvV+j1)F-r}d{ zdqUjf_pH9X&HMhpqQzo=r>sAmt)`iGb@8k}hx&v5xzGCi=DOFf%hOL;?Q-{iuX#;; z_2v*QPuJI9&+XqAc6w#Jw)t=F-uI6!{^@(=-1_{kyJ~$#%QU$y8pU^$yJ9!TRNL<T z_gd##*QR^dmsH11O+8|LYi96*ra5n;OiCwAI+wRvC^v3X)czx8m$sd^yViJFv|41j z?%!13S{2{I;PBT4{}gNO{>=zmXQw4s(^4EU?bSw4?yD~vO;Tr+zdSp|d6w}{$y^;y zq{>_W-Q*9aI^R47EqhRTSwHc1$~hBlL&d;vLA&{6{H`DJ7kkyR^~Ic@e0vIK@7nut zQPOQW-8ofz#r#T*C+yj|Ytzd+#`{D5L{9qj_1Oops^CdUzr8lSd>+33;);)<>;H(q zoSp8z;`Zh~@r{|+0!n9oef{45Y^lxMx68{-|6M9K-S_Ck^7DW8FY(Hm#$R%}Qa*$u zaqheK(<**FkFf0D>SY_bdS2M8Rm-3HoD83QRk>zUdtq4IweR`&me%Fp3r$?cK37lt zYxJ>A?j=t*xiiT^<GevZ{KGkc>XosoJC9CUGUraxUW@OQ|MfO}6<L})d)>aN^UjpO zXXesW)lMGmzSyfeBZ(E7kq&%kx+fX*n$LFi>PK_#?1I)j3=FU4{JJjrXziX={TGZj zBy@o`bb)o)8=m350$25?5z--uYtH<y{&Et7?rmA57WL}mD?UzD2DhoPwPdff|0pUh zerx~t%jDX_ZM@(3?f+cJ+kX4)b-QhE-z>PC2-;~`VH+a)qC(<T{J!h!Vx>PwNStU7 zWdD@7=3vLq4Y&RIL6dj}6Zn&PpB#>W^(~-WXM^X;+S;e<UR}}L-nL+mvC7k{@=UT! zO$Tm$yvroZl-03K_D)7I*iQ@ws}{WBnYiNx+w~nQ<o0CTKG<mnZ5}H>W_<-w!@z*H z+s*O!3>^jsfz9)O?myX^S)<{qX}Ws*w8yK9SKX{LJ=eZo(sutA{`V}EHCy_#B=*)G zSkE+%|CYPz9Q&TuZ-KnG+RK}4>~6W6X2{>;{Pd$~Q|*pf$2mdspj*$YeD~WVH|Ivp zu@@gD=U&_{|FII%eKD-6S#i5(-+#gR<vnuqFG|+_76eZPLW6v+=!SC>mif*O`}Oxo zr?6G!rzI+yIyyeRQl_gy^0r20>zXk*=xV$dC@gU*Hrr<=X=}IG_}4c`{4`nG?Uaa* z?%DS@V7p}Pe`UM(63Eif=(E;Nn5($`j$K&qW1;i^=2d@4-~0WVy{hM8xBClsn2FEP z)joIjQnvoNuhl++f0tz(jIIyz(w_Wn@Ao}*FUmXrRUbDvYxl{0t=E3v=(_vim$%*i zBX@lLt?XaN=I(m6dEG1f&jGr6*BftiGTvfiD42CO?&oSIwaF*n7P+U29uHl6nj>qM zUEi^Ao$`>hC11^|>TFN8uQm$bxoYi?J9pJjE&uGAbM@)gS0?lF%JY8|NB;`Cx@X5` z|DV~jExT5~GRoQ|Z!wE+U#RqN<~zw>&Z|uN_+5PQ@#p&Y<}Ge+yl8*e>)_V^l7B0b zx737h-^(<&y8Kh-5{cD%_7^sWiZ@SuY<%l^y8Clw<s5tWnr}>B_tpN+I?^GS=YQW| z)y!Q>V(QCYT+ol4yX#*V2+RIb4cE$+xO&Esv=vhpum5*m<K?$o(Oqu4M(*tGtOJXU zOK-bg&;0g1RR2%=>hCu+rS7iX_Iu*0==fxgw?B65)6~3J=-t?-BfDI}GiXZH{onKI zG)`RIv^%+OuEn19X>TnS3b%?y>WD?^{JdrUU(9uW^y*vpZTzLbJwKUsHvHH%)?NGk zTb68_HEWu7_tza>U16C?Il60AvRqxhU!1k|jkW9v%?Vet0>7<0|K-YrBV552x7HuJ zTK`S#Y2>Nzn%z3nlC26)tx5fU#3G7^Y3-ix`<2-D{wv!Zt2TM%rGSq|Uai=(YtyRB zmBRDa?~IBMPd#_-^P5)|H{I%j-9JUg+O5(%FTV3rYR$TBYr}uH%>Eb}UhZ4;`d!sk zm3>}Ex9-%ft*Kd=H^1m=-<`hoQt#e;o_FM3S&iF|u;>W|rEi*~|6Hl7J$C%#&eAig zc2hnd{`UE0`i-i6|10<MW-qM0a_sr#S;roAxIe!XWmo^>$>;g=Z?(JUE}!;zGSlq% z_5bI6DXv*3p}7Ctk2S_B%F52QzrS6bVVG<)%YI?8f2>`?1U-MbB`xP{SI@OeB4bV3 z=G{`WR+OHWkiGX)UUq}%siZ=q*oyqSMgJqd`g48Gu+3goBVVw0-?1e7jgIws*L`%` z_b>Z%;hR_OKknKu4%{<~Piy&0Ok1$y#Qd-47j|~_^@Wv`^xV4tuTdvdyJx?r|9LsR z)5Xmtai8Bw{Vz?oH7oC`YL&n6X7wYH+rQu6s#-NIjBo2Q6CJn2-~X3dS8olt{LJ=i z`&Qkr$J3Xss^6iq{C8;QzB#wtH(tD${%vK(-)FzBe_dR;`Pw>No-3;ku;2OF5PsQ6 znfus`y@_A`gl+HXdfIp*wp5n)9>+DaC;V==_)O1s2j1f9QN3_nkA;8ndwIA1^YIq$ z(#)1C?)TK_nI1AcG{1iN4=02FbJJD&zL_c?f4BMEgfnM+&dxUXzq`Bq`wHhHvu3V4 z(jw;N;W5F~c<-&3hoiTL#5|14{iPOcCaRfyT4?Xbi(ykeg8r<XWwmg!+4&u@lb`2b z44fS@Wus?zT;z)PUNWKAg86lRIZf8jT+{u3i!ZDD^vs&qol&WGSIyj8x0m_Iy#>3M z=|nClylefc?`Y(vCu=S^cLqJW+Mj)sKT>PMlh-G{%V$S<{dp;wq^_m=`Ti`skG8p| z&xGIGmAOmd%F!=e7`@yTdw+cm-NI(S)5)4oCbTYb;irGomq}g^=}@%x{hYS;%Dgp9 zvZw7A@D}G?U9mhY<NCxJS?x2|wrzhOcKw3!Wx3d;&*w)jv~@qTNh~Gfv-Mqrv$hNj zGD|_{0zAH|{pXJFvl`h|8zMt@<gZk_XAnDkP3W%gPW6j#EDL*@GS4P`+l6)f*;g+% z|4Q)bS;WQ@w*S%8s<&_7Zn|N#rRE&d+gC;|hppM(Xy&hARgI3na-==(+OHm=lVuLC zul);WHSF}tQn~&+RCS}2SzgPmwUyED-#-4B*A}|*`+e8-`|swhHwm%b8KzTgx_<wo z&s$$zyFT}4Z0_Qy-)sf4C)Zp5EGUuQu=}&++4bzd<F8u89DR^AzaV@6XUE?KV$Hj6 zpTGanGWc%UxA2;GIqc!TXN7;<c~Jhi-uB1F@9xL69g|g*Gy3%}y!*I){|^hh_2<Rw z`p#ePDVTU!)&BYQ?5}HTf6STx__Ni0#v65}U7M#pUfp|sxoPLo$ecX+>r4gzI8y5N z{;S?3_Ne&l)1wa0uM5sEZS$B{-tpx9-Bo;Nou*VB7U-3#T6@dw#?<ZEn@?AAnXkFD zY(wI#oe9g1eaQ@5sq^a6zgJf?+Qanjsc+Y-pZ4a;p4n`#cj_L!^{1rVG`p}l&bIDd z%Cb%GzrH~oFeu(O+vNAvk6lqEldX=FU0FL{?Zv^J^<hOvW>zi=HmQr)_OGb2DQR;0 ztLGBpm$%C<zqz^X)er6Ft7^;U=FPYtGd)fs?CmeF<E0tDg=}p<IjJ7`a-qfdZOQ*9 zx2J^2m0!O9de*e}*%7}jO82Jk6?#`4yt%4oondU!m9!VTwWRF=AJ>&zB?Mm)-L>wm z(9*K3bz#i&E>3(hbC&T&@25wP?v8tYs%T5my`0*j3+sx%{dDsck-X_FY@VWhf6{X2 z+jbWxUss)5H_^9noe{sxm5EHV7v0_-nDfo<t;YYP`g{Fe=G#Ns^Csr0h?hG0Oqc$0 z;_v?Hxp$Y}N|+e)E?l%_+kW3<cG=7O@Bi5TDN8%zhws&B2kknAl~vr!cBi^M%c+%( zRalo<n|J?Nba&6Z`0{OY7xT@Lx-a!+Y1ePhqdVrj^*(nhEBpQmJ+|=et-lu+pTAqR zI`8?dtoVCZGM8*)N;dq$8Y<DZ_;ZiomF3C)v2o_^J^v)PDJgH|kFR(8WSahwRqOt} zJpKCTcP{_Pdi{C6^~bAE-cPyJbAIyv&pl%E_gi+a-xbj#uv^*gEzd;1@{XE6ACK>P zGRfN{;Xp&ya{Z0ZR;ONe%erk8XkGT^%D1=HR~Ejl{N<bCJT>C;+;i#RtrRsOuV?pM z;+FpQ>iCwOyVgE`x%%V6jb}wF@2t5aye~@o`J(kWhA@7{a#u$EJv8}Od6nnZ%g0st zL*6e6t$O=3f9iUrw?99WuKh88?X9m<b8h`TIXmi4>@=^OmtMb%w)>g+|M>syovq#~ ztN)LGNIQB63QEXzJ=%MvDkJUIQPXHw-OJlV^tWF9rX3x=+C44q)~n@j^UJ;E`!}6^ zn^d;3d+PM4O=V}LyVfnM^t^B;T0D@^v9Xa+(uw`&--Q}VJk03{=Vao~A5WI!pZoLN zobNyD&X=F#nQ!@AW7(gMzDvqc-#wf7tYWQZ?eM$*{AtGNN3C9k9y@OfZT%auBx-wp z&A!}6?cHCU7l!P3&3yK^RrFpqo}i<r3$N@sCwFSja{F(u*WZiH?Rp`yJbl}?t4n?s zm-uga;#C{Zf45}yGClpU?q!NrpR+f1ot_^awd7H-VwTjauV=;8E|*-0QGGr)<l4OR zUzUGRIa`^#)X1i;tm9Wty~E!6s8!`=R<8|J_bl4;>;21q$*e+-W#M)^t{BbV9=dhk zRQrgKCnwkINqzC2b@bTv*|pCv>ZjP8d45v-!!5h8kIwm9<>U5R*k@hdZhE$vn>Y0J z$F-StSEp<ZyX_(S>-^mvA=`bgR_-=6*taz{ZEoGmH;=!k$2>c;?{B5${OkWOMEn0u z|5kJF(M7vk)AcrgG`;=j!wcg{g1l4KFSOf#rMf<LlY^;;-R3Xz*W24)|NX7(B=^dL zpG=QDPxn8zec9i@+Iss{yzBp)?)05)*45u1?&j9EE%$a<?(X2en58va16JN&6ViT7 zxAaZKvVFH_u9<sM)2i;zj$7OFR>uGPy)|fG)QaG~RrQzW?*8ffH}k>NSC{H1O=IuB zI-5KG!hvOzwAVYFyTIWZ`|$17-5~|uvz4OzFExEB7SFzC9c<3RvU=v*JEpGZp1ioR zFsc6*yX&@qjJRoi)8?D5Qt`c6DQ>pt)wS?M^Vc6)rMKwYy8q;B=llP-%rsx5AhF;y z*VOVoe_Ug&-f9Io*8Oxf^IN^e#G#i-dT##g`N381HU@iHPh5ZOtn!sTX0P9D6q3C+ zcTq_Dk~?>!zD#HRwe98CSM{|=oqb}o3Z`j1=u*oHstZq8F^gsTiO7;?m!`KYwYctk z?W^Ef5vk(Ea<Mn#jQ7|0_aC|T$Hp`0M}V)y<*@s8pBldvwCU_mKc!#sb%ojM=;i$O zYRTU|uGNfxsvKIg@X`8xTKD6{Lq%E6?3sJ@y3L9|yRLpMb~gOIV@9ps<D|5T_f7vl zeEmJ&diw2e+23jpK0R^fGxOK(+RU?i{@Na$edeF-d7j!QeY^iooVmIB>mu&lTU#zJ z@tmBp{r1;e+3Q#C*s<f*w)bbMV<%nN8g0(|?!WZSoWQJ$i&~qSnnWZeSAO}z^Vd4D zGl*YyRpHK?_iNWYpZ9j<!Op!`)qSG&C-43?)o#6F`O#Os<(Kzvs|&T9njIeZ>Uh<U zU7S&&KaW3u&bM6S^M+cN==QEzx35@51a&T%kkM+syJV*5_3h;u6D}P)du@$l*;$Fp z!S8EkFHGxSf5HE;eqG=3*$EEZH<L~=v#bvGS>k8?=2Uoc|24%G-OIUGIW2ZD4eIRb z_R`;F{XSvUrsJj8N)v;s7B1;n_ex^w(){n?g?h#jleDY13&s76;kUO6HUG+Ux#`O+ z{kD7W_I;ER+Ylrye*JwlgOpon`<JjgH?KY2xXSLvjHlc^%Xezui(j|Pp6mCcf_VR# zwKcXU13nh|f13LI(=myV@7i-d@h_d1=RNgvW%url1=A0nu=(J2?bQ0$>*k*|+J8q@ zG$_9I*~_A=S(C#w-ux0Se;=Dsk@n9=X=nX^<L0)i{^tA{sq)dXo6q;3`t$VfGNt%u z#n<E0ZcMM5v|cIx>FT%Nr?drKe_xq)LVf1%&zmKWZMTZEHd<oVI-#rX*GKo<J6k*( z8ylr;vzEBs`D?AI;4pi8&c%s`+gI1dmF%A=b$NgHq9&Erk_!)h)Md>rbExK0O|4mF zE|#8s;In+zwHNQYCM;QyQTqP2_eQtV_RHU%(%<xQm7J`I%E^sYGtF4|FHc#{w#s+H zk_8f9yI!yEyQ*_M_V=N$ucr#xxjdGCx3-}5#i`xuSryxly}Q2MA?wnbl}xhkTc10$ zT`63l*8i&Zp+?s&uAJqHkKcu#H_+U3-o@6=#_ObKcId~J)UC14U$xvZ2&>=o+G;D$ zM3H;W-~ZRnsan4N@~0<4tfe(B^11hy)c?`*Y4wcH3Vx6~>qCHkE9=o$=Q6*)yyg6Q zYm&YF_wDNxN+nAA-M+tFQQ*n-a>4C+GOO*DvQOQ#{FzgSQ`O6f?7uaQAKI=hxKbWg z;rdf?LY~(4(7&$^oJgMfzI=D`H--7zD`)Lq^}O;^74I*{BTSE9zpZ)NU%8~MCUos; z_1iwVzfO4kzp54;d;I#^s5AF(rL4Sh?7|<T5C4K@=dN1#NmBB))yIRsH;4YnnV+B< zckAD)T>04kpL-@<?EZZH%-`_)KGyX!<(HiZpLu;#oZ8P<zappBTz$U!bNa8U6XC&Z z^(VsBzgpC5|G$6zZ@B1sQRk%O<m_0EiA#*r&%L-?e(&NU*Y2%PZ?FCJZ1&lxFE5q$ zet);ys(9J2%L=V=vg`Nj++DZj&}6L>w-#Riz5LZu_w+f|K?fI3_3ypfqIy*7+bgxD zqGBr!?2A90-XAvo*1VG|jh?gBe)%W1b>2Q#%gEJVOKt@DO4`Tw{pjCfZ5{vfre>5? z?S`b)(SFaCU)@%|^xWky_Uk9+{)$@qRQBqrPW7!>Zwu!ZZr-=rJLcB^kJ7)6NUl$H zmpK(-?;QAg+G~f{*DUMy-YQF)GVR}t<y%UFQ@m@!g5&L9e6+gamhk!AuJ2Q?TFr=E z(4?IGb$Xt)Y4IJOwZ&dpLMvx%%e}g)a>A2{Ws<?uUUYe8PszK#nzhjV>!~X@Z^Z;1 zFKvm>xb&0HcC$+4@h+~=f~4hQJq1}0A5Z@J?&7TZ(q;vV-o4%B-F^SQmYFbg6pGQ( z(lWJdcWh*2<f&7qF3m7ZHmONF^^;9IZpXfZhgjIyye4^hd*9vGJ^O$2B(<{q4v*>6 zSDf_nsdcc<+Z%cQ?W$-~)fJ~d-`cTwzujvSm*Um?u5@1y-RiSVuz1x=0n1}&>qAY; z<m81S<G!wc_kUm7x0<x{Z~v6%8$K~UcK*}U{cC4Gb3c*x-acaX&F%J|B$JWk+iH$Y zI4{xt?DUy4Q_iM+&Jc{-->^$?>C&a9Vop5S=DyiQ=VE{S{-f)@dU;h<XxeIS12fKZ zQ(kTP^(w#pQ)$l4md(pGR-4P3)cW=nmHS-Yx^(#w&&^P8GlYJ8#d_uMp^KKg&YB&+ zuBmhS>*wxYxj(vjw`@6F_0w#2T;77Ej4RkfLw_=_+Ie66%)Ik&&fKeiV%%19>_@Vl zv0?T9gSXD?`#bTx#OCM5)|<b}|Ljo&c?#rc|4UCJcpSeLJ<sy^ymOx)Y;?0BeC8{Y zNQQ>f9lKxERerrc9mUY%eV>lko_zC{J=^&AH+Q>aWXTEVB|b?y@B3_F#KLglgU#KE zKdahfgi$R%_U30oZrc5SC(?Uvex5n^=I?%R@O_eWwoCqF7%%HL|LOLl6L$Qx|6#?{ zP<7zWKA(zBhgY>1hpDNmf{skH)=z6I&ib{PLBGF!`^?&!nexYeINCjHXH1(fZ*b<y z<AZ+;o*BKd&rhzCIPk~d82=|jd!B?kiO1|e^``%PIQ{5^C7pL`Wf>i86Y`+jHCFJ) zAhtY2NF9YA{g#j^;tY$2hNVBBnmRKuhy{f`yq~sbg#=PKf_-h5d?K9(P4F_qPf$=m z&4`$FzK^F<_G08l<@GlI|KB~I8&-JC(=_w4k8S$b-B0SE$`~4UeYiPMF*3VPBlVTr z+xnNgwdDQ(X2dhAPnvbl#y9@m`9Md<bwST;*Y{7Jo?X?ipqaHvh`TH+Y<=50+0tug z&Z=*HxwDLS&mFBR<?<mlf2Z+hC05>#Kjcyi+XwW4+fKV}#~i(>Pefja)Ok+rpRZ>T zdRW^f`@-h=+0Q4+JQWvvnes02ZOwbd*dM}`-n?@@HJZts2#P!CDLQwHcJ6icsxPlz z{hJ}bZuk6}puOMi)@CbPXB^nP`Ahrj_g79=$4tG|y5jtf%w7AdoyFa<qT+=!s@m`U zG>ne7zxHg=Zs%WTFRuIJJFBp_y|%1oq1>8H?+YtAgPvE%Uq0r%Q%mDS@UL^ORgc=1 z?c;-v)*s;i`PS5V7GG7|df)u@UmsVW5))gS=e+#Y+R*Bfm`NgwW~bM!J3sH;qPv^x zmgh}8D{?n?#<IP8W32f?zq5Z!%erpml)3x=>ci`tEM=~4;eNUJzP+K}-{j`k=|{CR z_viKe{%Xqky#A}}q*!x4`Gq$=m$$9(|C6xwf8A7}x-Wi(i`P6;+tBo)`pcu!%1<jw zH{5djcHxNbU6bT@CSSQemVys>V_;zTcWla00e?L)`Ah4z=CrbJ`FfjY=aZ0MeJp#w zub-<mamkC{CVw}syY=r-^Q>J3?R~pd(tm~N)@FC^Tm8ym%7l5dwv=pLcJGGE-ua$k z|30oa*?4#9@>Z?vE6$gN#4?@w^?uDiZ~XK`+`{EaOJ>}je?8njMeF{=<;m6iE)*AD zOrI}c8kueMZ|%&<_JKui^NOz9FV}9qvn71Oy2=;1xps4wH|^2+JHx^=oOSBeJ^Q$> zU)aCy!n(u9r-nzYxHJFSi&kr`loL^lug66#{X6rg)y(n;>G+-g$^Z8`Rrf!AnUXkd zlKr2>>o~2hf2&yZ&$7DpoBZb03ih$L?Po<im7l*lj(xdV-NYq#X18U#RGO+R>3_t& z{rY$9|4aYsnOkb_dNC#D>UYjHx;X~|?#Ar#%CDcH)UF+SIzDpA-f;fwC-m%gUlD|+ ze*4r_Ngfpy+`SSd)7ft1Utw;3ciD8NtmvH`ujV(3=lNW@_CRpf){JR#I~G25?Qi}1 zc70s<n@g+C*H3gmt~BM%we0UaS65qiZT)*xF6-i_ytDedwnQG7X*;X<zws~sXXk%q z`1BlF-2O83x@}eEKbKbBz3$<zr59`eXD(HL{%<|oJnPy14i$6M`c7Q>fA^ACAanZ5 z=N#s5t$i+>*1x-S(e}1gwJz#+_ZkG%uIFA?Si4k7*4Ohw_u}=zt2?s4-niyhmGnyf zocvtD3xBrnTKsjn)Ww_2vXA8ltUfOOVrh2xtH#yJSN^T*FHL)r;``(5%>#^y+h3jI z<CMB=8kEa+=Gw-_&0kJES*;%zQ}XrogFnx>*Ph-Nc=%kXv(v95*#Wy_qb7dv?zLR~ zWSM_L?!&pawY6T0ztyrScYo)&^j7riRqqzsorUF?|1*|J2;H%W_<rwqwQuDk&AZa( zQT=zmPmtU9wC=9$k9AtNch}8aU$}Vw)wZ<cufL8lTkS|&_wT~zH}YSa7e#4oIk9d| z{A>I2A8!|nT+f($Z`SU>o9l9NXKeTRZT01PZtUs$z4KOYUH?Dyzn|{PjkQM(IKF!9 zbLIBuZJPdmZ~woMUHju?=;L2rdT)OhHQN2x3szkhvi9Z{|K&>2n#=a|g;cq`w)4E; zxZK-a`1;G=dt`DW_XKG2X_iMX+9ux}^7>ov`R%@c3O3ehOyMf8T~HaHzWREDW7nsW zxpQYv-SDj?PsT22BUj-3AC6hmHwAIF$Cy4})3n>JaVqOd*UJKPe{PL9`m}ti*vcOv z9kbeIJ<Eljyx1_`;B36;`nOeU-FmyiJv}?8)&86swl-?<g=Gt+N?to`%?$p!k$LCr z`&Z_(#jVu)tDaf;A!6^&53Ia7T01{+J>lsMxFY&>zV(i!z7yBFXFBe3=4*HE+iP6v z?66_h&2zGQ3)I|?^NChpvD4Vp>hyV2$(BF2KB{J(I=8#PYXy-#(|<3E|J$#<bcw-~ zE2m^%Zk^xDoyYw&-@5FRGVc#4?!SI><=Xf)mp@9kWv3jM7O~&4HYiNxMf!Ah%hdtF z^AD`T);&Eo{U9`R{ZPCt;(Y7ame7(dzL}XXPo3SWZL92DwmWuXZqn6twbt9upZ)hP z+4lPzrKM%>mf9r-J?wjXE4*rM+nuN1SbX9NwXUz*bEV(ZOfBZ&!-rh_`RmdWZNIoI z`dl;Hi2wHPPcm9rdBLwgZFXpN(cW`&>FG5)_U(0UE_s@~*))4XY<};{mD3(ReD&pd z>8hG(`u(Tb8Ff>-HTebB_!&;Ldb>e;zu4<HcfResmiFz^1@~iF4zp8g=YHr`IbRg^ zEOY*Ws!x2&w04K4$BLJ|>x1+FoquQF%7S|BLDDkKwkKA_Q?s|P4qo2(>cYOgyGq|` z%|5&Av3lR@8=cA1xAea=4@&-39vCuV$pk(rnfv~0FY{&iFI>{&|Ml3T9Nvn5&S$0W z#tKdn5n21Lwp#Pri>=fBZ%>U+xg2V1rQtCt`KwVbhuktvf8LPU3Z9OJuSBnh%dU>> z+x@Ne^>uC4nvP@kccLG1U7T9(f8|47@7?wJjaQaL2D4iAWu0&2S@}4?SMKWXL+f8= zYj2p_*0Vd__VAJqcEVqOe9%x;UmH5F{k4K;QQqbK*V0yg-?1@k`k$@)JZ!7WV~cjZ z7LJHcyfpomNX2Y5n=9UljvIFs->Tj9?LyVs8|O1GK?C4QUuKtLtMlnChCih}FFiO| zxa;Y(`zIc1TzL1TR_m=?h3D@Js~>-zzV!Cgu!<noNb4_E|3mhEdBL-`$ZL|b_I#<; zoZelgZ?5`!Y3l?u*6yEnUT&?P+xoq4?=0xQcYo2f%iA^7v$xLUi(aMq`1xtU{}ap7 zpKIG&yLvC@56dY%ee%ziTi@1Qshsuix#=d~_|;qXERM4LxFl???K<PUYvrY#-oNeZ zoab9S-ukA)S@LzdM%LXT*%hzvcgI$5eS1Vh_phOU=IdoAru|s6Y+v1l$E6mlU2Y3s zd*yOP)a&0bZdtqEhe}VqT<4!q*q1uXFtu~tj4f_2zwM0@C=XfhGk5J-)qSwzd=A`a zdGh4Rl$&qMQd3hkwY9yIkM&&qFjMon^qPu?zkcxwNGy2Jv040khTN@~?>&3M4c8`x zgheeooVhaU(^H|pQ4gk^KDqwj>)0zPs>|#a$Zo&4=6Tu0`ycjg+SKiTLrg&6!D5vR z4!QpFqiJ9Fc&dP#r0dH3vkughK05yMm5JQFXUG3O&ppF0|6%jbz<=BFX5BUad|1N% zT>7z}iB@O$lmA%Ae?H8N%9w9|zW>Y_pPM;m{kNoSGp8h`E=|=sX<6O)@y(p1y@ucC zf8Bkc?D7`1%4K=GUif$~-}*KG`pa+OmtL3OtW!KNXEJP&LW9+(O2Z`wW#=xwol{&h z7uMWkFqv?Ay+qTir{}Itcvf7C5MOU}mKCw4;Z^f_{RMmvJ{@GeqOYHKe76q+gMIM7 zYiI9)_7^vtpZhy0Xg2qX;uH>s1rJ$&Je#(~?qvGuXU8gL{5@7N<8|7pj~dTk%k&&i ztxvW&Q;H3LKK*e8bC)7Cy)qoQq|pXrGk7nSfIC;GHwk<IF-UTQ$*6|WP#UPDvE@Iz zP~i2DnVT;z1SLjKS3j3^P6<try8vMas9)dwUeY0ifdPa;$M}Y1l;$SoC1&On>zBZo zdRWg&XUt+?U}E3^bwlSgUMpZ=U}gyL32|j$VBq8yW)NlI5t0_<;}zr)ln~?<7Z>Lf zQIwETWz=VsR@9bNGggq`SCf%cl~mPHlr~n8v9V#WwiZxVQ&-bAQ#V!DGPE|;Rx{Bx zu{P8)Gc!}sbI>w#)wK<@)%7v4b~CUKW%6cX%xBc`*U`$=(sc;6a`Lfo3$yk~vJFgi zG-q(qQ*krba<euGwABf=H*_^~^|g(2bYk%L7jTSZ@OHEea?lHNvj_{daV%y?^s`M1 zw5=#*C@(bgaQATa3H1x`a}SIO_i+yO42=r(3J(i+@J(<JOZSQ`4vkF<h${?D3H3=V zk4ef5ODj+Ib4m|$ON|XHit#Fr3rLGh%Swu>O!6&H3#%`P&&bF~%PGk(%+D&SD#=MN z&MK}f$}TA>iO6Y;De6owZ%?b4R94f%*u%)Mo<XlekKq^t!(RsH2~3WQ7%KW0DmF3H zH`F^`W^nw=P;s20{4Yap^R%j_u8Q{Q^%Lghb}TIISyVG=d1JbNb52BiVN82@dTVvb zq?(MT%BHR^#{Pb>88a9sO_Ex*iedY9h6Rf>r%#XQYO0#nlrycpVnJvAqMov)6RVdk zbKJGrY1y)rqemI8U1NCmjN$KJhJXL0zI-t}e%$rw(eVHOz1rJ5T6(7TPw4NQG;3;4 z>#RwgGiJ=_n6bQl?#8Kemrk6wVeW!?eGAt2EZjPC(aIUiw=J9Av2@PVjdMCS&!4bh z{(^N2dR8r-wQW()wiPq?ZJ4)w`SPV}wyfH;bIaOg8`f{wv1!%z?c1iWIW%YE(Rtf1 zEm(hW#rC7i_gvbt=g9tpd)FN}v*ytCU58HYIDU2Sg}d9%KHPip(Shat2iHtLwrTFg z9rF*YTXby4iX(frUfR3j!2Sbg53D<RXxEiPYp)*Par4ri2WNLazO?7tx1A?W96xsU z%K3}uPhGlk`Rvg<XYbs+bn3>98z*l(J$LWJ^}CNQ-2Hg>(Y-Sd-kg2#@%Ez^w_bj| z|Kb0$8z&y$z4q$i`R9*reR*{D%Zr=ez8(Aj;r{a%&!4~j{Px4!H=loedh`6l`%gbV zzy9~{U!#ykF9Yn}fLm|&^2Y>6?o*ct4td%c=6N=bwe!lS15qop4z8S8Yx4KSJPBRp zENxyJQD=uHf!ANpd@C-y=I}a#<=*n;)#8=AcK=OJFLQ1P=xAFoqsdz+=<9+8zI}SD zTboYYm_4CHZ*mvE%CgwJqRG|Y=FDzs*}qgZDy)>R;PdI9pMQV0-CzCgXLVv@MulIa zXsZHOsmQC04ky`GE2%{R?hE^zWO)NuEDmsY7I~#{C2+-JMT7#bQWF=1f`xr;2n8Bf zE()|-VJLXcu=T#1PS1>~Et%IP_Y`iiFuMAhH}KubjwXIrV}>ift|?Q#)|Vc&-s1aL zIb32@e&VT$kB|10tPC{!vxMmlS8&6`{7EkIN0vOk>HK%X^>R)20G<~D9tp1sw>$k! z<oUzZCqFM&{yxX7<&uj7zO_tCIQTmA*sjEghBTvdxw}{!E*%v4Z2U5EYQsv=`Uc@& zpADB>@2{Jg<@|91k4V)O4^|6pS><bwMa^_SFfLfwFg>a3c68vabZJ575VHw0mpN3$ zUo(Bc=$L81b&0XHxkxh8;HvnKTYp$fmVfKy^{H9jRxRJ~s`**e5^Gr<p@8$}XV~1@ z92T&DKHH1yx4!efG0kG>m1?zo|8`<?%E^ffyV<|TvL;+_{HXlcbJ>iAhngonQ$BI` z|I^A94ClFCgxk06%5HhOj)yb;T(O!5Yu}!SX^pFXUD#K~aOF|Y=etZ13hOQEUwq0l zj4MisioJ4qw*JMF$E~E#R@SzLTT7iipnJUYQu1H(^5^sae_5(&u<!Pk!~eXhOMka+ z_T`<$)*Z!BYQ%Jb<!9dKhIV)F$#YcQ*BmJKId?oUE=bKF?Q3fN6~%zELp8-Sh3-vR zxvoNKS$%$eT)pqPncI?u_BwGl#CV!;u2$Re$oZQ@#o{~X=6aYdsQ6HDS!70RxqO)G z+zo|FJHIS=Ghwdw?cnXlqqQV%s6V=U>Qtom3T}nv*Fz<b>4dg@NJyOY_=kV`<SW&A zPp-__^+(L-@5?vaKB|bb^~o2gbCf&#pK1zVHfDX6v_QJ~I=j)9&SP_r9cgTNxn^}_ zZ|9*;hfa03Gs=G7edv@<h{EqbU*|}BhFtsky?AG^!rzVuJZ2?|_a<JA3n;ZY%O!U# z^xmC%^|xu~-fnlB#IR%g%|2gs8;iPazwR8}#C`JF5oLF-$vO#q!eUqDnHD@dAYXPx z{rzu_njgoM&m7o#Jo{09XzJIu**@ZOmeX=r6VmI$tS|1V6k>k)_ojU8o%i1M8WR!) zF1>#?!)&%N+qPQ<Otbf0Df-PDyeX|&>&CgewJ#YN>JOcXake?rdUK<vhxX3nTAS@p z<=NNetzGc1MER@wxi9Cw^?du1ov_JZ`-dxgE6&ba=FGU_^SOVCw@;W^w`*Cjmd#7Q z#j3KC^WOaXy3C88`Gg*GXfix_!ZhI;Pi|WFA@v0h-W+A#z}NOkYi86*>-vpv(xfcn z0yRAUOQcL?UesCr`q0F^p})0aDwi|doUM6l{kg#Xwbr>Q$}LsRjK%xP{{Ohiovtre zByT;XkoSiF8}{PD+68Q@iaD>j7Yn&I-}d}=cJU0xe|OkBYd3V+vu$(IFk5K9x>5Un zPT%aCUmc&ho3b-8O=ieFC;aZf&bG4xuXfzOxpw<~iTiH?j@vVAkuWp=@><s+{n4wL zC7-8xvSslmt-V{lc0tlvx$cV3+0Px@w=Ai5N_i6+sjjluHDz;2)ZG;mnF8)-XuZ;1 z^r~u>R->m4!~DrkmN}P>Y}onv<n1Y!w;5~AzYt*+kagum^xxLQcavT(UH!_7!EK4E z)@+F?pL=pgOTq;UuAkIAaUgV+>4RpgsiiJ8%eIQQ$K742Zmkl0chbG0c+Hw!p{Ki- z&5oWeH9P8R(As0CUZgNC2+!HrEps4TUEKG&xl_rkCpUV06laBbcLwb#IiX_qI?--d zio>$d?VZbCZ?QVrDYsSR#?h$eG=>Wn?<6dZ4YW<Tm%m<U+%NLIi${vtC9)^#Yh-1* zwyBcbEYX=R%N7}4Oql5T;>83j@2#a#!mE0=zItQ&er8?S-UVxd&$qp|J@?msdz~pO z!`3LPhfC&l#!ULKMq}oz{WcS2{o`JoTu^2e*x&Z@Zh+n;ccw#*(F+uPL}XtX?6f_& zFZhGhL<!!0?ys#K`_8m(n&@SHeNs5%m2Nk_tMcn@?o9aZGO<o)#lzsJe3r!@I-eUq zku{Ufp7dtUzn$AMXP2EZw0dytYgEGfEst9G=bODO<gy8xl-AC<+)XFh?H0p)muc0n zS8818XD%&$_cSH0lffxA;$Pj(rOeasR~*?Hx?^_xel7inh0=zqX=gIbQ_Y@zE{jOa zpZ7sE=Ca9W@6)UOW6!KT*E*LuK%-OXZ$(^eSjB~$d(*!$y!rK_=;qT+{P&p(`@VR6 zK4Dg1csA#eU(xKxj5lsixREsP=)2i0+w+Rs);}+Kzkc10nj7;X_}Zh_1}>1_zINZ{ z!&WO!*E8rn6L}I-^ZSzYzVN#6;*4M4s$R*J-#90EgzekB(&gcEH9pJvziwgPw6mpt z-rc$NU#p7Z&Mnx*(ZCtE<!^<}idYtfHTyiT99CH4r~XjIBT4Fp%#y3jbJFzxJX?BJ z<CM)?6`g~gN;e!AaxTBzx$km{$cq;>U%UCgcJVUF&U@0=B>fdz?N}olaQVRQitU}B zJ6m?lOW|rUtI?eNGjz7=Y4f(J(|)|rk6ib;?Z*yf38iOt&G(-~%zQt~*>>J*VZAP` znN>oHrOv01e!Ca7?N<)7_o~olpSAost)C_`#PEFVzOy;ydQ;$OE-o9brqI^*0(Eik z{A-U_F)YpFKOPocus}{Ke?iPG)-!6q=F49BrWEjrp=aBqfXHHXljA+yvWvAAP0lGk zbvB?sZRx3{FATE_5;BZ$-PS3aWAIu%aMQelYfrw&GG@B4FsD@e!%4rzp6d=gy&St; zZrjY`A_ngI?<B7JaqMHr+dJ{d@jn&P_p+}>=H|AzU3?)FF;y=3_LgP*TzSf`Rx9jJ z48JXLg<D^8-is2JD+V*FGWH(S3tus-tyXHq6zTAZyUg6hr(As?to1AX_S>SJSFdKi z)cX7|cb;CiU#8iE6+-#bnFChjy4}v+b*nZvnI*5yw2%9&SAE_8r$tioDk4=&wmI45 z=3nmn_S-7)T3{<vZSl&O9iE08+yd@vGpTES2(aLk+-1F_L9A-_lu6IpqBgO_Xf;cE z$(HVzVe@Ul%8xv$;;*_>LQ77H?rK?cm1FtE8qd!TEB|S*u3GD>HN!W4N#@JEofoe! zo%+yq7MuQ#=rvQO@z{zm8x&1g6@G1A=FHp+Jf)>w1)WI?JgeDG98<V?mHk=Ej);r> ztJa0oe_+&@8CEWqty0Q&^UQMgm7QXxizTM}P4PD|**fhH-wC#cT~8OfT#UC5u`f8L zEi?J{(q&%t+g+|-zG!}N!OQ$t`xVz_v)2`@WW6W9R_I0G0_W)ELf5?(Tsv-4)ZH`b zY{}Qs&}*e#%llut&ebiKN{z2@kJ69ToWpciS2)QqwEgtmOZU9~->Tfou`RmPRb<BG zS1JkbG$xpfPtG&cT&DJef5ANdSyoFQNbIO&Q{&Rf%dZuUy``y`%D=0B!Lju>6-=Do zzD~R1HDm34>!MUA(|gyi1{*!O9LxCNbBy^*p+`Xsf9@s~a<AR@YsErlC*3_yvp3zl za;<4sV@*@gcgFb#9%VQ6x_@>!Ie~l8M~AgKMHNRcZ<^V@TmDXVPS(d;OY?SmD`vOz zR)00f;d^$(tNYe1Lj|@+8`-L_u8CPW{l%|!^+wuPc~`tIpFVko;m%;5N?xzp4~ti? zUv~cKRfh|o9p^3;JCXJ-BR^!4o?+1MzN|%#Zy1%sUxh4Tzmr+s+2t_V>s{W9y_?c< zzY1<jVcQlsbwz1Q0%K|N%8e^!9n|Hn+?%+_Lp6}4Dq3`&TC4Nr7ZOK49`swh%Q4B| zK^xnZGxstZ_^<79Qw?OQdfI2LTfVL=e(y~?rf9(%au-T#*WL5m{6=iU%fjNd7u6@5 z-^kA1I?K)fa=_(=Ga8#UrpjIEDbuh~p4xH0;|^D0rU1W5d62nO3iB_)lNn3@_S{t4 z>Urh`|2BrCwcaaDR{fs6AY9wJaQBTn36r#EFIDUGy_plb-JJLA)vU}LCQM7?F255N zPT~qX*U}<!xs++a#BO$P*`?cet@7A-F_gtkrEj9x59N(_G82CEdrX-&(XVy?w#ZeP zG1@CGZu92a@nwbdqx3vc{UgVvZ}fE4U7V*}pCGMXGp#z)foXrg=5y9E+s&6na$l~I z<9hq+%kRvtHdfn%vUBYmDkZs=EvyJO-27O$$jLq<ex><_NYf7w*%$6zeo$h|R8h?b zjCE<tH5M<GKglR^HsgKjnuH{#pED~~u=}wdVKbY4h(%uX#s)6YlpRjJGgR)|FfX%t z(Pr0Hwms-d@;Pz)y8XA(VhmSa-xy`v`a9{!^yi!{?QO@ezFL`nbiWbv?Hk4~!`Yc6 z8#P3Ke0JB`eMwJkO5+Fjy3g(sp6xfzE)VkFxInzoNuN6?An@1foY*SEHiOv4bIbhu zcCFoexjT2y#eHu!o74!~Olr7!j<xgb3VR#v)Qn)!fJs{q#y)@Wa8fRh`LD2m)zwGa z1Mi+OFWpk{T+1M0#=IG48LXB+Tr4ZFm)Y!4-csHR7u};8810t$R5jO^Opw{Uqwr2o z^|9ZHYfdvTs+Tx<{Q9zCZM$JrO`F;LhPB&#gU@aF+bnXW%Kw2_!lj^Rj<Y3Z@Ty2$ z_Sw00LwUmG;0d+sFXl^>^c?(lJk`J_Dkg2&g9_0Xt@2+Mw6=Znt(tUUa+xjP3B!jX zH7+k~_r-OeZ;0OK8Bo6ceopJ*!{<*o#+sGfjId&r>*O%3E8Vj+Qgu$_$ya_4#T{z5 zdWPPLzaQ1rUFtgPROhrlGebS2=62Vz&Sinuw9GuLrWh?<vRJT;>sRC6Kl4I*Z`@k? zK~qagKw8SDYnj&UD=Ma@D}KM)QYv-hXVI$YW1+7WsyVOx=EB{w?}*kl(;M2>xBUDX z@5jG%czRW+K6v>K--j=zIyi><zBMwwQm`lH?j@5L^ME9Qy2X2T#a^@XVybTDUoZV? z!-3ny+c>V2ZTH_YEsH&oJy`X%am9hN{%TK(Rz2&wdF4e`MMUZQQ%AL*1>U$kdjdmc zZ1+tS)}^Xin;H51Q;f}jAACE_GIMQKk4qfyZ-cnX;@>eFi)KvAUe~bb-nF>Tg2uN# z-?^C)G<W9DHGv-w=ms~syF1KXcyVdU7s)_F`IOk@E7F6$8A{l5AMtv-<CDsY-TZ1% zZ@PjO>|69<-XAr`+PzP|3*89lneH!g`s1#;Nc#oeACfkETwIZ<5Lh(5M2dH}=&?yN z1y63-dn3$FQF5is#0s?s5e;IGoSJWZ47hUJNNeW22{V?=TJ@?dB6?QR+$)@`n@&E~ zFw`#C^Y5{xqT=-xYCm}WB6o}P@lU<9Zk4rG>&_#I?^;Wl@@JkDTDnC1<>gpYrB?Z8 zftAbWY~k!}iCKOnW+!9*y5#K#-fJJ%HnlC<+@WC<`}3K@+ad<0ZIhp<{8)VXr~7*T zM~}C5YD~Y(nR6k`=tJS=gUlTIXI>UhVtH9$bzzyzmA%U9bElksC}yRRP+l>2gM6}j z0`JbX8)TBa0~*x!u&^3id)j}#xo~eJ-x(Dzm4zZqS`R)hbepc$Vw`t~)AGQv)`Ta& zbm|OVGU#zHVep*9H>)9Q0^brbPSc{QllgpCPM0w}?Y0iKdi(0@(h8<`Y0ZgCGtV!} z{J_h5zA*E_M(bVoFP*iz7&-$n5&#)Xz!(XDD)_pcCF{R=j?w(Oi3_*A{>nXlW?FyC z%a6^oK26-x;Uax&-kBRqUUo)QcGhs8>wIwglG~~MlP>k|NQ=u5u70LIQS<B5#}l6R z+OD2D@5aUi+ZUJE9hb2`U!2)1wquLh(!<NPya<^qX0U3@p$z$TOXnG05x#My_lM*m z_aBYDP5aGN{bY8mJ@8az!HYLB+Y@Uxo7dlX>e{`5;lbh?A`B`^%4FGI#4Zwvs#C3A ztI`;j{ZM|#1nx7J7yMdv_khmDMXpKjm)v*V$+_j7SCgmEv<A&Bq5>)}OZ=)h^`m_C zT&_MXYiM~G`=3MYqO9rc4}vqkFxx*=^-L0qx#cg>@I6RK=UMR&j|Xah{Ok>RU#+g; zyY$|T@A2M^g1Mc_>W$)izc!l8xcFLbSGR}E1hEHYN~PSB4|x23t{?dQnytmWwEDN( zxBq{{sFP{*Jk%z6MgM+QhnVcD`d`OQ_r%Pca>CAFQi}MK_`MUhiSG&sd*|^fW0K~v zs0ks}Irr<H$ls`aQSm)dH)O$C_tu>ZQ$mCy-&R^m9+iB1KmVk4eDJet1#7L($Dev> zw<A9xJn+!*2M@KkTis$|dV6nL6W7c;Of~CI?mQ;E=h3gTNjINOdh%-fnHo8{a?ytB z-Nscy?d6%=m8lGGvORLvKYPk#^=>iWTFduuzRHyLWp3A5JNvwGLX+5so4+z$H&4j- zTmK_xuZPZqdraTUO!AH2K0euMYc}uDgq_jz;(so_vuD~Y^PCxx1#4av1^rWG`1fT~ z=+6gw?$tk4Kh*L4`1f$Pw1mQ)new*PD_-vS=JWVo*t(F&eqPZzvwic<y}VbyF=p=J zJu8e)9#J(Y_#@IWc~R?^Uke?$`8N48KFHE;S?g_^cISHBjiQHtT8mlbV=U@7o>|i) z{qJ4=dU-9I`h`XL_4g`Yc>X?XnmWCh+kQvD%45G6=Ng1Y9GSXp)#U?kw{)rm7u9Y$ zbYN?o*7Sw`-f78;_Avg@JU5w@(~@EO<mx-QKX=N@?I>LF?8@CO!oQzBV=1|%`nf~- zcUE2UJ@@(>9C~l2OFPz{JN#ssN~_lIfWLgt<}Rw7+WOr7?8@_VyYuhaPg-VI@|*X@ zEOC{G4?chWzFYB1esj}dVQGoxUB3=)&UbJt3)rnC9D4Kli;cS$3AZ0N|NhDA)aui( z6ua)P-?4pTwe-hVfA<(o2xS!2x}!Lssklj>;m5ngU2F9sr}1QLUSqLZ^?l62n~&4E zi#MMSd;ZU3)93Ax&-LH0KY#c3KmFUA&pYPFet&RyPV#f^;?JizB)?o{2~G1)<KFRu z?T5)rzo7C-YX7)@ea&X5>AO3>I#*$@?PIA{Bh|RGS&dh%zrV`2_g-aJ@>93;)>)IU z($g>5@LO(Iu#sQKF5dNx?`deydiLv+J?wwz#BGige!qeL-Q?omFJtXne~C{MpBK76 z@%WcLmyEvtlm2+(N$K~4Z%ePRWI4nX?%(_OQc1pc&7CdwpN}gotlTQ(^I*r(<y&U( z^55C9bF$IHD=Q9OY5rD!qp(=|YWADAjQtm0D#dOtk-AXDU(@rT>Q1)73q}d!ibCTv zO!aqm)LlqW;Ms9_o%xp^yV*1DtZ}{__VDnQaQ-_|H3wS!*1w%1)##mRy7EDBnb7<< zOWZ=PuvB%<aeR8@?2fBzTXbz2vvu;8vKp-4eN}Qxa@C4({l4lF+joA?0@E5-@h~h= z;w;Iyzl-s>=j2m2v)P{cvD-0}JZqZcn8lZB@Hn7prX?ra)W&|-%|$`W53Nnu63o3m z;mft+7jJw!=6&~@ZkhN0vruTkH`h1e>#sD%Z4};e=37~nsNX*W>#nGo_Iqo%_Bwr= zx@}wgy*)4ELnns5jm}>2_h2HIP=++~F2joE;EUmGw%jZ7lNTP|ZkDyAR^^`3H<yXk zW&!?d=4);|(dHid?TDA}`QM&LB>ksfS);jA>S+Gjh5Jq~6l&iTwdqvt`u~^hWp>Oo z+wOS&Zux<)uksiFSZjFY-tN~k&A<33tbO^vMDzQzXokb)kq<3S<!^Emj0s+0?GpN+ zWy19Z;S#I0^`Du&pZPS(FXYyapgl`eyB^GP@@0=_@(Wg|SY}#aGp%pm%aY!O%ap1P zUP=D8dGW)Q?FQL`D-P^vV_JA#fOX%|a?Tv?h#kQyLUTnr!~Y1gGpl&JJ~|QqjNeUs zYFC)%{vZDT{{P*t-TMF32kpce^+)|(_7vC$XixgKp~dgoXWkq2|9-Bo`#d}T->2#O ze>~L(G5`Jv-~Z$2`TD=J@Bfkd_h)+Pn*8hnr}bjC`73t*|M}eh_u2LT|2?<=ee?eR zH`n+7{CU2P(Z2rY?D)TL#Owc`&ey+U$hZ7acH=Mc{lC7RPXw9t^>zH;Khy31AJwn> zI6MCTr|I_ppVt4pW>v~#`0S6=f`9e@)=xe3|L@zcS?X^ZZOT{t-~HeAbM^hxzwQ+& zK8}8U^)-is?f>JC_WxsieO|xDHjZ~;+W()4y$jUT7RUXavuBpdq5%8p+oU$k30(g# zYR|0Ze@RJkU5Ome)QwEprgcV&ceIAj(4Q3Tv%<%RWpeA9$o0q8{FbjjP}M5Dxuf)q z&_ToQNs7N0B!rl4DmdfauPAZMSt+g4S7VwdcWSi8jKdrFIqNjj7_<FSW>%^to--6| zn#;Y{itYBn<iZxGg)e4IIQ*#F<<Mj$JMFg950lPxNC|I<c;q9Y|7erJ3@xiNfwIY# z64NK1ZcVMw5S!-clki}JLBxzkLE%K5brqWfN<RGm!|1KhWTYU>=i_>7uh8M=o8tt# zCcH2Z4)ZWz7v2=%S|d?EiJg@@&}~LnAyZRpfbO~op3@u=hKy<wA~KtqIr|=VCq>+l z<XI`q%<6nCDMQ7)O;F~rpo5%;>_Ul`?HrCRz5%+^91b+MF7Q<fv^&hg&G}4Ww#z{y zp2<o%_tZ{Eow7VE+9Alt^xtXyvm^u2$KCZBIzkCLcK!!LKd|WdoDlvfkS-^FQn0JC zNUr~AbNEVzo5#dE0ut?*CZC_oe(Hnkp=6~KE(#C2Eg7!`M8@g*?|EMT+yC{qkLL}1 zj9P3AFU?X8;E0g^<~a4}g)fzAPI8+V7pYD>x`vzM+2$R8qV`27G~D{knxrzLoikB# zUz7Izx%_XNea?N@$+4gzVI4zdcT>1Z$`#HH&)RZ?xXKS0xqJN-TEVv|f-m<`#}D`V zjtK6S8ox7aj<Pz3+s&?ia&=#ju+TxlZR-i=j^dvJ?X^sN>~5dVe=v@yQH<{~6e~_n zO4^a0Ao{^@+6C?x9_x?&_-&@L;YZ9p>lnNC&7KVl<s)nvpErf_ycT|3^i$%AjPikR zg_SWO+hg($B)v$R;~-h#T`;*a#?I^Lj@J_1J0*^tI`ZR=?Eh!>Yn^ozgl9;7V9=4< z#nnCk%v0rqb6lH}Cndhpel2<Mb$qYj5$AIP>N+o~JPgEiHaDL2KKG!I)uTY>@zsD2 z%YI1ciJW=tn97oP@b%2-iQTH}n#&B#SZ5SS9_%RPN&2g$Zai<JCflY5pC<UeHq@KV zI9d2Ghu?!j%hwa$&3K@CWL7)Nap%*IB0UO>*65$<mYU$PK5lL5^ABOUKYp(6Keq9z zTsPyHu$m=n^k#@}UX^fe)#jc%S0mTw6`o0sI&-FR0UJxD=`oEXcbnEpH@#IZzANNt z+?2fIp|<uXsfJmFiDH*++Sl>C_IY-y<EQxl*WNsP?JoQ?zJ7$mura%3#tfg5RClh^ zJqs$|JauKuJ#?tV;l?l3B&IO8C^3O!&vXUF_8e<@Z4ngl#8i;GyXDY@WI^?7dq1DM zSbo3p;fuhM+VZ8ZJxiV%ez9(ReNr_%(IxA{sSS2N^E&>$|9|%X$Mphp&mZCFYg_N_ z%68M0<AJVd*{672v272uB4jpSS$5S`ZwH&CZf|5&Y{E@7maP*Kma`n5oxe<nV<q>C zjw@F_l+OEeyWn5JC!2Qj!<qGe%Qto(J+s*_*6&}^R;41vSNYR*clTWL-n4XGR$)NF zt4l|&RCZ4n-6iu{mnBN-NAjEfwd)?%|BL_kc7D9YUw0N$!PZ|l+ppL!nz?sHxV`y` z#c_Xa7N|+C_v>`}yJyd=xl%mK|C^O=-Qc$J|GW3ome_e8TpsRSo7WV4qII>wY_qji zQ8BeCtjAYn{MhtE-ymB0&9(I=Q8BRvK7U>{h0AV9T-_&Txw^0aX7qfw4@|S;{ynz2 zlJljz&Fqb6ef*<!)1+k7^)5~mjktU35a*>FmeMna7BO~*C!OKB8zy`vPIqQwmFu<D z+;P)?EETh#mhfYHMXq4<*1q_kLhI9Pmlf+izPj4_`mQ5e1^*n0JNxU>?+Vj{(qYlZ zVr5^=Sl(FmIoRRsqkS_1@?%S{bBS$FIjAl4>g(#MwJ$Su{3^Is>|fJ&-Bx?W{8Kx{ znsy#p{divc(fd;C>lQuVXnf(OH|LrENA}I!<kjIDX<GU93dgmL^?xU;u3UNhaFCK} z8|(39I@6UWeKk_O_<XkZ)Zaz3n^tbrp3+|%(;ay8hQt0@N81?VBBHk~c+F*>bFNIR zdaC?8t<IclW#?>uhTBJ|u-dKN-jZ~qk-d!NY})ah{+{$AwigbGc?MP@@*TX>Qgb8i z{q;k;_tu@xirTz0@tF7N!&k0;xUs2K_MoQPi>n(~oJw*o6`#H7P5hfvC(j(8y>a5s zX@AZ>?{%HL!aL~jnxsg<`w<VH1lUYI(iM=Ny+GpgRMCgkYaE`L2a3$zJLjF?x&2*7 z&m1pX@#B-*(RC>WEVCzsuRrQ$bkFl3|7TaGi`Mb8CZGLvZq;vxfW7;*ZM5$E(o=hI z<k+!&+Cp<`p5L9$DzT;Xwda~?&3n&OvmZ;|Iy)==+1w|aAIv>vt0^F7TNQMmsbEjc z?w2ax@0Rz=xmLO`J=`60a5vM5FPh)h6}CpV*1VhElRoeEJlicoYg4W*nqPIA*TuUa z<j8ZbRxcg1(z|y1T9!ZIo*Gl8)qVOo$A)dbHFnlhd9|H3xGIPgMb@8w_VMDaf07Ta zKdjvBcS0zMX`{o3A}^ik;itt54CTb{3HT?<OyBN#KzPsM2X=4YEi-MLrF}xAfWL?} z`WjP~*!=U4KeHHq)ZZK&VZ#`H;A!EuoTQ~2;?u%bZ11pG6B2fY?MIJ0_nS3WBzT^k zY)QSgIVf@W`9nz+8SYMAZ%*5{e3Z!kt39EP>#@Zg2|l*$nV+31zDnh(cz5o&9;~F$ z72Emm_LS7eHwEXN@$>w-%X~kZT=#~~Zr8PTyIM}DE~;5N54IMdM8nz3<&Be7YT!4H zd4f8@N&jD|FMPu-adz^XDF*Igr)*w}lr3O!UhKso`e0d$@y5eB!I2w3e_WaKjj1&# zY+J>`brac^>S*lYaarvoUZZpD*ru5qQoVCJS`rz1>na4l)cmnI87(32s4P_W&FN$P zSK(`qd|q$g{JeFcdh!Y#=grAG6|JT;{EGWLbK9{q-A;d3akwQO<dI!jGU1sEN19Gw zY=WWrr54rJog1|ev1VSmIXhkCV#9{ui?{N%E=w7fWIYH=F;3BPKE9{(1jE^`4*pBG znYR}#_#jl}t@W98;{BhVr)*?}Hl%Lcz{+&5rzd?%hscL#UQNuwT|9G7rM0e2v0s@` zHbGNs@1%rppPGW34jr1iS0qjDx5;4>GY4azo9|sOXvn3du&_MetNh|J&)lji&a)ie zoR{za<abQ$DSE%O<>k^QWtKG>7Nw?%j8>_Q4O3f|uF1M793i<y=Zwj>y#`k{E)R6K zUc$17`RggWvnHjEE1I2|-3+qUI)xd<eyx<6*0&(J*=xx;<G<(bFI}`v&on5)%j3qU zl9mRBTB{%fYo~&Sv=IJXF$U<DnWC8Z7#7o`1-4^P-IHPNapuHeF^qhUseoWeHw zAGdh6*J?wp+6?s#=_)+$nXGaOy}VRpx7Z!%kX`2d)z;s6#dMD7Py_89+uQdZd35Dy zlYjfIhDz0-FcGnJO{|Cf^czYxZn$!FZH!ZUOY7}d4G$KCFJGp!;y3HH<9drPoH}(> zZ`%ynPp@3X+HET*8hl&zbyvs-A?^7GI+a><w@i{v^ljN7`pUFyr9nk|t5tQ*ixPwD zFGapI@LDN2vwvQ$w<!50cgC~E!daEa=BJrO&P|(l<KVBpC4!gh|H=o6b40u7i;C^; z*-%*(nYi4!<PB5yv`gC2$4X?Hn5J=XN6Uz{?OVe3XnLdJotxgACEuE2PbW-Q`=RQx zJcqv|=FrE_Dz7f*#7CJa{r_e#fB(OeHRrWLW^A_g(GQ>TGV7?`WOmh@_%#7uON4G8 zjt>&cWPjQ4V9Jf7Z0EV-rqnkIB`y_r+;}62BY(kKwF@Tl!pysyHY!?8)09g)lxCP^ z7<(onY=i%q3u_##lFnXuqu}$|L~D<&stCVz_2)m%hk~36bXU4Qi?*05I#EE(M*e(X z2Y=MQ+S4|&Cq!P^8q1%kRpQ<(&cV@ia$dUB7CR?{*`m9b7+n6GmV49gy@7_^gR40f z0?o(r?oZlOTb+{-z;=0C&izfct^5C;)oo<*kvsQoims0w2cM5KBX4WMIb8$!CgT(7 z+|JHIsg9qsHk|nOHoxdz%g+6u%X5yfOr0Sv7FgP|%btgEA4BO8)_+PbnDe?azNof( zCgr<dJ!>$xeDd4GmIngH(@rw)XL+HU-?rljTi)pf3?BKN0&KboYaAmb7?{6&o6?Xv z!R=c+i^FZFX$QO9{90aINEW>3INNVt&2;r6EDtkT&hfYIDc{*NIokO$$3}g5QO<jU zc~1);SR~!uy|rdxz=oF^v$AfeoYAp5c{{3G?Lx(Dm-qX$ML4!Oaq53&dHq?n#>D(! z+Q+PTu|3saQp4WF|8JP8QPY0f>Qtmb`o@HBuU_mveSQPmu37Jov9KBU%yK_0tbS** z;hKgGKc`-cy0(Z@XrF<aP2{2K@_Cx=52Y5w-)r%EVPKMe*ZRiA#W`*gXPWwi3=dhn zj<fr9&XZ5M=kP5pA=$g93~DXYoHf7Y9oRIZbp6#l+n;_7d`@Tm5)<9efAHt3TqF^e zm0{<7LcD0F%shi1wo_#f+baunS1{?#(s+EG%Z15KyxCm9Tctz1$kpkiRm8?ax-%k9 zJnyuakjB~f@o2=$DmTT0o;;7a4aHv9|6<JUo}iy_j%mp{gFua_hZ!ymiVxc!h$t*- zO`N6OHFJ6M<lX6qE*IvwNw^>3jcCrW+`Q#P2(OrOjJ#08(E?XTsbtQbOo<Jei|REV zuw*)Fs_|WtDY?U&%u--ccqnDryc;j3OqkOBij9lgchRQcoBH`qoBQ>X4c}Z@;D02i z>tKXoK+X*>6Z?}76J*5RoJ%m2Xm9p=cJSA;qDL05<6CDc@;)s}x>meED8OJFpKM5y zp^5gh)G(e~mp{L+Yv_BH@LJ;aP5*62l^&dIeEOY1_J)hdhbIRzkKH)&D_XqL`%L~O z$L|`8wjVR_V#(8er@ipU1F0Mb26MJrPOirVX00p<uMeBFe>O5(f23^&$LR?cF711) zSWUk=K2A6+bZ_zzzWe~M&K>&6;ukh<T=;dW!C4L2Wi!)#3KsjniT|5Y_c*v^!%^#Q zF;|wlq~@Lr_icKEf|Oo3*i<VnZeaZ^*uhuJX>)<;ro`!IF9m|Hd6~?Xb#&))=-<XL zUtvj((vEE>x+3nRwu&E9(#u%+?(EN2YccDX2H7QnTGma1)r^-pepx?cmfE=H>9w8W zwcRl@dDaOU#ZMC#i)o43b3j&FQKxKTLRia8#?wwES#OH2wY)HY-rCA?TyHf?SNxuB zJ4BN=hSfD53C?xsw7C3DzivZ<xBO<?qSxU!8iazr%njY1oO5@}k^{;4KmQ0F<a|Bt z?mUS<n*s_l_*5*8q?k==eQ}^Agk|yfL%V0+c<-9=H!erw)pw<N9?O+qT)E==Ibivp z-v(C{6Md@pIYg+OeWoY4Euvt_hRZ9pIpU6OTG}KYv8^=npkm@j!|qmBn-IVJD0xkt zD`D)*8JGk9TR1J`nDzJZhi@L=`jtg~edG$*FRu9G%gYT%S56H0Z*l06D64zKpT{06 zf|Xd7uVW}}dEjw6OlZ%EO&kX&PGg-P()n79%euCz@xcy{#D#JmuU>vI+dSp_wCxt( zjtg!NUUGC|!2b%?=T>_sg}YmXpW+hREY8vAqQvwnROgwFm{_LdWyc7?R~>&jxaVXv zhHqAGX^oyR<@=$yxk4YZtT;@qx1{H*uDEIctfn)3v$&~(w!_|UaeG4yteIX_b_d_D zmRjEzdu;X1)z>~|bHB3S`TA$tgQ?OJW~k>lD6!>E<UQdPw>RJh)4}NQy>l<zyWM(7 zY)j1gx|!kit!yfL6iXEM2$o8|ylUT<vHE^D@7ovMyq6kdy9G;5^*`S(bZprk@r&J6 z`g?4RzU?h|ukr26OAo6({|&$1|F2Ruks+=6iBh7b;Gr;qIad4m4ZnSP%n_FrsdG88 zT0hnG;Ksznji<Te6m&rBBY)1wT`oM%bX(bZ?vDfU1^X3e-Q<3sKIgpg|HF^i#MX%C zRL@b5h_-ms!7TO0wdY!XqOOpb-0lq*8G8jJ6-)mWd;4T;tzo=8;lbBL)oD)>S@cD= zHQ1NW6V6uD@sSbQGa>y*NB34%?&QOKdn^;rehasMXZAdG+nqhTe)WC&v0U)atIfCL z_rBBIwkf|NZ8_Vv8t(0z)Yn!2v5NctXHV5@W19sZOC4qR|619|8-I88{%yi>@w3D0 zJ!RE5$?s=Ow7nQPqcoe{^!G`fzWP~epC#wDRyGO8Wxu}q#(w*@U!Hyywvo|)gSM=V zn<DjjO_hRSeOd0;%bb0`U*&$i&Q^Mc`&UFwS=`@C*S|*81n#x^H*@RN?5$j%pTzww z-Mi$`%UiFOzi4#14I*tKqyJu-9~&+EwsDU^|55c9jW)-(Rh>4L(cQNo?rh|ft6#2P zV*XjS<?0P#6NNKP;+!1;1wyOYuCH3Tq<HJ6b03zU-m7x)Wzm|T8#{N!oeO2L4)=9U zof{vy;j#CXs2{!mqW;}a`Vt@Q23{`;Sp+KbN`nQohIGA94U2-<KmN+3qigz(|Ga)b z{@eMN)4LaU9=|r7t^efbjiGf}D}0?!Ev@OPIeYA0nE#SPo7bL}Y_yyGTls(cpE+d{ zLzBLofBcqr;)XdNbwo9)FKj$gH~HJf+<J{jwM%;Oi;7C+FYqv*U3)Y#mN}d6tImF* zEliv5B`Y1b`Nn9!fH!RVJgLu8$NsSG6`aw-;A(rzwsz56Gl2ssGjul2IBA%$!Qtv! zmc&cWzuzjIGGlm?+)^NABU1D5-PTD}HBu5i<x5=D4Ww<%_TI}rpqp@p!%Lna;q`<I zRqfvW)irD!883TIGh8ftxmK^aS#FEdB?Xn)YXkUJUXGTG{IY;mj8Sc`<guz4w)YBl z3^`Tpw;c|d)bva=GDtgccE{oL4VPRGu&re*+uMAFb*toN?>l0Lyk0Hil=V9)(VyWp z?_KGSFLgofR}_7BFkV|8>wl~IPJs3H#HzOow$1dOa_r-&k8C@aa*8`n-lI10r$VQB zwsloXm0=vu#EhV+Gh9Qy76dPkxcTCZuG$g3&UG70Bue7{{=NF^+ayhcKs&|UN#b{B zi3S}|SMgrEb#IbyvzzOR)pDN4q>f3?icVoxWt-@yc=_7d2F^~;S1+gbOj#YBqSdi6 zC+LnQW3g*X<*wD6b~dssXwjJatzlB;%$*+U7d8CvFik&y$yaORrlq@=X72E;ce|-@ zdA^CqoaCfE91IH=o=`A1%}`NW_|7BWFjZ)h(C#HWS<i?amT~cz{ZT9Us!OxKy6i-= z)hRvduig821SYg^4>yWhm$yFOewnV}JjEl=+BlcQ_NVhMG&@&#d-uAFNk6AlRV>Mn z`Xc4Rf92?sH{H8=yIxwgM>#bLzfC?D74Tf+?dGfB8ry@S9_6jcH?%x(;wooo`__|O z@3#KgS98fo$?Wj$GCwD-gQ}_B-htVTmKl}qzvnDT{^7R&j`sBMnRAo)cU=7Ek@aHg zjCD7p<)=D4UuDdpo17q)wCtkio=svOUT{n}%b@V>#M+`Dz1>D;yeD?~1@`-XJ1M_z z#ah|9u9GJhwQt(V^~W<ovZd7Y?wTD=;@Q6%j%a`M<ZV-0zGr*JB_1E44;~z^rmpQV z+dTE#v71`Wenz+KF5W7Uzj>ci@2x`1Gs8OyX0bcVLv62qadlrVGDS-2t?oCs@RJvA zIod8_GCwVU^5Z;d-lbZL-ic)dO}R5)v|*iJ>&7cltLBQFZ_s=BMVT?w>QAO>ra148 zmTQyFJqqO9bN0Qcrqt`U>^4QOw24Pk!z328A70}d^>apw(<SX~ed4#fb9iT!ZQUj* zF09J7ajKme^BdQ>LNkNbtd^M`v0I8!cS{HRg5Prj1XXigp9Mz5FWteQ6nH<vC?ZAw z-P8lor){*}PpLkpdNzE)vZ$HU^tOiZPkFUG)%Js$K-5vTR==g0Gp&>KH+4Vts*o01 z>c(}Zv&v(qqn(DKV{V?W$ZnUeTTx40nDhI7{acsla5|kKf0y6W^?9wYdP1&Ar@Gy2 z*t7khO5^rjH*PIFB%95;Rl4XCgF55<cYc!!7A)U$xmiwfg4!OxOKtBK*jxQ+nO?v& zn|X)Mfv2j9z6<U(Ojekya)zIy|MsKyKs})!ocz8MwlLdWO>DXB8KA;==kgPiGoCyD zc?ibc5V<WIcZgBhbGvA1nvI>Yh^POg04~c;5A8=?B}G+p5Adb_1W%YR*(De;H*UuL zr-g@-L~`98?e*J#o@?m^1M8Px6r(kYgzOsjrt#ap{AjtyBY6dfPvc5GjhnAqlrmQE z^8T5;WPZVf%XZ6|Z#n<S<bIm0)4anZ@_)|ntIM>{hswNVjMu*MrOWpEa_%d_$Lb%q z8*KUfF|klv^MR4xWFF<YjWKpco1WX6?M;~@_+(21_e<UytCazI_Kr-G3IwN|eyFU* z`Qz?K-sV}078-77bPbqrLPwvqC7vbefp|q@Y_5cRu-y#CvZoJtQ@1nd_qY}~36-sA zIDcM+!CvQqd-#V9H?DVP$Q$tPJnK`(wslusLqLn>f%lF_6%QV;(&j00v^g+0`hYuQ z^Y+P3D>ckd>}N7@Ha~T6X>otWvt?Wx%6~|+ZH>~JcTF>NakyGk=?>8gs-M^l*nCbI z-u%!a7XC=><4i@_8ZLXAl{p_Ddd)8CVfs4NEcN+}ORMzMt!_V<;p(Mz`^+iF7x%gD zBn3rSB_97j@kpqt_7v~ezutd~b^Gb-^<vxOxl5hPr_?s+g?iMUO7r&Zc1yaTk>Kxe zG0;!L`fP6631{_VQ>Ur5-qBHbBG(&as<*Dy_E2Zc1;%$Gfu`4=@iA>UU-jspIq$27 z(sPpnmgj6WU!-SZ@zR!aeao)Yn5=hG88-fV<&qq{RNh95%_(Wo2^|@?RK0E2w{h;@ zu{hNHR2_Gkbe@@srmH~juet}ytF~VKaPq6iqscp#=)KIjdSvRl#F<|A+K#!a8pobe znk(^bhUd;bwd*1>_ZohwtaMlYv1ih>(^0Rd9MUYc-sOA6`PE9joibPY=CW)$r~Q4^ zf>o_r%rDNVdau9eo)BNZqU4n{Tj}KqZmMjL&aq7vG4W>5RXZwD5wc_@OXPJq(MF-# z(=Mtj)-&}VvD+9CUvyt@2IJYkUv;;XJKb2ei&LBN%(Yji{>Fvd$y^L=w7#^=ZWjw* z$tmW5-CF8VyMDcj{&TOhncv~$`zvdm*w>t%lo_#`U-j%^bNiXL9JiNtCT(A`^5Xlg zoKJf<d}N&d`|9>9Ym*d=yBj3jtu7>}{ABzQ!gNJ<3q#+(mC4^TjSc_uHtjmS!uZxL zo-IM0{EOIJ9)=un+rHSB)opph!m~NSz9oE*SUz+Xbo;K9xgxER5H7@}+$bIEmA-iz z)8<gSrPn%NCwi}bp*SVCs<}?;jMkej&!uaxUb9@a>F~x`l|tqR!r~Sh^l3f(%+sY< zntOR$n6Led5JMs9yJsi7oUwoB-D?cdsijNhG>(e|FEdlV;@GOTN`X06G+=eiqj_f9 zvEAiT)%msD>VfidHRn#9{`EiR`RdH3sx$xICV$)hQeX3f`={9#=J%~TgtX5E+^`8; zvG`$Y)2;od?54W@oLBgv{_Lmg3|YJ5_7|K>`{pr2&VGfayl1z^maUOYE#8@&Zv;=u ztozB*(8M1mGU4mRRiBP`PdhoM{H&>1S5=7suhi_@g0+j1D%FIWR-P|sX`5Gd=W~;j zZKLnqj`@l;E%G-s5<cIVvZJcuHdl<_7LHkSUmNx0e|yHid(RSi-m`_m&$$^q>=!&f z*JP#qkm2QZ9=-?XR<KO26OX#JRJU&X@pRUf>#j`JYmTlFyVWC>bi(sgI%8h@UFJIz zN`7!g{0f>H%N@h#U~zHPhWm5>F*>Z?5vi+uQjY!Q2SJvXhyNaLW9a*!_W2aU=5;)* zJ2@Y;$lrA}m_Gf0Y`M9S_oZ(eUoq=zTseN9NnxGoUi-g~rQ+84c%{h8d2ZtVv_9^} z{_Qfe=2*`&nU~VF@tJCO>z}&@|DUFGsFiayyuN)RY<i#doU8M$#>dXP8g%}4@(uR< zhMm`cnMxg5u;*F9WR_pG*VtW(KQR~dU(c{m)7iiCXGGuJ>#KxQ%$9f?|Jr;iZ=b26 zz?Uaa-&pZG>@#_s#rs}>!QLu;bKS4$${&|~-K26m&3gHMw+-wKv+nFEGOcH4&3hME z%E|JU)lyQ|G+&^QUE15lb+M<J-S^}bckZnc7tgX<XM1_a_Nm&T*OHxE?dO6PlH5|( z-am)=cI*6ePAg(1Eb6%S=uLG#zbXBXnSrB;c=?5_?Ate|-<^MVl3i%POvQ=S!fonh z_jgUPS#s{>z4+L|ool3bH!XOVdo0btmv4u({lqorB>kJ~%BzbP+x^`xeoidzch3Gd zSC-y6H0_m9gtu|!)EE`D^+FA|Gle}j&we{w-7vk$G*D@&!=npFKkaq>Bsrl}?oi$5 z3%{p|`^VZb#QnZIe}m^bwY8R!3t1DE$nM#}d*TFNR(R?nt=}@XVew@TKRlmZUAgqB zY3lR^`_sek+`h55x8keap5o0~59Y2dIa<q<!5GC*Y0p`+Ut@FX^{s1G-wchMS}FSA zuQ^Yclugys^78UI8vD2G|Dd~w^VcK2%r=?b{0Fz*+WBeD?EDh-(xcXD8xkk1IQ8qt zkCm@8&A*AvJ8vBx!RC;3dfl=2p@+E6HsyYLZ*F#Uwt=x(hhX7f`&A8BXNTAAdGq&h zKsWbqTk~%+t98~?{Galx*L}`?#&vU+cfUV+VbS5Gr^LT)^vH-?%OY%%_fb<ft@(KG z?9eHk%iVtY+A_S@Qg-K#=U2WjS&h3d^E7a}bKkbQ61RvgcD4DVwBFV$518$i?hIe= zuDfbx(@l-}Uik*n3Ce3Fw@DV<Nb4^P`sR1(UUG2YVy#olzP!~jXA-w-3tnvNkS=3- zAbqV{s@l|m9=^BCN5Ae6{Ws&bjoJy>Q(qtMn0C$K{L)fe2C-MN5?3$%NLem=X4SH{ zbEm8newAvr@4(!>oENWe%5h1r`;vZp{W7t$mx8<Pm>G1NZ`O!$>4ev{YSw9;=#D#) zZ2Ir?vxWN`10b8~V4b9l4)CtKYdl%@l9oB;lb$?&lap>{zf;*=;z+QM^yIkZ*H5o_ z7`G+9cBRpl!`=b@d5h0~{Il?yhNv_5vAE5GyFXv4E;(9mwkY=L!Vdv5OKMBKQX}rR zufNN_K&7a-@|v*!?+KshPrvn9Yxj&#rJr?}+k@<G?^tr<b?xqTx_zJ5DjnnI-4Sjh zlyF5m+|GQ3{aug0jmbx4f0%Sy*X-?kC9|8W=g}37KEH{z=F>0Ce$?5rFz)dJ@BVXE zSN|MsK2UObUGRaD?sr=jSSn1Oc1-H{AFqCHb>3gwGGFwzefezSXHe`m?;<1fO6Sj^ zZc^KS@usamw@SGr_+6aF!DU}(7xr^{N#B3NP_|cC{qpV7zC&D-FD@_MVQp(CYc;34 z`pQXxMH_$R9!OxwxWzsHim-*jYsXz?ua)KPdOuwZkTQ6Dtv$m2-4)@0Orh<U*o>`S zSij9H-ceBVB9Q5p*!}u)=~M%zgl|XxeyenxJDvIOqf_ht#lB_TV|%=7*=N7rd}&YX zz__`=1q=;ug3Gt}s@$|NW<ALzXD@lHE8QkREl_^%C;ts!;xgL|*BSbCN|t8{|1Mwo z?Nbpq|FfwJQ>Uz1b?C0Z+%=yL6@6_x_GeM;s=d9>l565lIx6kYuwwr8)^H{BoyXt$ z->=XMR-b?3<-HFKJ~<|dEa|HrylFi(>&~H~=lAaZ`BTJ^sP;d>SL#c5if_<$zC7z0 zH|})5G@re}F)uCk+VPv=-#@Z2mF)QV_0)CyvX$FsT3ojNy=mRmoa}qYpLg;}DK={< z1)r0SzQ5<<v7Jvp)%-YCQFK`@g7v{A#aES%f9s?c#J~2JKNqCBZAP_l6xaE4YO9NP zeY-Ms_4Da$Y32=&MHSYiPFQ5j`lf%Tq};Eo{f*c67F#S<KRn4|&O+ZAC-ZLJ?)WOu z`utTfqinkv_o`zWAO7;&o%w0NTl2r>tKd5kkM#!qsd7dtCTaB{1$8XhjQ?Iec{OLE z>?>yFOl8jx$NIMCmmRm&uqkIOGEDr`GVSC&7R?Hg<(sY@n6=*WPy3Tyvdv)ywr98B zJg`+g+l*ge=B>TGom!juRx>3$|L}d?iC2%*4JX|D|F>UHj*p{7cTv^qIo6$$J6xC= zedE`>`FFarLMfZyCGuru9`6a~IDcQg6Q_JL>;vCiF%OSB^YUJX+w0Js;tQoyr{BH1 z;^vD!>0kdC17>jFZQHRqlk38rXoi^kxof1Sak9G1F<Yp<P<?ab`-;7LAFQ!o|ED&> z{pZu&=dL|DlpYb6_s@O$qyt}@fA>sq{41BPU$@3xSNF_G9l15DTXfwzy$as^dAenG z{tdIpgU)Np_9}0*UB73+o~r)|!B0Dtmd8c%9N5S8|7@;tg3Zpn&5E1v^QZ0f$`P{O zd~nXnMe+N0tzNp}(9PR1j4!U=-uxtnHLX`#%VJ8!*F(1-B=L!8ux#14ZllW#>x~B+ zBFhg=`+GSzcJ|g?$|5r!``Ye5u9VxY&1}~+gDs6c(~&(v{o=>S<(D5OSSh^tBXx4k zrdu<%7cS6a=*+YFuA-7FXYY7OetrEUma<FvY8Pj-FrIqDkjCWrmYL;^(t^eLY3e=S zoW6-YXlIJmxc|f?L99GcvE<ev-p{`-STiTG_$*hKeWEfcYZhDCmE$v28l>-jzc-QB z*g)P@dSc#XgSnR1vfFo6a31ov%Ft*?nDT9wX4>89^Oj_+&`jayZD>8=`Q(D%tDla& zV&?N6UTRqL^yY`H&-ZH_PuM5wEb+?l@jR6aiDn@puU;Im!PteIuW)6btMxnim&#Uu zc2pj?COq>Mzp&s_H|2Y(SEc79ZR4*|UHb5bsY%sR@qN5GS32TeW?Wux)p10;#x$~N z&X+4p+!<UyF1}8g@qHHyZ(<z7<L^w{zezg!PTzLLHP55WQf^xN{;N-BNV%CU;O#%S z;F%ofg)jH+bOiYduI4^oe9_^|yO|jkM!9DjEzPXX?JzExWM6oY?OwO#Ha3&sEiCss zxOQ%T=$I13e)vH8!lQ{RKJzgKJ70e`^St5f&byzQ9rn(gS(vqI>H>3Xjp%gM^Bk{! zseE{`wQlCpD^`k<8@Bq2?K!$f;>f~jQ=ER3$<A2u%jV><i)JUMHm6rz=vKK{5`0oT zLreNC$5iJKpWJ6^$&+1rrboPHd)1zO>W)`yd3x)*tKr(}-cMcm475LF=$sV_GP|(E zbo<Q4=p_>Zl+$~pRt9O>U5==q8m94PeQYbwZ0Q=#?Paw|PSp=~i$>UoaQ*nSwyp7A z)a$Qv?ukVO{;;oj>b2WrB~z^HJ+tWC#r5f~+g%bf@>=q4>D=~Nxs-42soRROYeF?< zZ~c17xNnxq=9X6zB6fElz0l(SpDAP6yCS(ewVW{(+;42E%b9}@&)dCWn%;YblNXN# z2Ig{SO!8qjh%jEkec_VB>vaotLYFm6-#=f+;&*%Qth>JK*?iac@Y*hTbZ^^4mEUTw zenju>Y)awU@os@Iv+s*Lu9j7$p@~uq)%=qpuXAaAJ|{Lu+akVV-Lf<36}c7H)u$J) zI^A!Y7JD{}QQ_e#ziH9IjFXoh=d+sdJk7iE&z#rNY*#$ZCz*J@O36!p^#0gZ^PTTa zQY4I{jlaERFTSyM%`~5bd|OUOKi(iNvu|dx?ekBK6J?F$!e=X(HbwempU6IQDed3m z=dannz4iOU660@tw7lS_Q(krXpDotf$7hv)%h%GETW9})<MNw;=PSOip1k1Xdh;!f zyI+Tx);sR~Z)Q>V;9V74$IhA({ikcQf7V{|s0p8BwS3>x1!^@63<8fq_Xg-R9GvC& zm)|p?&v?$g`AfZWzTep7$2yHI?@5f!Q61hnuHMXLJR%_tJ63Nnoy}rx?{s-$Pq=md zn-y&V{wX0(Vzq7RAKqy#X4P$do_)$sMBQnH{aMX5T5Imyo?b53@cQ+;c%9Yfb#pY= z6r@gZ%wGQKl<<}Zm+A_t+ch(5+-r@#3e0{L8tXNykt1DeqoRDp5k=#voPSkB1U`R! zZtZny-SayZA+cur9v``_VSMFp{<gr#x4&=g|J`41-t^c~PJhRjYtDJae|mN|Yu{-M zYjSW*efaZr`z!wQN6p{A_uD=5^G)duH%hMw{HU@0vHrs9`)s@GxICrqIj?iOvMqSC zbxFPDr(-TfweOzBv^3t|+?Ko5XtB^7jZ=SKq`gyF_hx6|3hkSLN;0c7_qQxq_oJpg zjeX|L@Cddm?hBksyOjAE&TeM1`aQvKjeP{WaptWLf2JK;B|KGJ%e4BFR(4nFrM=GL z+OLXpoE9oaPvu`&r?NnftKT>F{^a;O-1)Uu8zWxqobr0d6t=wOrSGr3RbW{g7ouwC zs627u*<U;MN!X>QK05R5nbMbp)Bm4WGezcoUieIA_kxoBo)?Ty%Ql^F{-{*ou2B;Z z{4dccRs4ZSg~kfsZF1SB54%ntDoTo1ZL)H=s3|YkzaFIZW`CVWb6=yahz-N_jlb{S z_|SRROR>~=-(Ek~=%2O*FZ*0>UY>hx>;7u?KqZ+ri7ByXVh_u4>@{cK`>NL|cH#x* z$~y1j4|kN#=ImSMbUst9^%I+L;?c{ENoUMwnEsfMo~HBsw`R$N#p1TV?AXp|-*sYj za41r^zDHupBi{Xzvu{rDRh%bxrJmz_+pn2M_b$k+oUVDKV7WtCWX7&5@;5U-xqox0 zxmWPLr7~Y#%aQfX%M0riulR3Iee8Ycle>9l@6$@pE4((c)<wSU249-|Z}B(17pQq7 zP;l?Kmb2|Ly(`ILi}wYE*1uisYAycFQ+>&YWIvm|lT=T?@cZ0$;pa`uz?3|pbT{6` zJEp}jd%rmIaOrc~U8lwQ;wJq6W%5vZo>+yR=j&B*GAlS|A9kI@ccaVZ4$DHhxwCE? zJif%#R50O%@98@8L`LBcj*ryTJWEz_-|(=obL3te<}e{||7pguxylFo&o$l46xzG} z2J_;FoA1mCXLOf6dD%*LgRk+M3qPaPJMX2vDz{c^e7S&qLWia$r?<u2mc!4#2v6bG z{q^h3l+%yhnC7Smag_a2-eCM#M&0UR_V-0kqb4&7q_J=Kxll^v#oRlceTHvsp3W_^ zPFU8dtn#krZSrKnEN?%dd+X(2&9=PSp2Zh`PV)K3s*REcN)KKN_x{{-?93vT%tHaD z>({7RzQ6L7*Z9G=wr8?O>=thEGYUO^pLf1_JJ-3``wElfZ(g6Tdh(6FMg0EX9<@RL z<|Xe`uDSBPEd9{LD4AQ2LhD@;?nO>fINr0n$)`B`-i`2c2C@7zKlO)-PP_gj?D>tU zs(+fBBl8c+aUZ|2Q1Hoi@sN2Iq56%yzhD1twfWKTdv=oUqiGwX>w2^`&*lm(y1YB} z>+IHm2e$8YU%ZceuK)bbo%?|)JGV^qkf>Pu|1X#6{N-!o{A>RPq}P7Xe6!}hO~~H( zh5mCG-!Iqv5|g^eY4*~)?7UCCrk1A4KPx$W@AKW<lBPFrCq7B@*}XdA731;qeM?H! z56=63bkmyq*XCF$o}FM4XH`&L|9zft^^@%kFPxN{cC6lT^yGziyJwkBxjO&u{J$T+ z|NbN;)a+Ak;Inh7rE=y~(dqo>6GP2>?l~Oy?R`IQ)y>2;=l52=II?rrCu!+l3?AWX zr=$-o-tk*uum1b2Z|n~aB^GEdU%7|nx!08GGsXE#j6WOPw`I3{FMoX9y&CR>X-9sW z?yTHdr1km__l1T%^(*Y$=awnC&-J<c_>b|9c_zl)FAbGFa@rs7IrcuZ={x_5`%*U_ zFqWIYp2V}~lFTAI^ZgRrZX7?o>a=}N`!}&IDO+pS+w#jEUwePO-|m^q{M>A3y()W= z{C|SU-L<xXb1b)P*57Np*EYD7t^elp1y@ezm*>xM^w~Kf^XAdN>i3v#wjVqvR$!Cc zu;8H1^ZkGA)_%zG^*hM8$d3I2=c+T=7Yv10|7krs^Vk>u2NPIh?$`^3KRLUC>1##; z)2H^t=3I~e$NeY2nzY9JdxqKWIO&SN*M9H3+J2y2n&;Sh`K((3Kg2G)sAgEFYL%Yw z+{1s%#BFBkdDkSXFLK6CzIMg=aach)vt6s-%~?G2cAEWQ(Yj;rFf(LB?-e8eCF>OD zU&^^O>uZ|Ki;LNOZZpd>W_@7nmp5nXWp($QurlvQV1wq;!ZXHS6?r+>3pCg6`l-Bk zvF!m_n@ir=%kFr+*vfxfXM*%n!=`(3374*kK9ygzFhaB{;`OpX{UV096R-Hcl65#N zBO-V8(%fXV82i6UUmWz_*RRQ$e_VftF>~wD>;(^>d-`8p8ou3Zzry;@H99N){%ftZ z%DP$i?oyqc=&yY~;xnr5%+ufc;J(V*3+I=GJ$w9BXSRcF;C<^|T$|aL7rkh@KL2e~ zINFId>*cfdTR%EIecrL9oc30dn@>5Nn?7giSJ!jtm-`szvlh?msWJL=|B=v>Pe;Y4 zL?{|OyAbvzaq&F;E8hN(<+>z(>e=<a4%T4W%(=O#?tsr>^)HLXOV<^BD|^nqnsM(7 z*SRkn&+I<eZvMtb$fn`bY$dk`C2N!S?a+*|DcBUYJm<^P^J{cITHV@I>~6YoUX@0q ziP1Nk=oRxnnB+OOa%;7)`#m;`tlFAz-Bea*dL3Vh)maNa#`#6J-WWU#S)Y2wV{v`f z?5_u%pY;|l^XuO`dCS8ctP%y6mZ=xU@N=C$W4j>y{rjtn#kcR|v9?br>rb8kzs7#y z*4K0A?znjOrT706*F6{6rloE2UC(NEqBiHi%F9hn!DfFK+_Uyr<aFlbt?G|cW**>_ zX}@{P!}?r@+xlMPS8MieSNMEiePQIv-}MJpOk^}MPCeE**V5T%;pcs7GTWWLYHZJ& zbwoCs`|G94JIceYdv|~CD3H4Fdl|!zoWm*Vmfx!C!{XDb<Gjm474>h<naj*^|MlBh z&+aaLdUd(pv#{q*E6QshygDG)aDEbd$(~ztW_w=EET7aX73X$z-Lx~SZ>HP(zCL9c z78=lYFKNcQQ#)oIzt4E!p4Oq_-@Ox6E-!m_HZ5mTvW|m#_2*NS6?5zss!xs&k=5Tm zLukv)=k`9AReZHRmpR{MQqSIeJ+}6U;r?Yu*eXjc?@GUZJaOvH=bx5T>b6e3x<^*l zU{RX6xc{|uHFLF78{K?%PY(~P(Ado+dnH6YbJFgz=~JG2&#nGxeNF7ufy_Ix&No-J z{Jgy5tmWO``tx@E`*!K_4u!wMTOvDWO5d9K<-szOz53p}*P9luT41#IRL=2#vpox5 zo2CD-N(l%q)V#h=cyIXDrG9<2j9K^C${+ile6{`f<yHL6x24(My!`(~UYpzQN6!DF zn@+!QoxA*iOuE{7#f_}L63sp@@(aF{W78-&L%8@&PRqv5zc+jD%zX1`tu5b)MUnGv zO#gc^J-=aY`-zrg2Ope_nx8Hv{bbhmsGX-$gu|zsZRFi5wEq9)-&23e$E-M`xBX5- z+~379#rZ|GlP;c<y_b3>%O^v<Z~pFx#(O@$GH1<>j{5fSU7XLvg3^NAh4buttJhi; zNPU0%j`xMhyWcy-??=^0iQ9QzasPIgspQyu2IHSm6J}qXadFe@SDlY~Hk<Cex8L)1 zOYWJNL-yxCeO|w6GWR!rtwirxdS|C32S1S8epgRmTK$h>y-Nb~`fWGQ^_%i3FH}B2 zy_bvS)}GB7;i>afHoju{C4V_``2}s4)!TdX3=-J-l>gr9j8SLJYWd^2X5#Y`t3Jus zOLLvy6BSWbtGr_h^KHcy(#4y~7TwS>+rR86+hev*t)kl}m&`5|I4FFy`ux>5Cszf$ zS6`pM<iJ;x<Ikmi?)-Bvyw$RAZY^t2Nt?y?>e=iyGeYKPKYkw0d(Cv7M$}`rlz%3A zmi1E87sy3AJ_vZ*ba}^%0^Q;ivArRZVqX@W@q1?QM&)74Nxwsf&g2~85!>Q;<)xeT zxi^>Nm`VdYjHj@AY_an3V_HAa&%WJa!T<TM8Cnjr@_xR()`Rz%{O3jWdtMh<UkHrv z?{|2;eZ_p^?@{tPDQXw(KbH!rEY`hs`tTf)G{0*?X<SDqwM?Ja@>lHpmlJ{~ZcMM` z(q#E;7%O?Gsqg8my)4B#*YoEUD*h}Js@YL_s{h{g9==brWV7$xtX{UfFns0XN$U;P zzPC!Ny`<E*_-tu!|J0v;7rSj*KAw-4tDjaG*j{$EJh$$scwx}_QmfftQyS(<usK{a zSO0kCb=NHMv=<MI^<_H(w40rD{}+Y6II;bib}QG^nGqLMm!H+Ya`U5UtJMxq!CCuW z%e*O?!?bJV1v$?vY-P_5-zZ$SZSh>m6AS7)rSDvjxFg*8Ptb7l;tTQ}-`FkK^gdS$ zU93OQT5r9c)!b3Z?%>(UWnb(|_P)6PVUdXJyqo`ZTYnunIO*GM>mzfQvahY&`E&cf z$B~l*?wt`9o2&7Cs?40GXU`A*i>hK}Ij$mN`CaUzsEzl&3F}YDyc04pdw*{IuP;kA zBSb$b*Uj9pK5miTs`Irg-kiyQVY^M9?~71g=9Z<08egsQ7A==L#&F_CgmOdgGv9?- zAwT|aFScLrJCBj!c)5%PTXW}{ywv5YqI<oL=`CJtW|1Q<6OqOBlE3&}>RO*&w_=^I z{bRoPPsnrfiXX}r1s$n8%i9yT%{=H(vf_%Y+tI^0TD3Lz@3Jq7TQmQ??R)O|-yi-g zthluQ(p6)#o0d@$KTU6M4Y^;u<6CCE&5PU9%rw6q`H=s%S2w%%@a4TTPv8IQCwJ=2 z&FDAl)bmxI+r3%k_WDS~9^Kyl(<_Tgf_6??RTp%2in02){^eCwUGw8#<ZW?Z{zZ4~ zwxC55XGmG?PA<(}y3_WyEboJ>|9;o*wLiDy{F3Eu>EC?wd&`dPPA^ZjKD_^ZT>rdn z|6RU_E}!&z>$yW7_cz}CTb+G7Eb61^gtJzSK0I^ZXY7$*H2Lm{<KFjWX8q8b<>Xg> z<@W2&&GJV+JH9P4(e+U|eE0r-ne%geT(8Uz-LH{;O7QE%4EJh9$^Tog&(FVGov&D^ z73t$&FA^mCaBk6zo7;+3@7(t5*w^?;pFigIe|h|)>EODf+q6F}U)^@5B3$)z=?tIt z%bm}@{rPoHd7;?+ABQex&z@SPRru%M*?C)gcW%7a8<e?s#}9+;G4d;)>+^2m+T5@F z>i;{Bv*-0<szWUHO#J=t#ZjlN1sel2!~UO&wKXrxeBk+R`G#L*D~0@e?^VvweR}xp z(+d)NOsB_pT;KkJ!QB4WgS>4f|D2y@?b)Br=hnR2a>t(+w{M2MOIg9&QnhcI2d}ID zYWW`VCx_0@p8D%R-}DcQ?C<?~?phZYv*zjH)ps`s{IAXb`~O?s`MB5LZgJ;!<=^LA z&$u;C{}t~Qr(UV80gJ`55R4VAAQ3m+D=S*1OtTh(7=hd%MsLvh=NkO&sNVp$-) zTLTt@^pa}`L@!=LAP(R*1vx%yMIaWJ5N!xZZ`HYZOvkN$YUx~b|BQL+C<g}zgG}Md zD3A;cgU<i;bq#UU_4ISo&rQtBOiL{;(W}VKSv$oy?~s8&>-RZIpSE@JF4avCj0kzP zi@S=!T$0`U+>KBCO}DRmaxPglp~J=OV~X1Q>ii`sdu`3EtQ$U`N^ndo@iIDaDTM2F zQ_WkuPpUWb)Ly$SnDl`8J%3~s|KFuL9wjGwKb$HS;jNJK%F{_mJ#~YD?O*x4@+ID; z1_tWsnYj;cyxukIyVE6?H7*{P(*<O7Ev{~BdFJL7$|SE*vgEAFZnp_u&hw7leVOIp zwzgRN&_0DX%htaZS`ehfug=XXcr7cmtnCJ)_N})Ke2Xtn$Pq9*c6hhr%2zL}BLAOx zm-4&A#C3V##iA7keD4l>Hs`nICET`IliNS(?fk&-lh&V>tXzJvXNuJp>G~bz9FHC@ zl5_HyfAg{V-<78XWGl>OmtBAV_HAn2I<fATpGtSmI=|xRFSbb2MQqo;xi2jLeWPY^ zz^%;}<liq{b94XxGtbxqyxBR5TyHn{FfuSKU}0bg@MdHZVGv<}9RnM8%!o&wfq?;p z;l~%lVu&F(GdH!QvLF>*7hC}Y1A`1QhrySDfx$UHFD)~@v?#G8Ge57`NIx+-IW;G> zD6u5JNFSd`@+c;?V=*Z+H!(f6Sl=nLBsa037_VVcD25%uVpvIjeojGRUTO|rb3kX~ zB8>Wj#hkR9{KS$}{9Z$KjS(YeAQhJ+mXsDJCE_;+)iqgI%mMj|kSVB9wg8JM1x5Mk zMXALE-Gl0{Ti8s>2emL$^GZnwWg#Za@X1ZhD@FDftVH>Hu2p>{Cj-N0GX@4OL_{$# zFnBOAFcg<m=A;&b3KdwwgX!DyJ>wE9BLhP-GXnz;iat#q1_pR>RFsm2uJe8N`P@Ku z28LC#3=G^TIx~0~7?ShzN>cN{<rum~^G!+BXBin7t}uhMAClHjA`A?<sU?Zn94fNI z<kL}h28Kp6bccQwV_+ywEh)*&OGoh{EN|cZx6STb3<CoQ>mu34!0<(mfgvC>xumox zwOHTK01P0MnF;6~cLM`M19Ni&3sVbAV?)p>&@ivSjJ}R`dNjgx(;5bb5ZD3IXlF^o pOo7D#a=`?u4G^ZRzQDkMwQdOTW@Q5@6JiizIKaZdU<JNN000rPb$kE- literal 0 HcmV?d00001 diff --git a/docs/img/FSM.png b/docs/img/FSM.png index 7f6db881fff5cdfb9351c0348dfec49ff082516d..d83de341cd4d0fc4e292e3453e04a80f8e49e263 100644 GIT binary patch delta 109506 zcmZpk!oG6?#{^}jWS5Dm<`aADnT#zao>j3nw$L+BH8N5)GAK(eD$dN$Q#E!`H8L_X z*E7&Ff=Co6C+4I=gbg7gN%=)7sYR0yn2Ar0V9XUWG&F*mkx~g&XKHD*`8H#?(qvy# z10m1&%)ElqlHkPLf}GT_#GK5O$p<Y&Cf_v`pS;&icJg1H9h1uy<t9t`2~0k#8#I|k ztx!PM3}O@1JoC-vYHwIUh6#Aa7Zl|urxq7y=B2yj=cOVWwfV1(G9#0b$z%?58D?V( z%gK&<n?RbdyFg>|E;j|R7Dl7V8x7<cEjM2@ILynPl3Au|WR_l{YV0(5BCEmVwWh+8 z&)Ydo_P0=&yvt2c#4HCSrE27cU7_LRN(=GHODx4EPiEDFYBro4U?C6EJb9Ugz+?ws zj>$7wHQ;jFNK`-Fo}bZR@<K~VB5g36eA=FiBps%cGcAR%`C;=eH!a4^k&ezRll?7t zCf_v`nVfH-38i@^*ZT-g?y=CB>=4X5+1e5&&o#Nhjb*ZdW$<J^OOegH+zMDH`&+a@ zHStZJZO=W~+EQ<_fu%T945UG5@<|H?h;>DNZXoL%u{mhi1nQ>HW6bq-yz<rz3<?aM zE{-7;ac}0bSA@9UZi{~@P^G@*!t90;0oJ7&VlJ#l`ONIN7yVzZ6d_Rk|IOY7N-GlB zSzQDsD8*LgGcs|iwA}kTzxq+j<W%$MO}lU2JyRW9{Z_5|+?3~YY(JlgKL5kLGh!o0 z1d9%n7-M&X>j9++-W+ohPkQLpE9URs$^n;fP)<16u~!hTEJ5%@D2tBd$<QCU&nLDW zSmrxB<?JleON-t6W8|Y|8l^tz*55b5TW_bzf{i+nn_SLWz1FcTc+ha<=uy@yZMG+s z5(GDJv@kPzyB%uW^j3KZ$A%kbd3PcvO`4<<zpo}}ZIo&K&L5AupLVLxn^5%h)X%Hm zPwVg3xxCDG^2f)=f0xE-@U4s3xTr_U)N5Dy`*+)Z=I{R-wr=-3tDF03cTdre|F<PM zY+a0|?<|vv$;bOV4>qwn8@k+H=6XOWL6C!sX<82ptJ`glJf#Wq=ILb!URxKdZJKpu zf^PJ-6UqIyYJci4E%B_c<JFyX!sG3&t)`MMFD!JPW@)g0`TV-5y1!q;J$-zp#O^NJ zsj6HPzCO;@vg*r<c!?X$x}Y$0*de$fMdeP%?SD;u4T{P$B7}s5N`C7cG0nbqrDaLT z%AjBMkB{|Eet5W@TdMib&(GdhSB0j2d2#X5s!;9RQyPJbT5fNYwXch*=MvEn_)=J% zy&-mYnNiUbkCpNJ>!vx&SG`cQ{dQV!w@=p9RZl1O$k^3Hyt=k_wW)d-IBpzNloL*R zsKm=!o6Q$E(buzN$r6uNF42_l@9tJH{{M7Z|7jDq-h|2O{#m|U+<O(ZOT;{9O_VfF z<7in@@bFMx;f<Ze%R^QM9aXNEe7NM~Wc6y3&p%k!*`9zz7$Ydc7&g6C`oUrI^-8c& z&J6=a-@eH`4i*=>Z2o*W{A;&i^061^3{yka#YCo^ou&Hr_V(`<3+7CiI6H5bXWh@I z;@fl2&$o}yHf++|H(_GJxAfbaz-eru(}7770~E7w^KvrXuld}ordaRN$s=bIv0vIK zXhneH&)@I&KRwbZd})2WedYVT-<NnyRGRs?;`!Y2loJyaFKx?>mNZCc`10;<^z7_8 zlZ1^X&6=e(!|e{I6wmd2MO+h9l`UN_%*z+tz~KUleV0>HH#|Ne)+nkSmLasM@Ufet zcG1hF)6?YMXDyR7$(Zow#zyD*V|}vKQL{5MGjC~r`TPC;^&_n-BxPKC_k22~{pt7n z{q8O%z1yP?O%lxKxcOd}Nvtu$@xY{s89Re2E9T6v|F`qY`}^^66%Sb}KOAKDcRn<= zN5-<qMam>YLB8(C!f*VA>OXq!6ddMlD%QFsraZsy*UFkdACG@JE?@tncZccaAH4N5 zZ4ZJ2J%dF@a-+GEi?Tt<i-4d1e!ovW(jmCKb;qp6-Ngy52W)=7*}SRdXOS#tv$FQv z37ZdF)ZVLlz1Hf{v&H>(r;a4t+f!NeZs+r?_{q=C&OY77EB$0n@wtyLTwby0$D~Qs zBq{`-JbBV;IhT~sr<G6Kj`+LWw<#+SW9+VXxT277(&I|Zsy(I`_Evw-%H`nJztweV zsdqKY0a?k!jd?dVEEHg?zMZ@M<%yZr?{+wg>Bs5({{H@Yclq^ou}{BVkH3D=%un%; zc)jom*TrtVC3gIC;+0)boT_@<Yd+6x&K)ktK9QI=7CKB~jW1jeOq%#&Z<(R>r_|Ha zPF@X<*R9`M^>xzo`So^b&okB21UG<_i6lpaQPTUC4krd)w$j848GoMJ|L<I}@#aBj zIpvTcupvdoWXG*AW`~A93gU4U3(c0_seZqgaZ7?fw3utmZ~;Zso-9L)v^j=~N+Na2 z^&O(%vM)jKgf&Nm(WLTqrAO+|iLi(G7>C?aosN)=2FY$;SMG9dTmJohR-wB}!+KAg zPG(O9yU(ewK~-6?{YKdYStk8`KNjgdJ9fGYT;^=xc;X8xEoWc1RZ6o>Jtgw;^74M2 zm>mY*Uf((-=E$}{RkiSfG8o&|w<kL58S0eQMsJ_?d|tKRx?Qidxci`Lo+N@yIxKE) zzPxX~hm%v&I`ibC;FJ=<^2v;8TF;ZWujgxTUY_+XI)ATf@v}20r~b=b4lUgUA~_<A zgd(piKXZ3pJ9Ag*>sPn*Mb>Uk0=pknfOxyTu@wkok*ltMV|a5{>1w^YbeQ2fObVbn zfd5e2fmNZamsEa!wyExK)ylxdZa02ym5qc{Ar2{^@+T#J{tPMEsTI-Nax|UWcsf^B z-aHA5qH~}qO8;?lbFE9lpC2DTeK^d2`qEPGTARaiuxPGiW%RCh`*dpRxu=zJB^O<t zEb{;V`&(S8vaK2#PaP&KI+C4Rw1t`<&$KLFcBkg^*-d$OcS+2dJ9iQ+oJ1JC-HN&v z*xuJ>x*k*9Tk_(9<Ga1z<JKR)#SHRkcY~|Kd&Pv49`|-XOPu?0Q|jp@+1J-?N<Pka za=v|iME&n=b76ie0p)~}nB^;EpVt5TEWa{p>na{;Go7sG3G8{$kXr~!!51FQULLK! zQ}Slm#jYv3(PsY1iRz$22jum6f*Vqf+>=#we(>}8{OO>oX7~Gj(wppZ4#T46FDPpM zUN=ZeFSr(&UVrlS`u%!yt;_pv7~X1xx#S%vbl=4{&yYDdzy6=*_B%!0Yhrd9sXb3u z7q#SgFg*nnSsigK_v-)GM$cBO(vMH+|FiG+yUTS-*9uKHtq<1e;WPU5YW4bKheF=S z=rC3CHU9s-|G%)e+owCJ{P$b5LszNP|M}Q{Wqp0Tea+lk^FFD7Qq;-QdFrytAonSY z8woA{`1kw$)1cH9yv(Qb$ith4M<tjhy11F;-_rrrZPo8~9#@}o(r?bJSz3FmzJ7XH zQ9Z#`;TkC3udT~qIomE}qGBa&kl?`5dx}prOt3@6$SCOu$IT6i%{G6(T(&#t8<r$f zzi0iPPp5MF)s1p5E^_^J(Ous2<RsO*a^4z$Z|CpV^`53PQCQvY#M9~Vdgb?P%b#s5 zetvFh*40%n_t@{$%n4KTo3kSHbK|MU{q}mHt3oDPzuTdFLG4YYU{iytvS5*7QlZ4r zE>U5*o~Ng#b{~Ct)6!Lf>D`GFi~H@gI5|0sZs*o-Z!O(o6LH?|ch1gNt5%on|NQLi z<n#9bW3H`_U(e~(D`ooRT6F%@K3VHm`}OzzNUD53x4gt|-kX-<3kzz#UJVag;xX|u z-(OI@)h3;HAyxd>*VpQ;T%waEtNVM!#LTh#c4DHk)5cTh?SA|8E1Eyu`F!5wpru|X zW6STB-ZBu=ua8^f$<?A~XBXGTBdOFYWoq?y$LjU_q7KaZ8P%BwO8xI%i*H=~|LgVm zuj>kq^+*a@yIF6z;dEeX&!5ld?Tc=vPCqH^Z=<Liy^ZH~O5~r&4;THetPc0rUr~Oq za(SPY_4hl)s`2}3tlsmftSowZYE#ltuF4Mw*;D`g`1ou0n<w=@K0clmvqM>Fj(PRB zoXV$D!&Bbe*qC=aYC}S!&Ce&3KV9~>Z{_9pmkl%#R=TvzceYNuK;!ol%Kb0i-`bw9 zFJJSaamkV;bEYlN`2X*3)NAHE*KV=1Uw__Ue8L0Dmu>THZ!<G}zhA$9ie|9ejhRs! zLCwK%BT#L;^Y6FYPwS`0*G)Xs%Dr2biTn5R`E{%M6AP2S+g5ydprgif=+f~XNoDWp zdQ(9))8`Za|9!V#8M=B}o^bAw7Ea+3>DgwvlbG50GJKDmxBtI}lTk|0M{NR2xlz@Z z3`xtPB_jH9F_$h~+OpYvzjU%2sQvck#YN}I0xj*|M8jhyE?xQbbp7<*R}Dhe$Hlt! zN-gCS?B2h6PWipcS#w&V5Agh-@qXWLKQ1wy88>Zb&3?Upe_VF?8JUmE6MJ$B<~Z%u zUN=`g=CG~$?Ra=uXRB~wOJ?xTACLQ&=HA{WrrhliAyA;I3~K85%(siZSM%9-``^Wu zj>?RT4K~SsN5q-yjXO96-41_v*e;(n`4p>o%mQDnA5M-o7yK5itoZS;eXFI=etr{! zn)Z0Mf3h6=1mo-fZjH0Jn`vU;DDaOja=~Bodlk-HA{q+*wqHZ06-pQPUEHx_wuqEO zN4L8B4aa7-%==UB?X7NqI;-Lp%caI^B0h7OG-sRT&iXd@;LpFU;`MPCSSFO4DEJ-p zthm3g_R^Zj%`tPk-`ZG!N&y*}Tx~v<8?B|Uu6S<Czn@pQk)wr|G2E!BY+>2kTeoZ; z`6=-JP<0Tx@JMlnkAj*-*doq{j3*am{1L7D{nmW%?Bn~HAJ#ZsH&lI~+{z<w7b9hs zqj5c^xc8s5P~%CVdO;ulAN7+Y8U<pjUM{VAet%V{_TTrBo6}x?yO?3Y%FA0>Eiv1= znD15_xBi}h{_T#<Y|DKmAGQ4K*!GuqYx<ls&mSCYUcU8on{q^D%Z{)g=N&j^{s;9R zCh%=h5^&Yt*xjJ2ys<jBI5*Aa&9n3K^<6|FHgdFZGpb7_etdIt^YUwYTQce|9(pU# zv%l$b&A~j`vn)|l7dp3RJ@-tn(7VNFqA{cX#@)x0KfT#}-s|<XwWac3y2BnF6_3Bt zazyh-_MUIIvM=o@Ony6obK!w0EyZguZYlWFZ~sqYvYPKH6`N(eTeN?)1VkO2yMa@o zsJHmynjKtExO@fFRP*;~u6u5@{_gJb-EZr~>v?^AET?|?QquceJicb(;#vh2QLT^* zX`8J|Uv-?_Fgv(K`FD-Co6_%JE=ON{=sK?EC!x<*Ws`B!b>`f}5T8SQMy~`5CJAm4 z_;dD<w@c^lqg%bF>&=oe$-igwIs4ze&FTGRRjKWK7Z+@sG|{g1*NflMpAOode{}9q z{V~b(7xm6B${!qPoE5Vp`h%hN1MbH8%x{wp&9td35_|OVjPd!5{UX<8RPQM@e+X3E zezN-g-sj(<Hk}Y&Dzws0Y<2khYbjiBC+|$NSQl6Qc59WN1#@&~EU3`Bn=QR<X6?_X z)2qtcm8DiC$^6jU`9x@KUE7=u!dsFAIZT=SjGEGzKX=xv>oP_0{t^8UxFT}%GPzrQ zverxL|Npyn#%YDd6DeKK$!fk|zQ4J1u_{5$QM$pBbrTb(!J+g?e;z(~<!3N;!N$gd zglUam7SuXkPHHI#<=|cBw_IRV^<`i4mxVipf1R0czyDiop?c5OnxCH-pZ-1A<5IC{ z^%RXjr-B2fDLU%cCF+aV8JX?psb(afP<FcgAVqZ>s9(2jeSSn*`>L6DRaK5?zIn$d zYo#*3=2PdLipRZ)lHG4r-YY#&QMQ;mX>&8{9ggl<!O@OK*!Y&e+4C{~&=#hT35+fU zY)5SlI1A-+K65{#GC?rq`MJ4KzmGfdzC5;^*_iK{q*L(4rUIRZEC1AsDOk_YD=bqz z)W$0A9%Q1cwnsFW<J|0)d(-q{txg|pNm(G95Hq1JU4)B$QRo8OKW=w8{TB3aeilCR z>chjscG=Q1?Dy%fYqC7?<Mxv;=N9uHJ8yH4xktev!&NJ6&5X;>-u@KqbMToY7*f6B z*M^CU%$`o2GG)n3Gy9~tH*FoCpVuE{?iAR5$B#+m;difXhaWc{VLqp6;}B39eSc!c zzD*a6%beYM_H%Hr4~{s|`N3#Y-d(H8mrJLY+|aI5$govVYuoU__DRzmg(u7ptxfbM z2u;4u<javVd*20yMS%w&3N<l$K94xVKcT2j`R%Q(m-)74Xeg*(k1d~T_HxFzy8nO6 z>+i}*NrxXia;Rd`<jG%~-txR})o^h7v9_|RPgPAVOJ3^O(TEF73-|V@9L!<mWYp*2 zoF()sI<m8tC8@1P;Qsl3iQn4RQ{LU({d)GyxxCgYGK(rs%wXr`myna%^X$yb#m)?z z>zzwa_nov@a`d0Bz#K`ZX9q5DxU@{LUe_+#?O;(a(6OZC!^0WtH}iLJNzL`}NE3Uv z^Y*_a=EfE3%5SuII1`T_eAm`$DDd<3`u$JZ<?AloyHio<>@~+!mMPPC{T$~PN{JOu zSQ@Sb>NmOggy}FnbW+ftB<LeOV}3!N>*vQO<5gm=ANZCm$RX0WLRHyf`9_8$sfLyh zMOzoLadAC=Sl{aZk1<I2v0=aSOwsck3q%iI^JUW9qGYjn;jL{+f*j&Zl@og=2)Fty z$te0bnbWN!cgMQvZ;vZIa8Y=5g5zAgMf=7dALU+kZLnCA7@ju$|BdAS%=|L#<;o5v zOrG-#G7i5<6|#t219jKLg2e3K8#!8}8doSOTbNBeH>3V8gUgZoM{F$_CyN~AxBW7q zjPJ1a+%tV)o0J-q4Q5Jc#-$!r5&$(sIL#kzv+s8JAke{O<ix0*v+zgB1oH-iU3Y|h zocAcGY)qMNTk&JZlDGCs?ELd5G`shmX8daY|MBO4&XV)_Wo;GJ{r;`xD*L<mzW<69 zDt7a)%s0OG`T6;Jb=FUF7vBH;+}^o1tbX?SS9>EKG6{umSujN-EZ|X>$dpH~jO#yJ zuFGK5j9%37?{=5#fp``j$&ccO)q7kOiUc|;jGQX;`j*_?Rr+~`hsK?PSx;<2-Y)5w zwwtH_q1<Hszi&NkHFkfOo493fyK3ou!JBi}#l5QSubSwvDdeM4(3biFN6ka40~j^W zc-LP0A3XnOy<JC}l7s;7-*x}ooKyeV{nU3<tofzFa#TP}Ht27^jAd0!pNzF)du90g zWRTNl{(oy*;BqA~Xj_V)=JGgEuk=0Bbwfi=P1jNiJ$3Y_QE0V~oQuM>6C78w%HQ59 zjcSc6&g_@9UN(8t#B=j5q||GRHD<UeEIP@Nm?a>%ML^|Or^&&TmiJsODw9@SUUb&u zR%yVt_jU@mEAN*&OcD{)TzkSza%rW;!h7A~+DfXAxx78U?o5dh^tDlP?b9mS^!|b1 z&E*{@_cgmeZTPh(e(yJpv%b52$+s+tYJC*6)oD(MZhXw6tJbqbwJW6?111U9f9l-x z``zxF*LE!o(${2J6}DDt3U}i(QC$Pg7k4>lB`wPEV3hCSS>Y&IGDBK%&dd{gK~3xj z^O`TZ9hiGt*jTV5!iedy`ewz%ZBvf)g($9>5pr&R?YEg~etrjc&lNedQ0&C9V@vjK zs{0*xbIKO~nZbSDM~#jyjd_{mwOBy?sdxSHmFEkCHaPqX;8%U}R^GlaD6@pmYgN_K zx1qD<eR1BDeV#G(pQgsvN8Vm{S1nz#t;#WI%@bXgI}-&z<?omkyz+qe*4X+dXU*?l zxmvZdBm2@}>+{DOXLs$eVYgA0joy+m@!T^nC5J!fHwjOgF_UZeysS+RoZX$a7T6^J zIjA+~_@sI(c^^-+=UPiL7wk}A{XWYqcNMch%;sA~er35W#d?){*LSEWixe$VF~6!E zs~zw5h*kN~vi$q|KHdNSFZ{oOzzi$?WuQ?>`<f=r+2!vh^LACNxxFpiOj{_(<!9oN za1GU|r>2LzS6y1tx#-{<J*`___v<4vo4<K}dRP0ZcD+&k?>Q4%egp-rkJ4PZ_=Km^ z(@BAb{MIK92%hjW26f<0U0m#5ns&wE>4SsKLgLTw`FOa-nfcF~)R!2Pc)5wK^zE&! za@o&jY+M_$(P_Degniu}clTPEpC@0ON}46k8t*jc>A@2z8%!-W)Gaux^wn0AjiX}0 zwf^j<_ut$)(LLkYv-&y8eLVs4O|CLmlTBRLOt6x2Y7%Qtidd4`Ht}<^;?Y7smh_9F zvoBrI4xN_oGd0PT+jEbSTw9HZewc;&+2c=kvh&GaeUh?VN!|L&?}f_8mw2u%DoT4> z^lI;#vQ=A!qB&CB-8fF}|M%;)|LWU^nvN#9onqi%Hl21MV^)>6imgh0KYP)?pU>xq zZRid8^dn~nSEsn5x|_jMG0h;C>`;%Fc4=p3=qz_A`5_-zy`<Cq@}-T*?hBQ+32w1T zKHhgVlg-1vKmMA#e67mY*VnJNPY~yP%U%4iy6aiuqr8iYTL0ZkS-DL1R{FYix0pUG z;6Ifvq8Ae({&e^IeZP&4J7`s99j(8>wsnQa)jntYf5i{Q)^Qh?t##tLd3a6#x9&H$ zIcDh=B-R#oEjK%4D}H<ai(Z@SZ+Jq_JMQFtwr)Y5%i3e_4uxDgb64=^b!*NWKN^GI zblu|O;AfHJdGg?c`z3yvt4ES&R;|4^NzGJy`ZTGJsY}zQ8dU~;e#l?;uFti2Q|fU! z&w3xP$-35;mnNRwbSXqo_=M-nN7K$c6}j(WabH-{@M_sgZRfPq<r8!!&eEIGm@xg! zqkgk%SGP1@o7_}BZ;QZ>{?d1MBE_qUMY}#coPI#*T)I|_^=XxWf__%{`|M(YW{FoO zEWE}mEz{yU{nd?(7)1^h@h1@{nE9UM)Vz@96*$6B@5^{I($nF@cNQj_4+of|yty@% z9aUzDdQ8?#@@#U~`oG9^K@Z!Gg}#qA3mPmt!))<j2fw8C>ouDX%}P7i(;6ga6D`>v zJVX37dn?29^YhnlJUpk**kFg%(O~I`1@_Ok8oKaE9<=27cB<bbf-m9Wz8NbTZZdvc zVBqAaQ|7e&URC{S|HF|x)Lfsf_X<;+QL-|6@0=?ug2HA>pYmAPH1To5tIIA*KNch( z_t*G*P44ERj+1S<D^E=cj=E*CN@cCojZ+H*Yi{o0J1Z=?@Q2KPZ?C)7thq;2r^hT3 zuk2uGn$LKAveN+$DULtp5i9Qg>|VfW`cKx)`{5gQUvAfLAEFaJE~&S;yMF(_Ri>&O zEX>ElJsJvKTo-3)F*pkqgdF{nu;u8C4Tk)Se>zAQck4x^h9}mDJZbjX7$AMH#c^Rk z@~#8ytY=SUuiyJjEc@H)*j*(T?{9wjDO@As)s;;a=b!(4q4Vc?{_STKANIc4bh^aR zWm2e<*#!$nqk}i5ediFld11b|V0}u9EVs^l`71Ni=SlvGY+t}Wxtitb($y1sMP_eO zKlrm`o{~bhh~UaaXY@<6Lni#<6jhP`xGl`7>%je2jJwM|>qXAr;a#RN+0Wb6<Ca!Z zQ1s8)5uJK0HWLN6u&VpdyE2P$dBD7RM`iZwDZg2ux#;H+VgHnW`DbUD7F~}mKY66H zUij{`IS#KI>e*FQVuTI#Vs-?C3z+k*7LYz;t21GSMMp=Y$O1vVNTb8+Ig7c@JHN`i zC>JHw!6PNAJR|(V9ETzS4yNLgNxa26iic+ORGeG1@Muh<C5KSkwqpV^XPh${E-Z84 zi!tTf!u~Mv4XE#T?MTI^g@xtM6WA7)O|?#}e>bDGNUP1mN$cXPgubL6fhi9Ux4Va2 zN%ng#mHqs=m87D{G<kLVh=}qnT-M@csw=!JH9j0RZnB!pF11@=`I)F`D#ri3COtl- zz9g=<D`<Zjt87-bYPo>Il!~|0VmIY^cXipEQlERSYkHsW<KlFKZQ=U`Ke)Jk5I?xS z`FT(9hx+XXY9gQO<vKD1dMaD~JBKavo2!+-|L?ZjhJqj4`CC`NXF9^XJoL}W7*<`D z^UPx9t9q<!B^7uDRR6h4KZ#hBzo<dLM8vlG+ZF5j&-N#nkF+GmvNoA!U0LCy?*Aw8 zLe7F(Lp#xDGV`Lp*cUzV=$&c3S>$t~%>;21zc!bjwFm2+3Yb{BO<5<UvNSO%s!DYg ziH0c&H^!(cTX;T<ag|vA`MCVdfS+bsArpV>`S4iXQYvS@yv1&#kk<CTITK#i=T4vL zJ$Xsc&PSJ)_=%==X+DmAtZ384F2i{|V#4>;cjS(XF0`t9l>gOAQ~k>6nsYy_AOD}A zas54~e43*Eg8Ns!>n*!J#X0=de{4Pd{m=cMR%?FDKPN1--qq<`i_6paO-YCOJpF7H zo||+}KbIalHOci&vrfzQgudBj?x1dgb?W0OSyoPu8_u^F@^9!oaHpyBn9e7e*Pfe` zZXLYNTf8QFu8xVOMerm4M<QoT1b9uP(*oT$XFZvjK5ycytE*pIMb!V8_fVwYdC$kY z4|d*MA8)^Q<w5ncGiF~{w;;DrG}-E#nBN;4{!grm3**ZVeQx^bxUD1TquK<mEt<!s zpR4?HGkyNrxoypg6Rbb&P59=1fNhc6{cS$31_FGs(mTD}c33JXTa+JN^uW5QSu$mH zz{5pF?<dbc@h0`QDC0^M-&bK1x$3pVJuF&zmQUbywlcc^-`9Xm@PTWP2?x^>rDl&g zzvi??qzLr}-_M@3=!6$rW1-Nrdd{BL-vy+%a=iIp9@E45Hb8S~hwuK$Q_g<$GrLj1 zW$6>P=KjJC&rNggu30tb{-RZ<xMqKMT7I~*azU--Nsew+ZiU?`Cet$56?Pu$agyV& zpJN%h<&4t)tgBjYFE>=SxZdlCeDFasG5u|ntV}TfKCT(j3icL$ld@Tk2Xyp)bT@pv z@alt^?n`59+%4GZ1v*%ESNvM&W${mkLyBK4=Z|bqP|%l;8R{1j6n;$E^XJp)nf1FL z9p7WH-=XV5he2J9=82t&MKcn%9SrX;{Cvl0Gedpc3^Rc_pKiuHT+?-v`Li$!*Pj^E zeec%EUuRmo(fVM?lm4CRyMHGi>yf<WDY{uyDzo6AIlGhOxu~?pdxtB3?uk)WzN0cD zE#dn{oh4TDEy}xnqPO@)nXXey*AfzX6>!H{i#gltR^3-Ch27s}&M3%t6+CDx%1YFq zmAWwfV!udX{iLNm=i7}rMHJ&xdQ$g1J$=OU=%%ArSGQb>YMHh~Kx<FVUB1XIS+nO{ z-?ZyXM!56x6WfI>IV?_cSXW(-wGC7`7I|6cf|^uo<bucpHjlFM5*3fRGY4x+2G0Gb zGH3dX87ssO^h%p&z4iY8@2{z5qrncj(;xR%eZ90#HbW$0N#UJ(^Ye~MMI9}QN^JJ* zM`jdm%e}p<IPF1C*UJtAj}w9}Z5=XQAyq;ie13ChEWL2;k$O|u;g-KnD=ug}xa@dD za0#=$WO7qu$AY7cM;y`=bSJ39Z&7-<$N7NpXVE8IMov>i_L&|0;LiEn=2KGqPA9j7 z?{C~bupKwl4tu(tHTvoG%KGRfiEFzqZ3-+6Wmp&BvCPYkw<uGB$;qm8ug7%lg<JLA zb_;NKt3NrHQGOw6$&$1QdY5iWCk45x)+zst)_C&ZVRF}moT*o52R&)pX1eA^&Q#c1 zf~C=~UoCubY?~wl(?TD1`2z)SG(j^E@*GdNBpvT|Wbv^{cN^uW)^G9&xHaLEmTYym zo2iH*M_!@1*u&hD)mOD+_fOZ13|SSXGj*rL=kmD8w!it(CvAMISsnUu|KXsuL7HE+ zbgzn-iHcZqFMAUtwfyL6p;D_~-M6kz+1Bg7{VMxr``gpXS#%^n_D!@sq$IGCqh)T- zf+Clzo<CwfJ~|kyP$d22Z+l<;$@0tQE0{{#m&9J4<yh)-cg3f~oLlpj#V@H(Z(MuI zX|>p$g5QBp%+Brm(qG@Bm@`56(&6i?qQdrPg>%QX2Ft|$kJ;wBYMQp%-t()KRl8c< zem^}u=kqVaX+2NAS|2!PKTD9qT2irYo<&*twksKIMe8oL)tJ}rHCY=TDLAo3#=rjQ zl1;UFH)d(IwS<JJO^>>I#VKm*9Nz!D46#1e(%ZG2w(I(qX<hcqZB5l}O_iM*p|}6k z(+R;dcjs*Wq<K>_ylkEJ+cncvmKU&<>{C@{JecOciNl43DN$8f<@UkwmJ23M50e}@ zuKulhW#xIRHo$7zinV7|Ed~GBe{hf3YZ<w!Ui&ONw;Ff)My0ajbA@B(J`Y-+wpdAZ zwut4;RX(x2!djX8=gs*wb3x<Gtrd$`NsFl0?!K~l;ems@6704mpX}&&xOrHINwC8q z;v~n*zLOo#U#*pE-0{O?$&n|2KizBzowR_%`FC1lwsV0+>{i1_!3iP@HRQOH54UtF z_V4<bImxiTP9V_e0UzU%3b`HTt71K^kFaSKPs<5g`7C(peb?S4ZcBw4&63Z57H0Hz z`@?cZE>EySf#r~wo5vGpjk&u`4hyz)`a3UdJ9DCQ^%C#fyRts6dUM%w9s9(XoRhay z{wn>};!Z3L6*%wpPGLi+=!NDP6I!=c{;l|{BpI!+DeJM{%zCT*y?1Ubp18JBn4^Jl zj&OELi^)5Y^3NfgTT{B87$^m2?JPR@xtWWhs=CWn;W$T2Z_k7U?JSnT%Y06LTu{8b zk+VK;;!Lfn)!)DIG8vs-^3GPp`<jYo?g_ulV~egU#63T^K}eEg!sJv<mB3i#4z=sc zV>JJ-(lZu5V>bDJjPmpP6;nQmZ(T1bIl22`;1MZFMQ5FJ|7w4IDXhM_BHLNAtMKtL zSC?y#?(Ep=A?F@s*~QDIbudHu!oIha%LO>3B^4X_Tc>&?%babR{OXu2`;zS4zv^ZO z_O0OP-+5XnwRctRr9E|_dq1lg9rH?2a$xamdzQ7^WJP44rP^wj^t!Z$qxC#rZqLsS zeYp40rD)6TQJk_&?@n--CP!SE>$Iv|sI4`6MaOC8>1Wp83)(zc@mdIzS!7V`aqrsM zS67E~zkKm|Re&|Sk9En50x6a$3<?uvXU3mQcYS2F>D(@_vfL-3F~!OE5*3wG*pC!W zopSP=$?2Vj59fUO`M0NclcMKThWB@l)W7HGIl6kgw$diorA_N~a<485+p$1Z(Q>EQ zL!0j}J_;N8Pdp(iUG{3iTQT0%zpmO&41BzN{RFFzs!zN8w*IxcF89Wo>1L*z2fLr> zbw%g4ANLXj`j0GeI(B(m?(J7qrb|lB`-QEESg6g|FK>S@S*m!`j&0f3&*d8KR^YQu zntf}3ef|D*=FDy>YfHHzGYdHtT<s&XKZdJBuQ_=jyYK9}-j2ByJ$yPkDNp8>-<xPz z{OrXc?~7WG({iUxoqbtE&@z}y)FyQMq1!blN)3}Avdv}Px;E-W(_DtL(f_~wexT^E zXme#(z@$a{f>+<NS~zQc(VHKht{&68AGd6}v^lwH{qmdjkN-F*SQ|M7nqN8?U-7Ut zGAiX@lA-b?{zDFL#k#i5TvT;s$HJVWpkaM!vz!aZj~qXqJ$duV$u6@h&RkeBBVF7t zca~Z1trX8!9viK)mY8H-a+z2EuTs)D&1YI+z=hub6OYZw+F|jl{LPI(@#`i{)6(DE z*a%w4v3H~LSIepG^{=n5U(dg=jqjHDvm~>+C6(ry_sw%}Ey@0Jf$yzxcz7;j#RYc3 z{v`qLZ*5(@?s~F{rDf#xwF`Th+4){nSzTG7SX;Xz_2#D3s&nfY1t-`Bc`W#A6x!*z zlV9)Ls}nufgQXwN>wfFMrL8-=tnJsuz;DVbPLbK0)>Rc9;qqMWm?KgzKk?}6vh3at zd(LA9Cl@@jUtb#gc0rb^nZSXArMH^C-n!EE@>sZ{pmfU;*S%cV+K+@^_+xQSSkiE6 zwIa`z*uqn~+wUym<TCZSp}P5pdCm=k%UhZ`TUw_(6|arjdTF2J1ff1dr@6YpvfFZQ zZaTDh%F%lkQ-k=~_Opl;+68N;eyV?Ra^~~JmT3o%e{Xc1vdi$wu7#`CuS`3)==am< z@mcpdIGB#UvAA~SS|dv$n>#a$p+oS7^z(9aFLyE(dQaE8I`y!jpyR0(`ps#rtB#6% zan{)3KGALqN5-auyt$J!RZbWt_%JXn<IURNz*?G9w3tJ-v`8U#9i!lx)oEvE%@R2` zzurDxSj<D>+<^zLMErAq6yCn++}z@D;v`3oNVTkanF-ge2@{3Gz3<EE)~wT=yi6u! zxpuCHV(8m##jmaEeou2z_N&Z2^P|ZpenHu(Ra}M7!%CN|>E~O=9+EqIy}I7C*;OW2 zqJ*>$Iv)_0T<9S)tMlSw_w<)MOpd8BVwJ&>;`a5-eaCy8zQ$eo8}e5-^i=Ub1+iid zHrID|IA@7<o%$$~@^R0XOWvhh<4jaJSQbZZ&6>sHP$qDOKO;cFb^?n`s3f-wt7EWp zuD*#pM{?T5)HUmh{`@G6jags&``fGriGwYUM`I!VR4&o{yPPVky{GH_s@|gY@6@la zuQz8z*3Wr<zv}f`$D3=K^#65=ur9g7k;2?7tRmcVQzW|6hsDXy?FR3M#Sh*3%@h~j zERNTiP#j`p93R{fte<x1%+*V~JWKc2axdbQw~iC6X%t&?LrZ+_Wsl|0zPp}SWgV>Q zE?woEJzL_e_<<UmW5SY-(FLELc&?Q!;1aEhJhQSPyQN;%WU1B(fwN4Ug(v(LpMG?G zbGm=y5sAxvoBLb2#j}k23nPwh0gVv7W)%`<7c`u}B%|xw8goW7!&bpLL#E(B>j{U7 zo`g8ZVy2Uh3LIxHdYYZt*ur7|^U35}Q(Sxv%sOT?D<s;?=RJ7PriG0om#esZdK2TJ z!#CFNd?vNFv$MYY^WJx2YI>`fb_+j~>p8Wn&7;Wn!m9-fp<U7J`Lfy*J3$G8M}Df@ z8Jk;A78h!NdAD?KKj+zNs_!njw6v~_>%DQpKsxujj`^|OdWG9}y<2^yG=Igq-TBXU z?DRH&&HgmkcJ`BF6OuRi`=_wf3l<eRFwJS&-)gvU#{bXt4ld0FPp5`+Jxe{&dD-V7 zmkhV9UE#VY@xJDY2U~XK-Q9KhLt1fLSkuwDRk42YY#z)mo&PVgJ+Aouc6+Oa0t+uI zOUK;(Kjoh=CLP+i?vRXIuhi6+PdGE3HXq!4^GC>xVg;Tr{q^!QKE5dveRjA)K|f;- zPiI%lY_2BL+FvEUo9cTU0u+|qul+t(L?eNjUFo6KPHAV4lN>&>VO_=|+pc6xn(00H z$@!_8mtOx_X2)B7^Ovkq`Aachm!erh_m=a$brfu6uym~pY+2&Gc~(){T`jxbx9uxW zFR7i}Rg|~6Vt(It_SF6cYonw;u6Hu^E?7J;PBf9XS<kWjs0%aW%X@q4cgN-QHm}%y zFLKI9(?ap3#)XeuWG#&|E-cVlz9_dbW5G>>ZY#e9Zu2UiNh&(3sJyzc(D~A0cYaC3 zBo{T!N0nY(EVtCHF2Z_@&l>tWw-+QmuMA%9ceSOZ?k;Cb2U4f;%<<53cE5Apn#k}p z-Z?whI^4QmLiyPA_I--+VM^kS6DA4HS^c5p*|9z|$!{O$TTS<<VST^q`&rLSqrz)h zFE6j%IYs~R?psE;3^qPKE7y2e>(;Z`_osRE_wlMK-n0I>jPK%<o!L)LM&FLkXl`hj z+_NEK6T>F&WBp8T8U9HeyEw^4&Z2lzhU8iHiv|{xlH?L6rhlw=t7gqioOs+*r0C%l zy9?@S3^o39nmQTcg}}YN`-`t0Sh%(H^|f2&Ey*9)7xI^Vw3x^zV_~q_*F;X|#t-Wy zOP0KNe9>>gL5B~T2RpC*dCR5x`OqIGO(*51&szILp4>68^f9;{vNkF->&l9So!qln zKOEZ9_~zc;=xI8Ui{^&b-%LoVYjS9mmy*jrw3WfWyy@i$`C|XF+OsnZovl|UZ98;O zEapPqV#$-d>e2Z-ZoKzb6bLdZI&b?uqs_kJ!-ExvYF-`6-DG4Kt7l{FtUGJ&8^3Vw z3n}--74qNSe7{(_@$79;!?|laGRs|kysOoh?LQZ%+M6|Pnx5`z*Y8J^6Y9VC86E1o zR~qgw(ph-TgnLV;TjGxlF@ydY0fA28ky8whK9FvzWEST8X)n;hHA}=t&*u4@Pe;Y$ zGY&miXH~gAZts?Sb}3e|%tL+`ixeyl3UNBS@TjmGrp?K{z3t^3$r*b-7Ax`yZDw|9 z>zI>xxUF&z*94h4R)*dWXE)C0h~ljm{?`4TM~bz^s>7nG<WA}J*qLe)9UM_I9y}>* zmzX?1xoQiYi)OYljCWk8pzPxLFk6_XeoDbPW&LlWK}JoNMfq9E7%nQYSlIu1D3hDF zGUQzK#La>B`cu-hVk?5>Lq8upka(v&{?udsySlR0<&(|rPt97dAo2Eim9%}Gv6o!E z%nVcE4~PBtDg9+nIeSG?gKx&0X{$m$U5~$XDqHyE%HLb}pS--iJit0|efw?ZO^yoJ zE*-qxohx|4o1>+t=fI=HyGP30C;!=~;yEqfZ+hOUcRl7ax7!4AJa*NU_uKjR*F2-z zQ!IC%KKfd%vaaIW63x=`E}i&I0q0)ciTxDKu6MJ3PvY7$bLLHap7?#8NagdZ=_)f) zTFMM>3%<Mk&F6ikN8Q}bZnLgx={`5xzE9cmr);FrB;hY_c8WFLaaBl3VKG(R_#x$U zw_4@z-KjyVt6bM#G<f-&|Mc_6VjlZX-+J+8mB(+j&?TOm#XfzUzJJHuPrvhR^w-<` zUg0xmXH3?0-Z=;A|Cl{=m$dsLXZd{(_miF1?LKk6-*B+}Wcd7gh5B5N=}Co0_sYFL z&$$2JpS?BzF3#TMsr#Y&+Y+yvMWRbGKZjlVeQWm8=zbp4VwKa!zOG(++%lbQZqJ0J zUpLQxcG}lA+WVzYWN_&2M~)`vmaWw+`aX4^rMJ7$yq%V2-fl(0wYRs|yDFrELNZ~w zv$2q`XPU>&+W*n@Ct}0PL$=+hxvBK~_MNR=Eh1CRwB~mRZqXFg3Z153Kj+=IJLYj! zPWP&wYR>!_z9?jk%)Z!y6(>$;pSMwZzyD{`6^|9lvHEW`pK6Cq%I%z;dhCklrG1Yp z?F5(3xx3HO-Z~_uW#ZZJpYGq}>MeBcPEjr?D|&R~{-<*@B0rrvQ2bZRbi(JG$HTa% z^&GkOb<5_H(I6KJ?C(o!iQ>7a)P4Qkq?g`hCsgO|>Hm4xaP!x7=Ib&Ro_YE8)itkA zb0_-mn{RXf?UeYctQ9pszIiKN3`l7&)Hrcs-`<y()_JC8UVbO@GvSKG(~Wgm-~CnU z4<BUyy|kipl3@KyXHPf2RXM9O&cEC9D`&mMADiUXlDs(As4L%f{X4I-eSW|=t>?+X z?!4tP#vCmzJrf@GI|Wu$xKFOJO<gOZ6Le;^vDQ}4uzatHr_Y`Cidwh(kBw)rV!@2W zJ133%|AxEO{h7ArR-K9QTjPB){u-0LFKu(VIlF$JJJ)K1Ew@UgPw;NPqr3N4%>1R2 zCi<PT%~SrZcR3lfsbKBz0!zMKYqGr~_a?18?p;v0e)ZF>-#0Hi@$GBX+K6ot>t5V* zlau<^bf@b2N|DPq=e~a(Q?B}c!tr*VDXyQ>R_k=RFY&xAR`mM-d+H(o`zNM&K3<Zt z^3tdK3;j>3PXAti_RYK36Xj3o+xJfWnjP+06}3tvNRWAJ*7eESzwW7A|GNKj^45&{ z6DQ^yhq@fv{eQCS=k(KtqPrqDhso}I^lJ8!|Ml-a-}vW$Im}~m)TuYmmzrJNRBHX? z#YE?#-0g3+YV3=fA7RtyA+~A7x0jcXzx|>k*?Dwz-t#Hp0vw!@im?XuGe7M6|L^yT zLm%(TPxG8BHFZhY)uNky)tBCw1}!ei%nY&4Zd%A_9;5a;Z2g4$p=X84Kiz27I{LXk zd6PiL*45KapYXpottF~-tMaRVf2&g;?Z{8P8PA>a<8%JX)-Qc$mZ|mpcH?_<+GF{j zsZllN`7zUVC1?IQ%|B_~ABzsTnda&BZ8rbzNNe3$TaZ?Lb!sJRv6n(qaL)0xt4q3# z&hIgP6k_%E&8<(R>n2Ro*3VI&yE|^lUq1!O;(s$%opm(7YZmY!IMSeeSx?xr4byV1 zUg+iTSk$c(Y2v?b&$9U;L23rC3eN=jt*Y0Zqb+;xt&)8IzeuhX6I{YSPVGtPJEU`U z*WY@}OHZ4;Lo1RM-@4co-%9cAimT0^a(m_aTQhVztvQ9y-&3r*{4M0>gc&;Jae05; zZ0<Tg*{e{gWa{S8{?5xwN0Rf&^qlh>Ib7J76cv?CycW&RIIaKbkhs>PC84n^Bh0GR z{XcchsSVSeDxkYs^3lKDc~`ohy-B_O^=c~P?YWcI)X!C}oWLhnviZ#X&+UKg>T9=! z|6k&DqU2;{`pUVV68n!l+xI_4z5b7#X0%a~6=&<rwr%%oetQ1h_2-rJ)BkNYnxT(2 zKa2YCRIJ=Q+}Ukj<<r`ouYN@z6=*wnc;58e@2VUWoMg}SOt0I{KgoUGuXr`doBK*n zXIAX}_o$%qcfJ4VdRu;;%j+FZocLq^f5K~h`}lX&FF1F;{x5g(63eZ1=k02o_ep(s zS2HY<b4c2Jvp)AyrTeDIQ?@W06+f)1e8Kkq;emY*q`HsKP%1jp+qGlQiLfjWPmPlt zKRrA?xH{=ho_$y}`BRC*6c0JE*G~H;{`>p;HA|t2F1O2#HIw37Yc#{^bwJ^^BG}WW z_}$LuZlZ_y>kXpU?K@CidQZ^)+~u2L-bdzMGBUXM@YEIuJ^>%~%$2*BBo}YB(Ei_f ze&0-;T<elazu986JEP4`l}~=Q>uBo!OI0%Vbv~gSy2^(`<R)%hZ1+uKmo#Tei)W4f zvtn6A7RMbwHm!a#&HDf9vs(95>UZzlHEE)4=I*7jk53gnujS9a)I29r*)LlquHy0J zoRb_W&mWyToBDrW$<O4E(=I#BuD#g9v*)W;<jyzxKdbKW6#BAF+L75Db9?n>o<08! zCBHm)yxh0?|G~vp+ON;<-K2k^wf>}gyzi9k^J`b!R#-X3b4lw>V?#s3^Z)OAy764_ zs}C}&{FE{`^Ri~Qxc)WCkhxB_;`QPVLX!h;KUur|UeRmzbfE<k-%ibbuB8&JY$-K0 z`{ch<hF2!Pys>fd_W52eb^M(S{6gz?ay|PAT8WvSsT;Rv$DN|ny312nJeARU8N@sD zNmiH+lcT!wGB2f<6Be)4xM;iHZ?fa{O7(#Jo`3a+-xlheQodImI!#?}%EkHn)aS1_ z&nsm<)hPA!>C5{2)yu!`57Kb?dhx}_ndNdzp1%EWt1NuHUi*ia!VF<9alJ)z9ny90 zs)=fa*}e7a6)`$=z)xc`hnk90jp5V>-9~?YnlCMxx#pQ({BtQEqeDM>(lS2Hxq9mS z;yf{(T1DjvUiHPfHnv9VcD)m;d{)2Qeum|}%YPr;x_0+F|Kyt8;;-uO7aZpO)heKN zUVHuj`?8XZ!ke-mY4{hph8rarJo|4m`Oeh|A4??{oa<b^<tewA&V{Lk^}k*&zdY;q zhQ#J|hfXg#!`8dyNeG)-ua#uz;y?xIC6V$52@ca9s;jHN*4Zv=RbL`tbZ^q$X-zFx z*qUZov^v!Y2>#(-8^O}#=eC0P0Ds-LH#Y;@^ZZPe<@bN?+VkOw^QN@pg*#W4n`d5I zwALi&lFvLDD~~k&`+EO(Zk*0lzg9<o-^9S0S05HOU)t>LeRE&w=9rt?=bPl;4D*_* zr>%L?)5tB;_1c;kG0~JGl?y-C2UmWW_pc~z^R!Ptx9?BA*8CxT-9a_w_xrxB+4Jj< z!OyBcKA9J-vSlUWPk3x@5enMwn7s3S{Mw$~(z}C}R`}Y)9+f|PV!H6N(;H?RoiSN* zM{TYtQ{z!~we|n+Pwm+;D{GVS<$x=_M?W6o)<09!X|TUX-ahVvU8C{VXpLKLugY8M zudtk+rh9q*%3rT%-IHB(aM3F*kq6Cl6f#^qmgikMvs=!4R@tq}Z#UD8_g6ic=)T0U znQfPZY^Sn(?U%rtTQVn`F@LPTG*@_|hphCwsRi6y^DeyE;pW{W``FOJ@qx$8ezv8F zhl6)c^|<X9RkKBVr{TYX$Em+R_c>fR$zeUASK7S(RTcL|HOsG(Ki|DRpK@aF_N@J_ z>vCVeJi6X*Z=02*RG_x<y?WnS+cK1$<>~{Sjmy5Me>zdmo^kxr>-=-8R+;SMm}jE= zzx?>uTa85*cbTj=NVande(b$1Y<=&~f&=XNdo}d!|86KUn5(un^u_x9#igps)!*Mu zyeGabT;i^#`Re);qDR7wd5_zf|8ZQqah6GT>y=fLuTCtv+-6#ocwFtKn(ga5o2JeA z^LxoT{>SSh<_p#fey-W0sGOl5F-7yrvy!B*E^a<g<G4-+mLJv3&{oj6VzBU+-ZEw} z-|)+P-TKd-uU^0J)>{TmC->Ny_x4uL|7N>S@XFqWi*#<WgfFy^pH<(ouh!qSJ<x~$ zzev`R1R=-AhnW0L1Y0`apM3gc?W%$g7tY_hw4?BG%d5kFO*?9S79~0!>}OYU<CT5& zI$(mpXVI@^JqsMuA9KA6(_vB&C^2fvnz?G4g}LkOCnmGfj$P?2x?MW`)L(vk-NkNu z)Gv$YUa}7}QF`+5#=hL{m@Aw8>(eyW6f(&EKAp2xw6M$E=+lS)clGY~%W7&1@mH>O z>)gh+me)>r>Z+D|JNrJ|w*DQfxW4|!)Oq@k&d0=_?AZPLguGEv<@ak|zo%%_>-k%q zO;^dRUNq<Ws%_EFLoVy@vvc{<^P_6fI`$|1H!`H^FP!=pQRkh(8sphvc^W(-Rp0&X z#l^_OH*RhX&Ygb3J~&dmnCW=1<aLhS6=mNu6z@Fsb;>^a{r#TLeOK1kS7n&lDfLL! z3!V`Qc~H9T?qYZTx5<en>@x}!JT2Ojnih4$9_<p{%GUN=`^fUb$H!*zI0Si3`!er5 zdr`yvuGJ22X4D&K-7;cd#pOIjL+wPwMK#CzSu?yhXnkgT-?vHERR~l}TC@w^@}9US zLwIibk`1SfgVuX(bB<sBsb@{m<n)#~a!<Y~T($1LmVAECvfGZyMt>GI-g0SXPCKu? z{_6k#iEqS>B4(N>=>L~AIihdmWIOeGT*aMak@n4oMFj$3e;&=Af9h#?>JP;yA$_ve zTJC)E_17%z=QtfQ?z-^z%iD~fnWvO;mwhT<*EnfnX?EDD^_#=9f~QZ+-zTFeuzmLX zANG<~f0kZe*1P|7WU1Hd*I)mgkiUD#$%J>|;j7u#!j>&RDHMOTdavYVfl4>2RW{0B zbLUN*bFZi=?Qn3PpwxF4w+q+i*;EE)i_SUp=!}y;YmD;z`kGIj-<}uDO1`!xa<M0i z6j!-g>r(IOua1@<YT>-8_v**gfLn4(jhfO-4!1+hpWpfO^YiOO2ZI;fMa>Hm7o5^h zlk}0EG3~|Vu0t6zH4|;CzhxYc3_rO3{EQ_vnd-Zf4Kx=@CiTpiX0UjnpcAu`$|TWl zhcXV9sXYt$Tx-2vzRjqgGAAuTRXg)F+taUC-0RF;Z_T|MS$}W#Jhiu>8d?)&mvrS{ z)jQn$@54i9t-^@to0~G{##ChHTW+rOUhB9}w(QZ9ryo+5B|hByWzRFMzcr#eA3V~& za`;=zsg)m>*L=Jiq9{2lxTECds-Q`)4WdLFgDm&W>Z?;^_goNKANgdyL{WYJoVzPm zn}l67Rt?#9)wcRl$c~RLvXxGUwoSih^>x9Q^mUDs1dCQq_&U`_L14eB_ViUT%KJSR z8_z!dLVj7Lj)ujHZToID?)ZIl`f8WVuc@;?JzN>OeBT}GiVC%!wm%OeYU;jwFIncb z;@kG92WG3YkN^MoJ+G~KWpEDLwGVczHWTXw#XPrgy}2~EDf#KQx3`~uJnrx6_|f5r z%wv<84v57doDXAsuJozT7kKXZ``g>e+Us^W$#-+I3G@Av_w(h65;@~}MqO9eSJ=RW z`}6bj*O$rF_;j#6vgG#c6gl{$DRX7)?y@S6j420XdC!H0$BJqm-txF3fThXHt$vx$ z%tg7amzVEee^zLrL<*nw@~xQ}D}0-|irQ{{JabUx!+XE>|M%}~Z;z8m*S)!lvqg~O z;Bxsriu-+kIL<5-Dm`{_lB&1&&QGExPuOqq_-9_N|MxIEwy><5OMfZDy~wq<PJUir zzoz@@POd<`^?MC`Lf^+OkxTkL<<|BtHMJ-2>N#A>-g`~U-~TIQ+23#7IX8F2iJ#OC z)A4&{ZWX+4$1As&ix+vvgzn#W&NRTt>DyJmSiLJ-3%{)lxOXaa)ysm%*6*cf<)$W| zcqDx4<P4UyCuJ)mcdzqWSJwX}@aVrehrUXiK9Y@GHffV#y@y9{%dMi9qSsF}G&cJ; zU%a-A^T|1``ad$|DMx;EC)5>QWq2Uov{>^AgJX5mPS&J`w(A}0XS;sN9l!kP>FN1m zk&p7!W|SBtKM23LDpXrG^O0A_udlC{&vmvgeYM2bEkpc5lLf0U@8fs(_U;ZppkN~) zQQnk4|MK+1*~xNz4HYGCZ-vIyd^~#Qg@nhQ@c3HQ#csW)N{-d@ZK<t}d!sB6V)W_L zY5mvo)0ThOmC<0V9$LLPv2LT4+Zl~_ljc3WR+D@6-UsFPD#|msBNc8+B%V6(r|EpB z;)xkL1#6Df1T6QHwY?F#I*jw&k_^Q;(wtiaRZI@2vuti#y^<}MU#fqhb350Q=NpXT zudNRE|Ic5{JxlD5RoR;xiuKBFJr^cgyWF_I_e}B_o92O?qW^it3jXC?TQjq6+9UHv zXO22v;a|cjKf`P4rnJVgb}K27V^91P0_Qm``@6R(!|}t+gZH2I+yA?8<B`CfZMokp z%l^DQE+;=5n#o09>0Qx{dhk2hbCS;ODSCIUQ|9lR{qs!pJdMn1e$W2C`sGg77NySH zXm-v+Sy?!J?X*oxyF70j@BKafpmOJ5*kXb|t4?v7td5O3mn*l=>)gh&zcc$M_iT9a zxrl4wUYX<$c0r>b@e)l<Y)tk-;l-!(Li4-6eEv~BLH&s7p6M+mGt@hjTz)rwwp+&e z@7Vj~udl8ay||$GS5}QjEW6%=!Rejwt;O-53S#PhKDBBQKOQAkIB%&%gVp54f@(hX zKQ6dTdT_ictz}921j7x-L{g6`J>X_?R8_uHv4T0!-fi^?-l;af9?I-|F~==vWen%~ z%MxEdJ7r!|xR+gMyPo$Zb5V<f%EXDOuggzdGW9I_t9q}V{p8BusfW&le){rOwmxR^ z?q?kRdn$@FE+2gEYV&rEF4IF7g^U!IsTx{7kB<9pT2|nvGNaZvc8hOVuIF^_b9Slb z->&?7_odls?JT);^C>N>mM^ksvXld@c2H{V$+<UAO*no1wXGSaruTie`rx@a-1D*2 z(xu+3v*P-<vZwSns49Qs+sNUf&*Z4ATwnk9>vYhzl9@NT7K=~nnaKb9hrej!r3YJ* zD&9TYYU!!#;HB%och;xWJ^Bt8`+8;fSF@D84?Gfbb>YFB=>qTP&QN=MOFQ`4x~b~< zXJ?6Cdn7FZI`*J#w(V&p0ZtB<-kt{&OfxU3ytS0&HY(1XbS845()99=y764Ae(v-R z4Kl7zy|*&TFgqhx=RxB03ARkPgTI~9KXvEQ!bo4s&3WG{vwXbo`^Rd@N`-IQr{uV6 zr;a42(1dN0-41#R0wzXIPKrs7<*QySjG5t<vFlI99j><z@2!h$@|RuXeP`*L|Er%S zxq7_1cA_9)v(RsjHQsmD{<YJyTE6hg>cXmst=25h^y=@f@tw8(YmU%whStosX+15R z8Mk{~6<9z{7f^HmAsP2%qY`MT+w*-93eUH!(A~N^b*;ne%)Z-`zQ1Z+SvvXErA3J^ zg4ZACx^~g3|LE%Nr<+8hx4Nx~QoV1IB)xs<sWV5VQ(y3|T^ccw>7v!w4(aWx%7W#d zZyI!%?34vejOv{p&ADIqTh}=4{&PW>AC21P%TJ!@T)i~EUrZ?6WO3IjbHzL5zYg&R zt#GJ&d1qIjh<@0O+nV2gYdAkRuyC<atf-4k*`p;Td7<v@AAc#a^T|&(6c#_-Yb)jT znB{$)>b@<R{U;q1CY?C(?Ck8;q@pEN+d-SKE8G<{Qd+X6Pt~pu7vu6jy2xkNZdb82 zH+5~#XDhjK|BhQ%{ce*><fgLSbFS}Ncm0;i#*XMU=Q7u>@-@jlSd|&Kf7O=kSGN5f z2Ooa8`1sT1El*daIIzCFxGrtYp~=~wyHt#v`X=*Ao4sJF+^8`@_tVM=3hN>a?|1Cb z=1XZ))s$KJ7SzFVay#KyQUCpJId|)Vq|jq$Z|P)s>b<R5CZaVX@WLtao73j#8H?pj z2|C*CaeJBR(x%>RA6d6`*rZMGnl^KLPWOb^t&=ac{m7b@Xf#Di!oGH=$-2<6s(Et- zCVuYz=D1T?x!>;B3KbQV7e^8wipZ@Ad2VVN>5<ho*?wyE$$wFc-FWAIx;yhsz0RD+ zr!(Uxbbz*6+WmOYe0i4AOG(ihalM!o!aFBE=~c;F=TvqrXsK7~Nxj*Z3vYg2EZ(?a zg5V|9V2>{OXr0sFjm=KAEvfCAU^4N~s((H9P5B37woWiz85}url2p)+1z$x!u<yTP z^X<h);jR{yQ(e5)Q`MF%yT8vtJ(O?W$IJEM9&>+8Dso+ZYp3PZ8SidS?U^9-Z_{bL z%aVT4+j1ng?VK%TE^<v-SW{|}_4_@+`lnI~R3B?kZB+Ekm;&n0T72i1Fi<%CVe;h3 zTX(K;Zs&74c6+9A`m0~okpAqOO+O^JWnNa3QrwWtXT;>FsO)lKie7Bg^|X%CpJl(Q z>Tk{{aoDwW{rm0uCj^%+J6^Im&ATh?qxpJyeOIpNAfc7t&rDpbK4qq^=j>ZjSJtfX zHFEu9aM@75##`<GPPbPfnu>eRua;gJ+}l~WbCTetjrZ$*zr8iZp;o+{sW8F#sNsy+ zniI?`J|wJ+`a0$F&r?&iQ_svWblUZKZ}s<AR>oIW)*G@u^Z4P>D|t&{s`b`{M~@yE z1)tsQsoc7I`Ko;>Yuh#i6r4Yy+;5}AD`g_!mGkD-R__ZRE|`U0{AoY6?)9~`tB*g7 z(pHxdpB(ZlqimMRNh#iM9}e@s{y1aG&l&GlE^Y?*KU0kltO;Kq7qxqm^kaT@w{86Y z1vt1Q7jmhZtJRl^erodhQQUndW}3>~+vXe^%RN>V6~r#O)VluGj^J6VLq44q(bSX- zSJ8J67cIV1{-I-^owul9X2|YM``n(^lmwLeO}L{hFtK>nvD2<^*Y<m?(BG#mxv-48 z^^=CxbH597Y<@gwo-}Qm8h8`3cDUdBN5R3t#@)4jvesdCf9gLt|E<~mpox1+=hFQ9 z`({-vkX*o}&uwt@{R*ZYwzl^MvG)IK++1^Nlvh+UzkDbI?liL8GCgEG^_TIK8wXTC z8>C;d&J*D}JKOyF)Otf(L$j{St<eSNREsV}rsV(p^z=}ZlWWqd?eXPzOQVckm#7}) zntQd8Gkd;aa@(;h^<AzEEKN>s39nW>oRhjeS@vFQc2LNavsy1NZCe+&fobAj_Wpmn zKl@$cTgNM`<@NQ{Qs&H4^MqMgw!QP2esy**3w!96usvQ!Sj9M5FV3;b-`h1SQ)R7G z2+yGxIl}iqn~yVuv#zgOdsg`!%cX|TDk_aiWhc5swM`5g85VFpWUT*rEjmBd?skVn zin%|dP0Qz%0jJO1w<zM1w~NVUT(|R?R4oq+n|s(FR|D?{-bpOHj{S1BTl!ls$|M|0 zJma?5IrKr7sP?NxmF9N}nt7y5JfzI?)|_NeVfF1bZ<Kkk{gGBi!3vR_F4n4S_1*7h zI(vC_sd-JXIp*~+#6!4vp?<x#7^6SOlNmi9k{8`Ev$sgU`F0OOWzwg*pE-xLR!YYE zS(*5ARGf0}pBoT0DP^y~Jd2;(P1b}43JXgM$rvoLo8KYHdM7;JYa-Ln&zX0mudY*R z<z~&ZoBj8C+RaVMp}*p<cw~iqip#n9{NOF-&8`PL-7Zu~ymjgp)4ek<{g3FJ`p{!H z*KS_1DD>bP8F~5nsy5=e>{23U?BBe*`7=2#^X{&#@0#lj?F05+%bfPWTINrD^;=V4 zl{Oy9MVt&%8#w<TJ(E0dz5vgO35qru5(*wOQZr_I9Tr&n{a&?yHl#!LB7Mv10RJ-r zhVi#fzLW6r+FSkoTJn)CEPfd+2fo~`FTZ~_^Ygbq67CAeQ(8XV_@i)rU99xufSvQ@ zIxp^<x65x*nf#PKEz2ms;DhRKZ!H%;c6IjTrBzEW1+C7qoLaDUjaT2(=)TD|m4bif zd|9Y`Jmv8=lbc&?L$|HUSl-4t)r7HU{e#-}nX%zBQqxwZq<wt)cuD^BDzk+%=U-P{ z-fn%eo+FCmU)sd-@EyXJE-dvH_vJIFmUx&imgl21LtH^>hF8y*mzUjzIZv?HDP%Bc zG$)=B{bF74z+o+C!SdW2+w<qg6do15Ro_x(`0&C1kH228Pyf~^)|zvzM_yQ{_R_sS zKR<63W0w~;G5WF0AxO#9U}r*9*7bFB<%Fa|JLIHfgzG~^G?TYJ?yzAg@^RZBd+0*q z>&nPy>wKoYpHiHCxoYav#mP>63l*4>4_^z}o3N=MOfTFiOEV}adgA0sT0S?r>N(y7 zKinZLI&HSdH0$8++LM-V2#G9x9isodJY~x6dC&E3>zuy!c8a$3^{Hj6?)6Olp!`5Z zIm66gzJ++@^NtHy^&2YsWGsWSuATYt{N~2w_H%O^XR^xot&82ArQ2C}$;aRS`tG_d zVy@j{QzJL0Wo~*@^X+5hsVSPbHeQ+gAi3!n+y1VwwNaV!Q{Ud+e)@60{k+PG{dIr0 z^m{G$oBQPZ{rc;-Sm$l@b6m~5@+GKWvOp2sFR|~1^-Jn28pNd(g=Ig#-G2X6czo^B z*Hc}qRlhqFZhZc;&!O)m2T%R&ZMie~;`f!yR=dBmFY!6{Z(m&5GTCd23rts~+*sJG zxJ2Ool2eyID>1S2`j{@YRJ`75-+80Sa9T!2GfVQ4XZP2c$lsoFtm%XVM~9-~!CB6a z`fROIb{E}TRCM)cY5h_c!_^15#J2t0_87FKIq6zLqIuJ|Lo3%FSkBmfIeY!yFkvRK zppJG$wwA}&RtCHO?p>gJFvqC+TaK@rz^BXy;!XB!io0FzCLB9x<7~ncCD509f8XA3 zuN&S>;NeXVSIX&7axDIQQhk1gdi0#=kM|Q8pTAE#JL~0ht%(j3_?7FA_sL!s)LQJ` zKh10E9^rTsjdp(dGVb)BpPp{ESoo`Z=jVzo3Ko|iy=M|UV&befaa-=~XG<ch^W+>A zj;B27vwnBMUg~+jYJbA>!daiEOiO7xbt`ILiDBl&6>b-V=f3r_ULYPJv})snX_}EC zlP7njcCQZS{0mvl><wC%UT>u^!~2KyqBM@^R-?#bnI|IhLJM@`rfTfI%zx5VYj#%j zYTlEYODyf~PY`^p&m>vEWobW0s;Tt#wUgfZdzT!}`k3$Vn$gg(rS-j{xW$x7{4MV< z>~Qe9(4&yyq#*pIRi8sjbkpRdQ;J(=Bpas6Ta|eHPD?nHu(6Fr!M$JZs#?9`9Pg8d z9bJ?Z_o#3t3#K<7XS^~~hWE3;Gl645o=x)HEqs&MuEp>#@6?`jEbF*XC8(@SC{Go? zv^IMCiP^Dw{VyO3$)mT}JDqmBTDvay@%s%Qjc+cDOEWyCC>9j7F{aS9rR(*Z^)t%4 zo*dA;eBZG3)eq&Yycsbknz%IUjf;;xyfR^<)~WBx%m2yGJ60cXbz0<>tkvIM?_7}U z`|{eZsujz!ZhsYS^zdST|NO$+hhmL3{h)-I*&BFLa(DJT_baRnV&^-Hg;Q@WTH7@t zB-l<h)JaaY_{E8jXI!hc-#WOtV6ydN9#b<9Pc}=<Rrh%VAKC`Be0*h?zEA$#pZeeO z&lVJIGPw6iYwJEmg`-_R;*2#WR0@@D&biCy>axxAx!Bc`dlMoQ${P~|S3Zw05?UF4 ztnaka1KCEIiGm?Ls$NqBa%O~Wa!&~VmH4yvW7*8TCA+;i<2`3xS-WVf*h7&&8h*MT z`dH%yMgQfW@Z{WjK|JK)EmrO)!R!A7IqW@C|Ga0v@xS<h)<e7M>SIDT+SWbF7j-+a zyJ}@X>OKDz|5Iz8f3p1_9%=CXzqgHo;w%HrLxo)ybCrA_e(S%v^?AdZi2cq>oBXG3 zo*g#zb9C5LuBD&nN$Sk`p1Ghl(nv_7=$lQq!#m{(%0`<&J3KD-`d;bE=Mdns`Mdrt zs6Q4`ACxvlt#lR3)r(iR2hOPzDJxsts~{=O!WcBsQN^$JoRf}G=dqRVO+5osRGSZ2 zaGu`Ja_nn$N3W8din#HU!!xfMUikUsi;YZhc+L&QlPlSu%t`xr>F1hNW+CRw7qtDT z@pg0K*^qlrNx+Gv$i=PT-XaMR!w+G9+!LmGY1;o?uTsDF#r5E={!%M+)|lm7ua{{q zWxKV|RO|OEt59nWr>i?F_mqUV9PuiF9F3%I?bY324Kj(tUb$J}<)pPNeFu&$*=v*^ zx=ANU^V#Yt`kJt9YV)~Vw+KbC{0oy>xOH~<$zMleHzj|!%Ul_>eS2<*XV&rQT)D@$ zeXU;rI_l_x;#S@$mP2h0eJ427!`H{fu6U54YQYiknJsBkUG%Oifu1|Fj!mE1^1~=- zMZ$xZC!38o-N<};<D>8<%gp|&r7K&CRt9a4%FJBM;gKEfthP$ONM)knp=wVK&sF8x zVvOAl<sdhAoL1+vTOacJD_{DDJ`ekXkZJio^;1({ZLRX2btQ!LOO{4bP_Sx{cI+Xm zfM4Auzy2Lw6?aC?GlV6jTdU*xhP24csNHkstc+XQ%^t>c{=VUj$IjgjDiZ}K&7Qcw z<;uH*BA3oi-rRD>Z{ehZFArbe&@^>=#UXT@RcV6LrdN-=61XJUF7?@}f8lu2(PNT( zD`fdE-lzQa%3lOr>i9*@M{m^h6`nkGcF@ultAd*?k3YG*f%U83{<fA!v;Jt$i04~f zWzs8j%5$^T(<Pp3H|^4xH}!_o0a?j~MfpxnO@1<Beh&|NmBs~6Zaq|ZW$(g$Jd&X| z4@~@YH(pU&C$#P+N1NB7i|zW!=8}0IeM{E<I9<NvRs4>Eg?{y7?n;(IM|W~b7OdRl z6Pl_$u@@8x=8T$sobR^^*|C_tzoRa_?ful!+uyW;568`wv)kvSxpD~y*U5dK7CmD* z%l<?;Qm}tXtmMo4!c*?M@6B4e#P4d*qyWow*AIuvOLP4jl^%GxbqFM<`?dvN+Sl&w zx5~rh($>d!{MCXk?Z01N`~9v}vo^Qd%t*}*$9E{`?Bn`cqH)jtSU5+RhKfw>539UY ze<#V^-})%OsA$u<cV63WgAU?JJ2z)#_Mbl=4)f2NVZnOHY1UsxX0{)<*4pY#7vPuy zIu7xL6`w(!_Rj7D-|n)TSVpctadMe#24mlA8MV|<t6!g%K3+1X>Z9L^`rIwt3y-dR z$sQ{8ttWhy*YSPPPnk4VO`4|~qN({+<YPlk$XbDWGI|&LvUPgyPwm<80@TBba_u)e zG}l39MayZ!-{0R)ck7ip`QTvl(yXhiX0`_xry=*TL=G9VKGP6#hjp=ToSe;6rPCs^ z<@N3D`DyjDGjd)q>#}IRGJF|Oa-L&ru9QiJg8AK&$^XQs=Eq*XJzXo*>-SOx(CqZv zglP--cs(^fhG&BIHrHKT<mxoP=VZ&d$$s;a>aXnoxU|3EzVem#il+9aEpwV~w(YW; zVEN?1!RA?i7XDqJHzD{#x(jn^muy=#-!}XEf*dn?7Ub1GY2T7?km-K)X7@JM#^$ab zmA6(J6Q-=yWLL@j?s#)zRlrZT6;BdXyH?DR(^@#AF7?T`2gWb2D7$*3`yY#N%Kg4_ zj{2VW_Dd#hd26rq@>TKFqC%l~JHJ)oI(ng}#lvqcu;}D2WV_~Z@L1nrW2SW}El10K zeR;X-#T;wL;-)qw-FjW$cl-a>#m;Y&Ez8Qvdd6g1_9o)zhr|3|o7|?}7gqPn2x9!0 zaNJikPRxCa{P%m+>#rU7aQDv6;?h`YvFcZsH*9zo{<EaV!A3zi!{^=Z_jY?vmroMa z`W;k%Eb_LhwY^A9aK?P8#67{i5|0?!dOmMHZzuf8$xuzX-}c*#E9+wGqksRE<ea+R zTjBfL`St%+a*Kwo2vGd|<>~3^uMf3`*e-K;Sb9Bn`PTT1o*9d?S(8pkx#$TMiL*92 z##yN+7#;HM<LWiK7`BL+k>ljMz3wWx?-Oq>y=QE<db&}efZLqF{mT}tVv2E?IJxQ8 zpWr11^{dZ!t@&UXzt31@|E;x8&i}7}zx&thyWKy3FS(^U&*o?UhG?6g-|b&b{b>Ha ze)azT6*?Q1oKTlu^KZ==P4~XJRqYik4lh}w<eTcfYRdbi-5#w$uZmYL3X0q7?6M|; z<&NS5FSiRU8*V)Ef8eLU`+oobeb;&dj_^fpOlnnA`ymDD@Oi#sGEqB`zyI&DS^)(K zj{4`pe9f^t3n$3f)$F*pF!*BmjSY!ajU{?N<{a<)JE!>E#eK>@8WQ{rc2{wIHcSie z<x64T+&6j4jLMJu9h=!w#jZ4~IL?z0U$%%{uEHT|Yt~X<SGO0lKU(@m-2D3R?YiIp ze!t%=qEsT+zn>>`O0m|1mN2<ZY!h9wi~jw5o;_co{zK7M-IJO1-~N116flqk9Y9uc zGxy5WutoBX&F%f#K}9Zna(0RtVLz(>FMM3&u=n$$`jmd#m2)!vHMTVb>&{n{`L(%f z{^!%`mmWTf7KyZUw(j^eFMjXmod@>M?-tWkI675qamB^@_@hSmF6JB&)m`A}G3OWL z7?C+CM?&h~x$aKz5ncCop=-wFWxisI8s;-`%gtW9(7D~Krlw}vhZqy?i~xiG58@B5 zlhJhtodWgwOLwv6)oev^oge-7|0<>#I*8m@`#891CPy(>n%rW`pD&lsH<2`IX)m*E zzx)67`u*!xGh3&Ae|PuNa({VA`?{KC=UI*?OF1bAgU-KwyBaj_kUmTM!jmtruj>aN ze!P}HejV#GA*I?ooHJ%-o!GbK=}U<-VW4iK#A79g-Jlb|3hu_#dKX>lw>AI6!Q$hV zaN&(r#r7mY2F8$uHa%-2Sc;e#)g={ertXh?!Y!&*a;sp6|4NH<eS0fEKbv{~^Y?kS z)m!YDy6s&KXVz;aUX(XV=}?pRo~Cng_h-+^YN@jr!Dm8MO*C&|;N5hYJ@wU<m9tb9 zOmv)WkbBF-*Qh6<=%C5LAH54UK1}r8&B~Qxy7J$@-|s=EuI2g}fw~5{lMb#F_T`?% zd@S?a(UxY;$9uou`@FLM&W?HSe!1LcKA3ZT-Q0gtygE_p^(W?b#&k{Dc&qS7yTgGL zmSg^Zww*P6B>!Mz%@$SVjWwmp^?81fqoRr@mY-u-%f>CePjuNMi;0akmkl;QIDBzy zcKBLV!*l&FU%sqz@4L1r<Km)Q@+_N@WI{9NpATN<b5Sb!1V`?Jh_00^j>QfCIsMko z5dRS9c(~`=5e_Nt`o5P}Rt7)&ub?=Ay?l55v5qj-|H3T1M`g?JDBj&wdifUrpI-_V z0>a7`Ym4}n$tr^q^{e&K+x;f1mLH0_sPHsILGD54HO^kKrr8HT{kLGB9m;bp-(H?4 zZ^y!<ro6(A)9~{WCf@rZIsOwthZ0UKQvLMIVonOn@}L@#h@Sf1f_f`o)*sRj^c&?_ zTN+&X9wl}#^8K<1_%y*Ky6GlMFLMfuVWmOA5}WULid$DmZxNi4-qGeLAsi(*C#10F zY4x#IH&(~u!^I-%(K5al7j4+_X}fX#W$>W}@)HENSoK`b$T5&yDCrRGd@;yGZ>m=4 zrP_s@jVXri971Yx^(LAb4IJI37p;0!ciZi`8yHeh-uun)`GXbGI*S^_mel?Ib!$1> zCQiBYw%_M$$-RB;w!qu(f_)ALQkLZ0+|=YX3Dmw40G)ZszO%DIQTbT>k<vmJ>&9t^ zIClS>9#`ere)Umx!?kAD+X7<y(jAYV3EWwf`d3y;+=FwLAd4?YeM-~5h!+=E2*h=3 zvOhHLRz2_aYsarwtGCv-3yKx`IDLM0_VPcuj9Hxg$BrM~d0<hXBlqD#oi`bw1zSuj z9TjAIX8%#PIP&Ph%bt6QJuYmGynUO`w10?DkNkYaJufc!`o2P~HWMe7o%3RfmrLq` zx=@egnHVPuKKfYr=F#0Q*ZKnrpwmvpi@+Tmc|DmE4qeljizkbAJD4a72uLc%{XM0< z{z~ENFde38JvXWqgal4>r?l)*@bvVYnYPel2VWVFSfhggM@LUWw98)0%1=)mer<Vv zM1v{JSZJ}hnb)$Z;wL$lN%=VYUG|?6qi5~Y?I6a&q@;X9zEG}dYIOZZj)=1zd)bv3 z8~1p)DKVB?vvoTdfQ)-!>vu!y<2{7E8&Xt+)-}mCyDAuf3^>v&?3Sjj$i`N+W?t%9 zlZ{oWi<9HdsU%)BOaisiT@Q3JJQY0`pcrqr{M-^JCC#3b0U1Y?5=@o9$!*{O9aSpU zn4s{WUR*Ns)|Qp$DxUkC67F%lVYWz`akXUUyVvRNkRwftq#5_0;1JdG6iE@D_w-w8 z_nLpYlAUW_C%PvKg3MXO%Q*k!iAHAjl2wVX<Rdn6Sb$GJ<p;I8T@NTt5N4@SQ(oe6 zEW8DjQb6a<CV=(nFdcL{kZx3ORQs!>^3BHMDaU#w55Bs+O<0*D;;ctcjE6NZ_z>6$ z-W)%C+*WA)eB5vU>eQq#9i}kjr1y|R%o7AT#F*?R3!VzdzrD?O`r>U#V7r;2b_?i$ z?B=)m&`_38`{5va^VRLS>TUuX(q>8QoKPkOR#mSsUEssao|y8xr9~%Ir=R$GJ$}Bo z^VYj;+>Pq<DxBK*<>l5qYr4mmT>a<c@#cH$at+J`H%LY#f8KQ~@~JG#pHl~_{{4J@ zdPCx2u>Z1`ZT#-AL?I#jvJ2?&=?xq|`Wx<V&6G09SRflAZI<(*KL1<K47UTbGLwFN za{Kc{r(Ux2hj$8lhTw;ehWjZ?Qch0VRQfthGj!FI)~iYrSQ`T-PPG5`!x=OX8@ab? z>lBSZrDXy8UoM-Sa&eLCr6r!iKYzVm-)y&iZ}s<6OQ*+a%{I@U)-GQc@ovxOb2_zO z-rNknwJmq`;jec&pC@ZozukJh$<FK&OU<W~>Yr|=&;NO){@cdn<4?{QpP#Zd`}!_h zyGbWFD*C0({jPub<eGMFj^@ghD=)1M*N^AhemsIDi4T+(BEz-A)?{p6f9y`Kz#Pt> zPHz8xz1H9Pe&6qub8{>&Ep%qz`TO1Or>j=4J5|PDbHlw)MiVp>birMtWx`h_*RB(y z;V~0${`vU$_|tpU@9U@TEPf6;<Y=kyY%`;zBOMFM|9`ukfBNKP_0$Us9B*#pHDuU4 zRXaRQ?)$@b`K(1B&lsOisn(NlwupJ{x%d0M>Pw4Uxihb?n|u4Sy3Y&+`~QD7@A-Ny z+HwB()$8}II{7qr``u|v-%gn#vh&xg)lYAx&!4*ayq)#u-AB8{PiwE=qf{SX^U?Ll zWWjgBUTNS{X&)Y|y|%MBedniB+M8`bClg(N@^x{)ose1EyOy&`->*zk^?q`sQ@H5o z)9J78&lG(3JnQNz&#S9KYo(%B@cjav+x_TBXVZL#1x$hKf|hpODLgLQXqI@sTY%+{ zlJcJ&dOIF4{Z-zaBv{~jK-}n%W&Q2#`PW%ezP-6A$gI@Oz_<C_(O-AV?@#^u`ub~D zfrTPYA0HiUwo99H=>7ixb(vRJg>K7r?lb70b^reT`u}S=FRkDIPwVNasi(i)0rf$; zM72Q&>(}+l=X!m9b`~_FQ8)Mb>4O<YZG5s?oSd99+nocB^~tW@nqXb_X2PLX?)vmP z_CyzJ(1GjQ&+fk5<B*Vff9i)HM*HT-9Bvj>O6~Zzr}FcY&*$y0e-~z1=+-M$^m^@f zuj75PU+<@Et$RMVd`aHjT{p{4TV@2D_{>sSCi<>ZI&X*L<gfy*kQEcub`=&D{<@&N z|CewfY%PI%A4ll*i*AN(ccwg_S6%OyedGPE*LvFzC)@!YCc7`+c4DH3c7$=f_Ql?Y z_>`8T%@^)@M7b=I{&z!a@A{OKGcyd0>i^Y%4k6sbRB$tO`pZkqwpCvQ#I%&(T#K)G z$eP&r?CV+c`!8JElPeQ8zj$|NXYjnLSDJejE{OBzFE%^=9TbY2s=w#$<xbytpgyKC z!D>b9?rqmpuB?gtyrnhg@v+`0@5yuK=y-a1e!Aey@A?1V->*%+v!9-xKE3w$H_qCC zySFaSI1?jfo~HvknwE)`E9G3j;fCZVjWyRI(_hXtIJD!1e4=C0_tVaIFVC>ZyS&Wz zmd#<kD<{hD*Q)35`PeqkyjUZ4SIM*T{eQpJ&%U<h$@%&5|NeaT&lT(J@Bd!4_Kz)t zF<Y9_@(tPR<M!^_m$o_W?5R((=VcAPy6lNLdBXnxALTptF1=fGZeF_okhR9<dJn7o zTbI36Urj1m{zvESbUkPLJ*GB4@8%}g`|GD_hhOW~T`6>|U;h16ouc)Z_gO0)YB<R8 ziDzN4yN!6gPK1KL-OnX|zFhWaJg*<2ey`^9*;yS9E9M@OF+95{_R&4iF{gJYo&5Xz zd-huX9iqBXCJ~1>y?r9YR5wvjE@6d7<#upl4mWC=`E1YUbC>yr;#*qxXI@?wS@v9@ zPda~3;P&Q~N`{7k!u(|cGeRzyY}owl;rTp~&)k6tGgRt9>)y(P_y76S{che-F;h!5 z&gCEKbAEiuce;7`(xoS$9?0&9U;F-kyZu$E`P+i*>+6hCPl^1sP1E>yobUeS885n7 zn%*Q>p6B3Ce8!@w5w>QAn)2mkzL#4X=Nf-bWIuCGI*9GvyJIP3-G9;)Ro2xhw)4wR z`}X#Bwo@|8q7!-bBDyT0I}Pt|XsDdj$}OH|$XFo5@_3@V+{dSvcG<FhwRL&&bb9=^ z)x6<GOpcF#70jJ0C6>Ne=MCs6f4jD$yu6nl9Bke^!@!`sk-J4OSn`?RB)e;?LcPt8 zZhdfXA#Z_ObDLUIHnXCGGSe})!_TZ%UR>;+ZLAVy{%-xRudiQM*PojaxMRNJ;~vq6 z_ey68|GfX{>FK*!5(;GkNgSZlhzt0g{SN6S9Lw+Cx+LLXQ{*mo^Aj9T=H1tk@-B}$ zoO*iN%k1Zz*9*>{{5IVfRKl!S`_u7L-ch9oT@C9~T3GvH4gb0C<4An6E-Uqn=9@(~ z1vlRKwBcyo8RnkYG*D-z{<6$*+tbW)Ygu>y?YI9Ik?nh?>EwL@aWRJQ#KIr%>;JD7 zS1{q6p{u|mAaL1^+lb%4Z1$TMku^6yew^@R^K!#NJHOR$dggL7z1_Uw<2j|{k4`jC zZ{;=S{cOO^Q~b?D?em26@N+wMmAsskT4gbzNjpk{#g~b1(f<GccJDLG@cmK0w*8R5 z+V0nV-$WNZJzIZhD{r__)3zSVeLtVg&fA^5?~Zm%@(FDzag%@<jy+<0yv#=gK6Q6W zP3F2j`E_$yQ>@L8$IBTPx-uUwzcc$sxxxhPb;jJwt$UA6P;@r=*sOEG?z?1^(TT0W zJ(kBaV($2MW%Cw(e8SNkFSJi{Z}s<YPwSV9Z%Q^054_LgW6Qb!B_lIih05d~UJDyb zzBY5+T#$QM(e;47(V^ykR`p53sjVWqw=1@B{BUx+(YttBs`~Tp4b4p+3wR9<J#u_x zyM>>FKSJ)njk|`G8w#AQA_NT1Z`iP)c7|2yD!Y3tLm%dMum<YB5xUFSEv8i(@;W1G z-`{Wb(R(8nUp-*c%*JeVaNR7|mRJkx6uZq1yQGD=Rhy=DZ2at0u_H;!X*FZm4Al>- zAJlWq;t{h}O8Fp~X#O_SCrwXh!UWaF<_Z7KC!JI}!7QIx_n<|L?P2w^%#P{SIS0;4 z-aTZ?Ah)h|C+oGt_KHexW*8>^P+ph9Qq&oF`tpy1g7v`?DhqvP8tu~VP&~piSxjXb zyUHxxb-IV{NJ!5zZ25dpELSK>r{%fwl8GD!EJBUS+TRUSuD+addhgM#PyQM1uHWLc zh5um*mz2~y@ng@<u^i5SdWc*9%HyZ|3Pn4FpDEvZX8yF_!0(x}K=bN^doIm_IS<Vb z?Umqq{xYHe>bB{{^`Jysp|jX%+q~13>~><&A6k1hNGC0S`#SIUg@w*l+dFq2<}gbt zd+%nOJ;&nm<;z=7Hn_IMite`GqNa00XV#aqhBh{eM#sK4+?C|Fk-K1Xq4L6F&4b?Z zi5n9eoq`Xnn>5$xxDmS;2M2#QL-#C8c5Cxl;$o@g$xh6T8-Ay!*Y~Qmv)ggA`Ss4o z_1VJGJ@cdbL6$>@I4q6&>gOFx`OtJ?L-&%}=SpqISaZ%UI{9v%tm#FmFPsmnKOPm| zx>GZz$+Ib)=azi^QBSuS+7Sz5512gn>j57pZQGRA)G8p<vA)OHM!e}*ZS&9eyS8?k zE`KF_W&SoP?%4S(>Che5`VNyPBDoybnSzDRZFReR$l~N<lgvv?d=4ca>nmfOKjC_O z{oS`UJ!*}`bN{(NOE@7qOJPgyKPw|+{XeVkaNar@U{Usb+UJe?zt6R`v*7sQ>bBwI z=HjBQ;cV#|+ooo?ACQ(zeCVp|<l?eD@2=5YqrGQmSM#X{%+WHuxxvFhV+Viz&6OJ~ zgpGPUS<-_z7_DQ=X4kY|VB@cw;~n|I;H<<WhRy?$V!DjC>`!n$VLi*WjIYo6>iI`Z zo(bh%87kd2vzX5%hM$(`SzvX{pCO*XKlxF_F~{3KnjcJesMcw*=}=U5ao#Z5bXA6! z-kf?v-kGe&@6MQ$CU4?6!SdLfGpUCj)pO`gurzSsdATUxhpXrC<)n9Scm4crE5&ps zU1ycRf|HZg=RfnG5Ift?s7b78e`LkM3!xD)hS83Eb5gqZe}8jx@!YtJTO3Uk+}(bC zdAYcg<w^7F(~r(Q%6B^V5`M1r#hSwVPD?Vyh50_4%o6+c(2l(xbf&dfdX26@eZA1S zw|)xG)NF3u&lZ07xcBo$_4<hg{l~wFSAmk|ioU`-n-W77?l-u2ftfqJ?CR`~TRD~& zOFm=sU^zEuqS8c*WgZ9BZaglSB*-BvrRyKXvPEiw--$(rjSG1Xzd6Qq!kDi;Ws8c+ z66Xu67Jfb8(`3d_%$33_l+bd1g0ugA?s}8>j2s0Sfd~)&TjD0VZXNHME|k2wqRH(d z;QlWE*i*k3!k<<4X}@dP=ya?%@|^r1#qAkvv8^_S77y60|M#2M3)UaY>+j_QxwZ7w zm5XIr_tr+RoDe^hcYE@K;EAzGs%7?X>^}Aua8;T!RVHk@eB_UiXJXw%i)9gei|3{s zskiuQRXk}!g4I^hCyx*Kw0ibdOJ3$Wa#$q&h~$)XS$@wgsu}tQlV=EIZn2oLBVxtJ z+XqwHCE0nU)U38B$aK%=pT&2AZ<+0x#Eg#@b|rebTuwR^ZP>cN@rdLt$-J|s%_#y} zXLcB<?{ZuCVfI2>pSE`_Z{?GnHgjxzRPJ8AkK=Rw@sj6S8P9w6_v*i`ux_jT7~_!K zqA6zdqU!FRt%>{l{Xibuknm_<vaV`*N!+dz5~kLkH5MHX22H-QQ+EgcbwB3uRwGH4 z5q?Z{g<-ek!7uIm`F9t*i`*h7AnY9RL$0VXS^wOYn)YL?K}j6?vji4Re8C+rV50S- z{<(vJ0e6v(no-UCh%KEehZl<RvOZyX)a!YC%W)>3>WrKiR$=bPiu-ww9Ldyc*KlxB zu%0(*=FAV}$Bst)c>Bq^SER9HfvM7wTbl2jW-6ziDU&(qd?4NEP=BYq!22*ACN@U@ zTY~vfg53*T6>f021m(_3IDa;}T0qpqYT|@5XX<Z9y!|f5SPtssCj4%(`vj`HT@I|2 z-54cUo&Q7(bo_II-NBy5V(nS-{{NJAmip%G7h{YU{4lfU!9jlw8IT=*EHPV^!?cs$ z_up|)(4QnY=fug?&L#YHMhiJMp6$)f{C%bxWd4TiNk7lOw4C?-!6xHxEe!YmtJEE; zmwTtV<-($LK@L@rRV_mPx3{?}%mFDca8r?<SL(&{{AuUBeLZDIvRoHEpLO(>hpuGj zR_(0klffP52c`2SO?ni(^y(g#gf(ZrK6v<e(zgE{GB!0%d~%k0>{ssp=|BIQKefiL z<V(vOi=W%IZhY;pvtPL9{?D4A`g0ZM>*w3g7dcVhR(F2>f1a<Ae{=0te@|-VKJkBZ zx!i-m&}iv6FaHc7Cr^czdn`*l^n;Evc?E80>RsLRVsF*ns!*5pU0=1tzG#KbY7h}+ zU83Q!{!(>&i+~psr|jSFyFbr=cqT>7*i+5eGj09zlg5*07MJ}zcjnFeHDBLuJ=85a zNhq<tRb@|xqUO4JpY6{d?iQV5q}uiBf3GBqQFnu)@=Vt=GWh~0Y(b%M!o;J@L$3F! zFUzC;rKc`F*<57vvq#3dGOSN+rTy0mr@xxKbEas;g>;E(s<{5tUX!)v!}58ruU{#S z+b^_eAqSg_v;C_7H@PzZ*1!DE$#wgSo@0Q{p+$1_|3m)Iw=H)(H*dd2dPPY6^Q*=G zo?EV0T|P&&bjB3T$PlF~@hLC295-6p<#{v6b!n1I#XXk$JyypBPvob7#sDVGnDN5! zvFXg7lZPFxs#kAu>}$@kGT?Y3z+^XBu*_$}>^Ar0ozjeZBnvxN7QM*ml6hok==w+m zbddD1&{Lo51DtHd__eiE4|jWbe%+H&5$J1E)IB%&$&-{<k(MhvPM*9{JZaIq`9byS zQ=Y4N?qyS4DH0j8NcphpuAIWop#F-mo>ns^OHa28rveXJERHgt!mq%Y;MV!isJQip zq_dG}(1qQ`r}#H?w~2iCcdlX9%tE%$5!;M|*C|?mz4YgzTfKqd3H{pNK5iPbTo39S zrAeKR<!~@7JFa^Aob$;|>hG3tEsvQ{6fQcw<5J?O#R7V%-tDWOKMY!t(0Ir6gHF%0 zLr1+&EuO4({L1~0DNkQ|TIqJG2Zj7tJ|U!6OVf0bx2Ne>c27gGD2^S<%1`bW+<oV@ z&~eq<v|}seUAD$?X+^!PfB5$K<hXfVJ3zzg$Co6FC_G`Gem~%qXljaz;byHjRi`F- ziG>TTn)u8%r1#3tgjXwFJd27pEnZ|+I`_`Z?PgA*w;j&kPB(IT<`C0lBgL)9RLwts zN^Dof8;cn_)uNo5t4bsSCoWx5b92(GjZ3EJ1?ucz=5c!Ox%`|){sm7@i~6b{Tea02 z&bxN@YN?uPPo4CtYtE4{4at_knR?8f4sP=%mfx=p7xz#x&I;>Y)Dx0emM$WzqPu7L zlH`_<752`J$KT%GUcF{!^47hXm(^s2)OT-O&b2$|_0#V&j+%({`m7bnXH~u~B#?6C z=xo=Io|~MD|E^?xk|nuSuxkce@j1Kt$rGhavtGQKvP{qSfxdXsMc?Ya)%%k-6@EA5 z)DDYSB=T{?3HOg1lp5tj^xhjj{bK3s$ERH+v|i4CzODY()ov%QURAo1EUCN7blQG_ z6CFJP3GdeJe&-dwy_L25Xit@)0Grm2N$U@<+TLV7!$wX*bg^bmx_Qva#WxE~oo;<Q zb+R6GSopf8p77Qw?{p@WHNW^EWi93@+`qiF>$0+2Pk~I^^z=_pPVV|-+O4~5&Bev; z-fN?_eldJga^&}+n2Py}Dz7ZwxJvK+&CTx1XDtVv^sK+<LsKxX4Cu7yIuXqv7xAZy zy|$(<S(N_TE*Er5-p@}@pH2;ro46`;HQS%VN9s!x&%Ns^1obbgY$lj;$xoWq^m9j) zTP{nDlj6OF=Tll1`CiG;2wfG@;Vd@erTWr2myaYj|GK^F{f>~80Z~bdPI*l23U6KV zTzU73$Cfw6>z%$Gmz`eQK6#1XRHI7{g2JE^o!ic&ir>Feuut76DfITzbv`L6OD+eT z>~{7Q*Q)<fH2usY|Ap4qFYt-3(5!fVlH0K4MZn7o3!C*qo@eD$yMvB(E<VIs{OnAj zjN3t5v6?OXA_rH8t(|pkS-|UM@%!ue78xcUVp-eUpde#k7bDMId7${vUHjU{j13YG zG!?A1XY*Cm|NZs#)0JTVmjZ%IdX%aw!W4YJ-zn~YmwdB+Q|hi;2Cn~hyks%o<M~ZG zzyNgN+p&cO4-f5H$A478wlcryQ?a92frt3xk0~+59C8m$%b$5mTUxST{+7y8qojPt zaKg=g_hifN1Fv%3Q=U#^5pD9EBsEo8Wm%G>Xx<JlF7Z>gOqb`lDm;(2uYb6RD}U~U z+O8RItSWq3i@Z+PZ!JCTefieYqJ71eo%<9YboK<)@SSH_`tEI;Ig_qTw~Y(KY`fZB z>%^>!o_HKzSfOwse?!3T%iS?M3JxxOzhRHax4I8o0#epHHnUZITw)=6_58;v2?9JV z>nAXHcX<6cxQc<t(_w{IkYfkex4+--zvenrVP|lxXF9{#uGNNiT%YP4mnkSr3*2jN zW)^mU*SWbV{psHC_lm1~H5_iN3|9YZ7dQ3Ht#fm&=kC$@_F2B-0b~E}Hly49e=7=- z`{sR7x|w-<&g*zkFzn#f+<JDg;eX|ZA0@3y3fUrpD_0!SH%(Gn8kVyp=44%TvSrtS z`LEc^e&2Jsxzj%IQK-lAP9>H4v!aG8mwiz?_DPIs-U*I-P2SV>GS4^M1s$3v{#i44 znMIshPb2#cxxSm*a%bzc8fIV9c^g<@x`*$Db=tW(GtW71sQQ{U)4F_}^9HVsig%nn z+636GlN4Bm)%~VSQoUT_+Y<btN+9aM<A&*MO3Cp7oa-N0Ha<xZV0o6IE`80S{-%=8 z2@ZY7h=>_{k00nZv^-q9k%Mpl`-+AS%N+RbRLUsW^z5zw|L>OYV^8PD?<OBUtJ4%z ze(cWO*1%1lIa_SLzPTCPo_Xh`>!!=wEU*9P_~GK#vHIYewKrD&Zx@`Ut9MU8o%?^s zWNt6vAme3ouB;MTx&4+DHy=}u>b-sXfn{&%trwNa#cIA?-#N*QcTtnE#O3T|paYsO zbT4?_z;c6kZ>y-&#<=I~g8WUQat}*Soci<gbEzdyxmnqph_wp~uIf412&{c~W25rj z%nHRF$?1jn_f&4)^Yhv4zGToL&2fu+^<sCu*msk)W#fr&FD^Q(FW3^-Y~$c#aqX%? z#N%VV^~D`F7Y{$UdEj@G*o8a+wqNqbX+6iz#71=eG>|*NEvMe?lKQLZ=?Bi132eMl zPXhHP9lksJnQ>=>e+r8j@9rlTBfbe()P7r}^W*UZohW(rr^;R4e_x4)YCK!@#x(2P zH*2QfQ}?A@URU91F-<8~+Rd{)dy(pz6`WIl%4XP`z38j&iKr4hq(4pL>MNms=2@L_ zd#hef|DYq#r|6Qb`)SX+lbe&a9=>*KQ|jqgt>^P9f^Jwg&pVRWlBpn7VYbFqR&Yh? z`hCAvg{+H-R0v$|KY!Z0ySq<MkFR@qAx}Y1)*zwb+ZBbNt%rOo!ar==A=Sdz+qwPi zk#C<B;su0$m8J_Q9jj-*nRS2P-s`J5Rvwyts3vA@>FaAzCU5dTFBAV*UcYSb8^s60 zk`EWv{eHWBmZ9`%DW*=(^e5L%+fz=qId?Tonf}XE%WKIg)+C*BWe2{=zSfUL4Lv7Z z<6XQo`EkZnQL``G{GPvCJ;lt*S~78C3S-QMgvM)u4`dzs6FGHHK5m>bx!xshnnUsF zX}VFD9V%K}?-}h8S21xm4%(1#uw<c>lWm40$99Lhb8{>g7xyagwBCrQ5?O7#M~WkA z<(BTUmgEb~4k{PwF7UUiU)-EfVH2_8=1pf$zP{tuiGSr5YbJj0SbjhKnd=YMpceO* z0O3QvW~vdEK07X|L`pwy+aa)zQNKRVY2#w|{!_b3UvG(+dV%MmI)})QhYvrd#c;c9 zd)IRzFzt}-y<bfsNeMAay+mX8Onxo5s`KHVhf|~Peedk~a8PAi=BkZp|29p0RdelA z?WfzbnlEjMQ{5RgQDC#E`DY8Z-#pv6@^1+0XK$04@_JUtw0F8mC0#YiJJ}hnB_H<P z`&z$lUaZQrh|5t6*51`P!*rhMq2TobZf-*<-Yv>0E~R(x?XLe{xA!+_`M|B;PSdhH zI9m>Wjrg_m>9puA+nD>Ax=lE*eke+Kb0Sfl$2#ePbwZd#yV4ZU1yYK|PVOps%`%QD zHzpeA+%V`DXP)%==kximH;CNgDN(90U6Z?CK&zfZd=_7sjHA_slM#v%9UO7+gRhQi z3!U)im?6BW$dn_~V4FO9(YwmM`twh4<T>BTwvqZQ+qiQz-wA!~Z=Q2kJze5?_tYwe zn8f@3X710tSc1;a32%AQkaM@#WtZuCrPx`a=cYd9IqLW{#p*}Ek;`XpDhPOh3(X(( z9f?I74BvCCxiLq<c&ho5HD1T>?a1rlIzHo3-o3!n(e5hqJf?1q{`R`$i}{unN@rg$ z?Z5hbt>n|c7p06QeP6$DrsE5x2f~sE-*yPeUYufho@0(xZQL@w8%w#a%$+92yGkN4 zi=!q&V3*$Q4gQOi4=p)gdUdJGjE{A!uQy2>3F$nk_ubFd_(u7Gu%u$yNx5?EwwZtP zKF4#Po@$=*s%h?}1!=6VE=iy7vz+ZQa!L5vw%04TD59!-g`#fHbQO*HV$N<&OuJuR zQFtH@@=osUZC7tHRGK{NVTdkOKX+fT&^>sm@8c|?*mEkUxvri+u~7Nsri!<Dq2?Mf zze-nSZewDv|IwUq!lQxF{H`R+pN<Cql$O6Aa-<($yphWCO?}R!_33#NZ@l2hym_I* z`AoVpZ{yO}-&TcuKAv)bw^Vgm4JWgAgMdMbii5-KJRT;?29W-@DLHSSUW|}px-vo1 zIJ>(fE6({<nDLGo=Ua`LqRZr`{nRcyWqbGatXbxazY3nzn;SVveLr%<rQbCA#5B<S z)oY)Xf`WpKPB%-E1x`qUy!FO=`6AU&sou^rG28sbR))NbjlO1<DEYbZLkC0RY|GQz zJfo)u{cD>R$`fSS<4~upoYJAX-t+#x+Pg}}d6ilp)cyH*e1h)_*CgXfrvh^fRzK@E z+jMKY<$EKhxRdo9Dk@r9UXulr7rCz5{Wy3@ad(tj<;<TB6|M~_nZ?s{!&|?1dCRNj znNBMPHR7-IBnEDmUU|<`LM&sklAisJl)A6eX3gc<xMZ2UY1R~F?^UlWR_1RmeI2GK zTf0JPYw>|Cp{v7QDlA}O=zMeHkJmy+q3aV%uU$3DQVuEPJ+`NQ?<7I3h)Jpa#{;%! za+jZ8XA#P@$GKK>!6j~KV~!t92UMq~79VT!4YFOe<V)84Fs6Gm{tKQsVeC{pv-tVB zlg8(59{=yF&6qfWOVWR~dH%ZBS0`MuRldC?bMiVCo8)6XB~u&Lem?Q&)*@H#?ML6# zNCeHZZ;?*v`r9ZNnf=x+EUJFf>q(2`D-RZ*w_SeSP@8#uX^va&lgfrqH(2&e5`3p~ z;_dlljoba*-%mZ;dt6j-XB3zCu9)pgQw$A@zsoj$7T42s+7$Te=(LG)xk<J57iC@= zGf7Sq?AkSv@87T2>&vtHS-X$EInc<=l(?Xb+x7Mu(0R6?)<Vtyzu%oiBl_wO2K)F< zdvx@2miI(8xkh`<XFIu<o1NWyJ#O{tS5a;ocP(=5_WJwl>(_HfJSVGJ_BJP#?{Vvu zSoLt8ZFShKEt$&7pho)f{0~n~PELJ%taq0f-^wQ|ZDLl3>DKa?mVDS}AbxN8KEBL* zYp1-g{`TggX;nu1x0$O~Z)dQ1(VSG%Qvck#{N0+@Un8bXn6Th<(*-v#uda2=oqJ~` zEQwm)Wm8<{d!PSi^^=M2TfU!O<>hm#wqRqpdz#<8TOWQpr}KT0W{Nv`Vo&AgEz%Q? z2bh{DWtYobm7AF4%GIjHlXmZ>%Y!pJxo1r<StprkqH^=drMoZZo#+VNDSpV|uITQ< z#jK7m>#wby&F8xL$Mu!L#|3Xa+}__hUFVALY_qGkcsw|dN4QP2I>BNZcxsBKvVeqG zwReb@twaf@&LbQ3^viQBi)Ee%hqtlHzh&;$C{12bdidSZy1&0HJC3z*3U4uEYH~2N z?%SI6+Mx+FHmS|y%=1BHjzyu<sj1q_ZM9!tUq5~My!tAy_6~*AE7K2dcDkCx^(#^2 z&SDSwIF>H<Ch2=Bt0yQNZzw$6#=F&=jaM-u;P^2uhZ)S%uO?5va<Z|+P;R%OKGzdR zuJCm+D>=DUcnl48+&UX9-8iTHr8Y0)`V^LFJju@uMR@a1%!rI$8vp&+vTZ&Zbqs%O zo{NOuPJ6UwYf*mvcdJ|8NoVRgDwLE(Iu9Eg*8QnieojFk<XGy&?OLxF89%5vq!IG| z=w1JbTZ5L`Jm*g`Y)=Sya&GR!%!MmtcCm_`pPj!iGW_+?;3h`{t<Y6VJR3qIr+r|S z6Y=0zVO*${+_)m4B5RsX<RV*n3CIDpWe*Rr#>T8qJw0t!LqxqBM|0Qiuoq0cT=S-W zzgK<!t&KwDT&vPc?;nSrT=VwM&dVoHC)vv@U0)r({*2kocNHI0E!ABaKl(VDMeJ9Y znc(v@IeDir<NA~qeZx1C^qp2na-MbTiB-)FHQJmt^~|-M0lWWMn%=+5-hWbQs?6J) zyJycll2ONUQ&{p~v_oX2O+9njVkiA>OVI+|$9z(?GFQtY6%_d-btmc6fo7FGdPHw+ z{Jyd3YnJS@jV!BM!Zg+%Wb*82mNlHf)G{rsHRh0Jg{^{fg^a)hrxXR7X@YSFi<wRy z1RX@{XSOE!rqUnKp#lLxpyqYB0ACZNdHqn)#-Sp3#_rX}8|%Np8`#2f@2c<WI>m&r zmZhJWyCI<LtkR?y{ykO|D<qUzQh$7S7_~WBr(Eg5)E<H4#Vy;i*XWk}9pArL>hEK< z+V7VRshE7$->}Rya$nv0e+z3ixYstf%@_FK;&!2XLN~Keg83J*Fpi^DbLCxZIQCY5 zpQabPE93h<+j^geRv}_lWqWp1#ai$^YRs6r>D#(Cai;Yw-M^omojtcMUXfQowXQg` zxv=KTMfXE`3OxL*EFISMuT3GBo%CAvNSmKi{M2Id@aBQvNts$}iWPXi*r%P5=#_jZ z5uR+<!~W^e*;THK{**{=zRJUreXxmjR!StBW8(zBxmKa|Tw*#i)_yyC(z3lF+^DHr zaG_ZL!j$f7$4_oL>;7a~AFos9t+aKFE(yQ>@Lt+fXPJ53Aaz1i9|MB|gQtsQh*rbi zXZP3LnJDP3|L9zC<8j8bEl+<Q*nFTY%E?4v!n)YquZ~_5>e%Jn=PJ3jb9b!O=Crey ztkc{0WM7qN-4p9zn^(`99q7)Ib#wLleXCY4abDy%-%j`L?(*sS`~O^W>=KwBv}MXS z!Ie=D)%IvtM91`6E-?JKZSIXa(8e}X(4{17ib*vSbjwy&7<-7`6M6PHYi;!QZ?}Ij z?Tp@6vr|kWdD=A4;j+hy^g#vH;(ohTu`N4zolmya?(=yr)Y)ZHzctP2<u3N3b%mYk zsrNqRe)`0$%X~9;-`mrEZV@_>3_HEu+#AaoY}-_~sPwZZ`HAVC{(LY`qGd^od&HB- zJs;y7qavONb~@?3xySxXg{9%!k-Qd;3zHmjbZoo6+Qsp*tUWu+^ztpiK1Cis`8!9I zm1K=lIu`rd$m!g8A=@WoS-+_NxOF2tvvY7$$F*PgzcLx?AJU(oWTVs(elci5@#3_z zvwjsEGP`*3qL8rg;!f^)tRIxNG(MD#-<~&DY_-rgg+DBfO!hW5_j<A!>!9sr$*)@r z9y;j;sphmE5R3Vc_gIp%?Zh={y=Q+<b5y7**9iXD6uI$a07L%2SIzaCD(ff3+_yTb zn{`HELNLdlO)1@1)&@mcnXa4?9NXfvyUgg2?B3V0w!1xaHZI%h5WPE)ffLk9&R(q% z9UeJ_^XLLz#XXK3?SJGYTv+|N6vb^M%cQN#)~NAh_{Y4R7M*wT7M~55&ZQjdqo*4c zn^Hl|<TY9^!z4hr$6BS=vn7R;=;g$!zG0Y{=zeZP;$fS(Y!ALgeusiGj4yOPxVmB6 z-$io|E^b)XEbBgjFVAJI0FMicKA#Gk5p!mu?*~vTnO~toXkSx|quUMD)@#S)tEM=d zQx^IC$;<7>rh_Xgd02zZLq7dU_kP;8t#oNki)N7+*L9m2#Xc5gUTJ#ux0Ke~T=BZw z$yTgVx&Qs2X?%9?;{}bGn;YJP!fMIQqeqX*I%?c_|N2qN<9jME7ri>yvg+;a&B1)f z<tK@)y_+A(6B<*Lb#K4UT{Yg-)25a6_LQkuOGq0{lKpaKCtKqKP<gT8yzIy6wkQ1y z+UIQETW?a@9I`gXFYDNpvp4(dnXXhNIqj*j`BWOYsbJm_**ogtt0F3<uDZI^_xHL_ z4<4v5^=T;<(r7EKo&C$I&HC$fQAVlMjPlLN{{wFpKj`3jmwV*W&7Ud~C>9DVPSLmN z|9RKr=HDmn`%f?4zCCc`jXm+zYIg4$zP!2>Gs#b8a-R9ONxQ=9%`d%NbA5fR;%RaH z2{*gVJxiY6D4BkB!o&l0m-OTQ1iSf0>AdI3O!wkVVXks^+r&7}@~VPB3aD|^V&Add z$&q18S@j~F2yuP$t>@);%HON_8UN|$it>~%E%TSux<8*Kqds}^l9ZKSM0I-8RV?nG zm@k$$iLY17>v7ae+t7oO^?~<ZU!5><Px;w19lT6pOp=oXzqKr0leWH0<HnK7+e-s3 z8e|46PTDu)-Qn-|w7kXtYP~MoeZDGVzRHs&k#BpPgZ1}*oOs9ZLB8LOGc&%etug+^ zd~@GamcpY;GP}(_y|_Pr@-JSg<%cIsxOm>)XWG@%;-}BOjee4m)TE*=JDn-Zz24#I zic?0l=e#rnKYa?zn)2~}?|&z?-rq6X@^7x_KfL?%0cC%$=dY`ubZ4jdCLQjpuq^+! z<<3RbwJ&CF{KByyTDdz5)X+YZe4^v$6$zG4dLSQ6dL2+Z>21Qo1bP4a3Rja)d0&0; zXX3BFOVis=?a+5W$^ZG9$J}4%jxLE=|Gd7H`+4fGC5FGAe!o`{nA@$r$fLD*k#&dL zR;lUSEs^uYIh>BKGxyFqrTH`SmrLV~nh!r!zRoIs*ZO|HwEBM;BM0HB&vMrVvn-jU zCNCcPwr7Vk`;+(Q_q>s~raF~9>BHaJ)Ps9w7CE24{bbfM-6thkU4INxo0N=ZKYeQM z?)kr(z5eO!{-^VtkEdM!$5QzuY_asmlE9PIj*3=UJrnruPMsoQG%0@{Uz(C)heKOR z%ibSX<P+vsYuu1d4)?yj%ydc2%q^E5RNnpIa_83Coqlm&-cP?j@tyhikD4F*^=D07 zy8rxi#_gq(*O}kblJA-KB;)&yi^`Y2w_Tex<4okXP*I89r|KCOySm+J?Ty*^@5TQ0 zCsvflPd*>ou`>1c>C8QfzU5!uC{&lfpK$;GjrB!FEl<CmUUIztNkx}V)ZXBKrRA4v z4Cl|8(Gu#?w{2y|Y5AL8x<QjBr?k|lsQge`IBn|e!=-&sUN&e<w9(lw{nL$&PiBSi zk%?P$w9RsFnaquEt<gNjQxA$Squ+%GE-m$TW?ETazsn`>{j~k7KFe9ksO#H*-*M(= z<*tx*LB8*P-+p{)_x}St;)U@iPCVxSIz7H_o?7v=ys2J>p>yrzD<bB}Rn6zA|LeT7 z_`%_sYRWC?QRW@f%w%_+Fg|?W>-){wc9lJQzRpj7I$N`N>C#Udp0Im|UahHjuebJo zml5vg-@YU$Oi7n(_S^gW?VrSNpDg%#)@{#|b1aKXq?mTEjolrV9<WvVYmCuuhDkGe zZmG%F{aAQq{rtG#G*t)X+uGO9UG-SzR%D`eebV2l$t%<^FY}#k^Yde?OM|-`Ylx<R zhgyixmYtVnf;^{ZolprkP7^pWq36sz+xqDGoonAT{FGgH{N7*RwtF}A;{7JyQM}*t zFJPJ9pD1C$4yWz2?@WL5t+l6Q&$c$dnYQIOx0O_=u8>iED-}L5I)A_Wy_)|;x!m!# z%GKq$)AMp)Rqo}>ul=$0&ZX~q>yJO3%FJeO>9fveR}}yEce~$TtCzTG^OO7Q^}7=V z4H6eN*DFkjyF0Zf;`+Sno)_P(YwKA*pMOj7yi3#8M{V^AKlb-wyZj~7?b+A$V*MWf z`~7};+1p#0`btw9Jr~alFV_Se-D`FB*8<0v&P!ikUw^$c7qpy>`={fBJCdzG6qGy| zdn8$mRFtQ5wOyU0W+|d$U*#6FUivnu_tl<q?~VM^`tJPntoO~Ue>%BIUEkrAbv;|* z{=W?dcYjuxy>b(u_-*d4)9H`vO7^_hmW<qAwv_AZmPz}{@2KCc-X*SmDPyhG*QHKJ z|4ej~J%6HQrgrL$y_tzpL3xwUNhMo<V_mwM^Xv84lO5SB)D|1?y599*umAh?-0o8x z`_IfUbpBumI)$=cgUjnxc~6yrv{}xD^N3R@vp}a%CRDh1EYDjyv)p!?$=fZTZ)LBy zyjS&Z=kq0HZ*T3Ah)qgbWb^;e=S}J7<z(3&m0p?~TsYmztR{>p_sXt=n;*YYJ=E-e zR%(@8uzK5;&r6caP3x3By8FvjB_8ve#4WRb&ynKcR=?ugj-schcw!6h?LJ}c{>1!j zhx(KAb1Ze=N*{kUSMz?2x%%Bi^DWs6-d8PKc~^HH&v}mWiqF&X{mVHPF5GtgNXkv) zqJ;Z-u}e0%zqfueH!yS2tv`8g#*hATMW?X5XX!TTo)>%MRcBAc)M`zY<L?}HKU~|e zAmDJztKBVp9v@yv)!$0|m}-9h?e_cc$`(vHvtS*Mly$hX^g&)((bS)DJEe~PJW+CY zeZ2kgXpzg#^PPGnY%W*CC^)@QYclv~*S%-^szdhz&)yQW|Mz2YK<$mm+q;}vuUuPr zA@1_Bm!$zMTNSh)<egDq0A+G5IW-lP7l)h|Cj87b_jSAe=GwZmPyW>J{QT+jp|3Cb zUf0%gTb3F{zIL>^Dct;S!cBYM(9X+d-aa{{%MUN}m(%vQHw%cX`OCaB`@z$!^ZTmY zc4RA^DSr1xa^ZwIyLC4HeET`0>~h8aMLIPVpz|F|m#G^$O|%eu`|<H{>r$s1ty#N; z)qDy<uBqNOIUX!|-N>&0%Cke<^$-2@o33|#ckh)_-I}%Z{;XcN7Q@BjiaL(0an-l) z?k*QUBo{U<+sR^r%8`XF3pA3#*Tq=AZB#5_|F|-I{X8}12_ma!#JX`jTI#s{QI>%9 zv-j`2wpCPdJd7y*P*-|ZaA6;)+<seJBUvEW*7VXw*)?R|#92R;&wD(YcvvV>ufFzK zOi|O9S5<3EUM#9}*gt2|yG#EbPu%_V`Fr)u_3gWP*3Q|G^D)0Df4*K(taxbK-_<Lv z*g1~n&AOeJv-sqhn6k@L^d4v24>vl*`DU5q&u0ZMue?pGe1DnKs@=2BY;yXM-&c=r zeyDkzBfVNl`Gu=q?5-tyxyq6Y(-x|W<lYpIudiA7Rpwj4nQghZO*#)aKh#^&;MU}| z&p7?unSwy~@TLXAN{wZ#Ob)j}`vvX9dBxZcF3>;0>ozrR)gPg0id!5rI_*|!^dwvp zw=R6tB6e<<RA~L$2~+gn{;1-)-W4!OeQ(G5w=*6)u{ZLZ<lxx@YEGXP;+i(!XhV^? z`-<k8dd0Q3ODAz%oVvw(YD)6v`Z<fTuk_6SH=FP3j$4O*ZhmsmI)7S`=%OdTW><NC z6jEhn%#mFxSoz~)x@`OYf0r(QI(q#7)t&BEWxGAk&GDN$)4oPsbGhHC6X*9$)w^45 zWja^V$m!k0cJ?nq$Cws2eQ}w#enoL_&fP73Rx6jJGqYR1ub*_{^!&idji$fD&kIXB zmN$koo^8MD)-SiVSYz*xN8NqXe>$qjB=gU72zz#ZzP^0zmx;F>mGsiC_o@4K{8iZ$ z`Tf;ZZ+UNyHUYlwZFhy6#aj!6K3mrP*`bzDA;B?I_?2Vwp}YlCril0k6)i4g?sm97 zH%`U8?|P5s4^Z}JtLKqWm}^~rO=(rYhCgAm(;lwh{B(JyaO&GtOD8QTk$Lh$BVI8o zYULi;X1VI9q}5lyR77%at@-=X<L2T|l`#Q__T9)beUk4yf8xB_cRub0$7=p9d~;)4 zWVQaRnA=|I3AqKnn@e)j+j<I&$~OnA)o2E**D6<+zrAoXs(ZSIs%cjJ^{I}|^ZcfV z=&rAG^Uam|A7fdba^zCCKwaTih6UV?8C;ed?DAWp71-V|Z9FqM{b-f^!_1qTQt!Ug zDV!^*DEme3iMyls!#;tJySpv6)c*cvmC|yLMZe?jhbso}*2V6wV&y-?+*p{N6S*$x zYGwAm>uh^v9{B1{y8G?y&!57LJoP6z<jaI^Kak=PJ)Iv~?fi7t)2EfQR_*<_v#QMK zP*X>F!NDe0t0|5@SkEt5+N+RK__$Z=$O@sEq3h=@t5iCvoD{T2AnZiT^^a~7F7TY? zNaVd9z`Ib+M((`b?=_Hl6WddJ+;*_~bMNZ=@uTABr_=gh&&ihExWM-ibljjr{hhA5 z$G7wMU;S^Mb0Z)>R4pX${LzE!<UNF?W_)^jx|)@rpDVd2eS(I+QpkGV-7*aK9_*|B zo>%$fVLR(Y?cz_{<y1F6Hah;C7gWuG#%(gE$KIV?nVc0V{S?$^IXqA0<*ij^*Q5;6 zC0UF*59oVu^E{ljbZPA36+ZJa`L@?9C#Wmy{Q7gVckN!8WI_J26ASil5c9SXc;OLq zSQm6e#{T9P)+dA??b<v2?V2|=iGs&|AL5mH6!g#i`^M7OVGGZRzu%g1(WyIFkx^o& ze@1^@x46FE_Ip*U?;Yyiu&wg*Gpju=D-O?->CHX#rCm?iTrzr*xNg)F6P4d$&+nLo z)f-Q{)9%og!eXwZ^+nBh){}h`pI_(@**N{VeYBSOmbKbXmA#$>=r4<Gc93G?Jnnb$ zjBE6hsqIlKtwWl<$^=fFEctL#a=PVpg#>{QprVfDt65}(uKBX>&psU&{Cr(zitg`8 zOQK(&E`0pQ(Ac>9PTPT;t))BTd@^5NF{xL{=dM@V*Ve-#Tzh%Dqe3+(M|8MPs-0l_ zZeiMCyZB{gi?2*uabnU!vr^;x42lBNXRO)ozyFWJX@;*e_U|@KQK^rQH{SiH*P$(C zNyNsaL;p(3Bbh&&>nMT7PcHeoKe^_&Y)N(9{%Z$k-QV)__0rJ2pWL(`E$2=+(J{Zl z<g5fsQ$u}&yHQh;w4-SM!mP#hcb0TUbhm9>$kjNroKJB>&EGgh)o;zVm%<y)F4X&6 zySc6B$#3r*wHf__A3WUpWUZG4>n~Q}54EoSwx~y9x7RJRb!u0&Tw6e6uXSz--w!5l z%6OYs`R!erP?(8s@!E}VloL*Pd^0rBm0)RVZE!a_^sU}#+qX{=r?yqTFO)RSUj60u zy@*5IOG5)q(*#avF=?){dcPzx`{}%QrAy-8JPr97nR|Xtxn$!V7q@Tk?ymlv)8h3l z>$9Z^M~XOOe@e?OcF?K@y;|8<X4OCKBDcO>@ny%leInXIKZ@=y?5nSv`G01y_>=bg zW?q|bO}w<%b?P6Z`n55}{dZj4P82$Av<TY$+`TzxqJJw(VPaxp<SeC`iJn~US=GsG zAk&|irilFc`~5zz7gwXa_<PYkD(2-oqPWDpv@=rAC_K<+nj$r0W5VJqtE1eiw)B1d zYEm4dCQ#t+))cDTDj&A$^S!d$xm9c`rpm9iKu6$72@5X{-dBHn^32qvRgU%|LWZTU zLVkX^?0>oU>4%4hcX54ub#?XDcN0|dRSJu@3M#vKtPEN@XVYo6+N3lFN9O~|l8qmO z3MaZJXL9&N?}#otIya<c$v%+)QS)9&mKw(gle{L|CLdH=DHj@4wRQco)0)p_=qNn! za$6&%nPS14^2jYqYvQ`O^@pmKv>tc<bV9lRh3ib7btyGVjSO0!XoYyhhgx$7^Z(GC zz<cCxGaGNwD{DnX$Ml`KS65A)@NwGgs8{a;q&bq3%r~8$^z7fQ?Df1qe)zWU<OhY+ zl@mKIu9%$g#)v6c?9G(Bg_@U^rs`_Sg&lE77C0f$IOX#5lyjSMgZ3tIzN{~f<!uh* z1Dz4!as1x}Xa1McTCaBRFz#U9nr`vz%F5tf4)-qm+v{Guc(L!WdHy|}$!fkrPS;PK zJh|%}PifW~rs69p3y<;SF4(wO%%`Gahw@b$Il)7B=dJn`bcFAa(?Q$Q^Qzxj?rm&P zTH4v!+0@>?O*?eelKAD9^gT5t+q2i!&yi`lanWSumyd6*tbe~px@XFVp5tCK=g*q8 zs#8*KidE?=i*xM8S@RyoavuA^+&J;2axq7Rit>`i<6BQGHcV$p)4Q$SZFa=-`n0X8 zyJ9q%`yCJHGPU=qWGh|T<~Zffg-aPOMZc0CdAY5)>$K3O>)cLb8}<Kxp4&hD@$vDe zCzJi_y<A*c_I0PLE3&@YaB{Nx>-!F3_ww%UdMV(&zwWQe#{<R=qH$sgUH1c7CHQ&X z2S&XAdOiMnZb9(zv$9K$$DUK``uxyyLG0-jZ@mA`%wD(C?X_0jkB97g57>Xb5`1Yz zpmOZb>9b6G)`qQ(vgFO(^-b`3Q2p_^l>EoXdJi=@xjw3&9$y#vZqH}Gmp3;r*Yoh$ z=u};rux+b;%#MKMEKti;?j%PKXeGYf&6*X5w^!X3+<H0<I;nVi_PT2U``aun|LgAN zKmAA4`s%uwyDn`hdy#5z&NIh$>sv3k4{9N+!+hJ_??^6T{0KgL?#43T*`UMc9&kQn zpHyI4FDIPy?#|9%dGnYmB%Uu`{rKE{p(4=XbJdK$zQ14J-*`YF#Z})af=RbCwSr?; z`TKRIV$+^C2=FCuv#|<cRpT~G?A`r-UvwLvtk&08S1+e9Oln$wY_=ocj-4f@HWndV z-`rDUpA|egA?Q0rp|WSH(&`Cn&z$0q@i5I3_~7C8qu%LY6=(}!^E`I=gsWDd;H6c8 zYYv`NSRfej>3G~t@p>P&dja7|r)DR0H~xyaagrmB|Mcz)I|`GxJ8$^HrSoZ8;r4Tj zy24o*>qA1OT>cibN46q><9|W<`MLHFr-DwqQhPD|!{OQa`(BDnd$2cjb=b-3_j{Lj z9x*;&Z?hP5klf9G-ZPdvG@2yZurM2?2+G;;2&fz~+Ty!3vE!2Z{F+6!eETG3Zc%hy z7q%h6kzMff(z!i-ic796_m{VIbMk)B;?Ch?|L@1+H)i?g&OZkw(3&4Vl|E&hF=kS6 zn{83weni%Hs+pGMEY904@0Pc2IFmH>jLxc@9baD8hg?=M;r`%$(9i9I(+B(Rnumv8 zer9%*I<c6=QsGUa<p0eD!a|CB7%nP=Bt_oeS8F=4p=JT|L1qWTK<yaE{myMX7w0jQ z-)oz!?(eleZts@kGs`$1F8D8DS+ry^{~nEr6DL;vX_@e1^0%;cF)R04WcYkIvB3AF z@BZ^GF&w&EGcMLUoo8Lo@50aDw{^9~0eQ~Lv2soalqDZ_U)Yj)xg_hQjmh=~zS5u1 zSZ1y|vX(b1Y=RhX67#L?FLi!!=-l5BZuZ7PXRq~@31X}6^=v!<YD-j@am>FVUirJ@ zL)M0hK1pM@RUw|2KOZ@M{Pi8liq?w-CGYM;R(tA9ObZH{wD0xt`fSI$>yCDdXK!j+ ze#mIv`Pt_F+}?L3rG#zFUTFQObzSUaapzs5oIygvwG~_P@5j~s{Tlu@_5gR1`5vnY zv%n2JsY9R!-iypPQGDxrD=iovF~(K2ths9#yv*mKw8F7o>F3h~(zfas$Nh6Tpe&gf zEmnQFP*CbKZ)5QC^QY^d#Fw9X_&8leaix->=;cQjj(yT+`u*7d#3$b0OPppV6-6JH z3Q{_g@{;%3)oqgnU6!_(M%>UnT%GtmY(b_&LDg~D@(iZ~PFx>1bTHm7TphlCU8!<~ zH^*$9$W1Pj1$%0wUN~Jy-jF&y*1V<gLfr;wHhqrc+j4FOy|z-YR&jQIQ-6w2x@_Wu zoj)IS>u)(BR;c|8bozAujSUOME^QL#_>?I5_0WxlMs<HG7H-;Qez>{l*%`_0?NfE5 zuX(n-TD!LA-f`}x8De|6T_#-M+37ONJb&KYw6i-En;P7WoODDU3icl}YCpjBRCVUc zP1~mF%BuCgKIZWx)NRL9OP1pQ7dzGKgHqp4`&(r4a?70sn^u~c-<z-?-lQ9}DC$JR z-4j0(zYDy%vC;Wn)ob0oYsG4r<M=x|T_Y;wiy9Y9sZrR|ew;O^DV^o8Fw@Kh`dbt# zLQeR3X|%6BDzm%vb(m%CuPxWo1i*)_B|JYj_p+kmk)=-_?)Z8w`m0!69!unr$Ok{` zWw)ujE8DnOv}}B$=6sxKVe^tk)9Z)j9-Z<{HcdWR3(8AJf<gOA*S48WGi7<U&Prkb znj7k+tENp>E7hKT%Pg|lFnsRRRavLk+$?g~_Rdo2?yT^MdS|tsulm^fDWNFX>PNsn zzO;92jcXJhOzb&u>ZC%(rpKwQ*G@m5V_P3spQd|XfB#y`1stBLOEp(!Oj?@Ce`&!S z-%p!(tsjXBT58Bjg<q<4?h-Q-EpIL|v?<9t;gPpXDouy2@r>ewi9H+E&-?r3+P`0C zOtbsSTonvo_WarPZGui@y~nF?ef_W5At4W?pMN>Qw)IZC!=p}-n_G<I%-(uUxW>C^ zY4YTZPM!L>&lZ_a+bv*_qEa5qZ!Fd9kOnFjwZhk}IT~dn^;w=Nhc)iQamC0jc7CVa zMZ%9PStPjY7k}LTtt|$nsLR^UhQ;n*INO+4(rA+Lj#**6OpOi)lqDT=S|_Y3d3mW( z!rkNB#)zd;;y<|0iP`aE`IR+6ep%u5ol_<q?QT;M+nIPtJ^0+IZMB`7lJ5&@hJ5gP zc|pVLmWEQ2PQF6I36Fa_{L-edF_wcOB;{GMzFq&ZBC*E1g(o*9c{i;I)O@BrO;;1P z+;ZhMC8^VqEdN4IuHW|Fcgoz~!m9sXcxEmN+HSr+I&PKg%vsKs-FyB=o2S$t`9EWp z7%x+!(*a${M1QO3yEppMbT&Ya9{ZdyDP+CK!l1L$C*D}GdP#wzGuPiz)pPMXG^!u_ zt=Ru~)9(j{D+5<<iCN9zVI1syEM9<p>D)UmZa40E8(IeKl{V&(VA-SmU|LVWn-{NE zufOKn-^0q@xMWH(XnFO`1^>3av#!?*)tWL*!qsQh3YV;<-V%(dY3sc%tu$U0cZT!k zdX5wO4toYI_ma#E&~;iDR=>1+x4!=Tn|5bD9&}YOp9nj7?4QY{v&EA=oVLu_<N!K( z>}0VkleN(C)T0WmDOXkJ?h8F(p#646agiM3_LP<s+t^(t7d@+cSmhhLr`P|R;#=L9 zowTX)yrE{;mJNK*Lwa;H17EGLm=Wm{yIv@|@Uf7;mrcFapQ2}PDpyKNEAp;(_xQUi zbxA^_c5{8=S&lzl4c10ZPw(Bh5i!?7XTmXl?W>h*XX%LaEq=%QHTA>_uOj21ed*Uu zaCuLS7uxc43hN^N_+xpgJ5)E$$vzrie@{8||EsI3OE*~vot&s~?&^t#)9V6OX-S{H z%dtaMIpvaas8Rp1B6-NN`u0hw#}B56W_e6o<jSQL6y$YEyNSt?)Ac;(JpL2zO-gcw zohOUF-EkGY2VG2majD9-rte0tcfR+UEtty27;faG7WQt<$pWui-yLo)^`2f|va9Tq z$;8enU*0#f^IwyUi8R=Ga`6GV$4wi4WM%w-o<!ysq44WN>79_@s<!*GkKS)-VVQe( zYR>j4x{+HlCa(KvT>GoU*XIpeqT<<q3mltk-d>XtS7Es`X(H$*kE{tfZ%wED7PKfa zah*JQZr7YQoyWNg9G@F#F1~bedcCf!p5Uwg<4&xXy{0@*-Cgi;l8m=kXy%Q}|N2&z zym1fJn~>zn?U{3QQc$oU{|Wb!B_|(Fn!dg`?ASXmw}>zDRWB6ZE;=s#@SFl)$f2h* zf|vWf+~ehM|96XvySp{t@@Hq3cuxLuHnON_(>h~kMVr+JWLzJuFm#rF<FG`Gr~Fe` zJ)^Cd6W6V;udkoKs3s@<>j1Z7SCx~M$lc97x6HO?U!PX}esB1Gm#5L!zkFNjHTBXi zk({@;w(gQWB$V+_<6nE+(!$5be*HDgzQ$2&q_+By!OJI_-`xL7$NxHU%Jk$pOYmW2 z=EusEbDU%4PsmK0HEY#L`Fs4Ym~Ot6|No=?n?rr%QNGQ_a>aS)lpaj$IWyNf{9eUl z-kHYfe&@dBMV%L92@;j;^!5?;IMt}EDO7F}`lx@YR<28+X6T|7Q#kasRKLax?wva0 zxoc6N*~Mb5?6)VcY(5lrd-BTXUmmZ#oUZ!wN~CAdd2P2A?~t1XD`VE}aG9>VZja+b zp>-!ZHePW1Tfh660|Rt1!GWng8*b=DY;X{tTby3u_aQ*WzAol|jpD86e7|pOOzvZ? z`t;=Fr<>{XU$XXU?HAXNyRwAo(Lq`B(27Wzk99WxemuU#e7O4bbp7k4xe;$x`X4?N zwcx<h*^C|RDNOw*h5c<7zW?+#rS;UlEAuyAFFWbc-elHV|4nnnapkKWigF1DEcXBV zm3?zlYPa0v)G5DLgsq*mu0A=^FEe)2r;{<;a%Sp<NJvV)w5SCwvno&w?bi(OPz>J_ zUjaXv?3_|Uq-49Lx&bKb+^_$?cb#76?b8we92uO7On$DB@wZd%d}a7{=dWNzzHNfe zK3!sZ^(se0ZY=MpQu!0Sh2#I~=Ur<){5hq)egf00yrm9}|La5k7k^LsTkm;SHE!3J z=>^*>UY_57CH~fbn|~|+*;l`7nxOQbr~lXcMZf-kQa<7=tClK~sTI6w#ith{Q%?lF zs$7{A6lUw>(jy5uo9qM2h8v623(_aF{r~&E{+6**T)k?}<z>FJW-Ks0Abg1bNxOXA zixVzqd!?jUIM|N;3|aAX-5nthK6$&C`wL-blWo!eG3U5!_3drBTgzB@IUcgSUN`Sh zP0!7%i<xgKu1Qwcy|$+!_r-;UeN9ypR89y#RtouYCD`Bd@-pAj6(@{-rPX{B2y?5g z_zha-b+&$`z{0;3UyMQm&#h||mey9t7VzAocE#UWm?duAtx0dbrJv)MVahvsVn^ZQ zFRf26Mr0^VsCw3<q?)e!^^EJm&4>Ok_lut(^7VxL`iZvRCJ9}R3&~*&)IF~#V{?Ch z^4vG~w|Pa~t=O-m9iGvs(a(BC`8@Z(|DTgwxxEUX9@U6@Q~&?}p-XZfb6Ui;S9p5t z_;r7Vqrzs68z(`l)ZRCVt}4jo*Js+g{f!IbTqbTgxz_agwPA}EEt<3K`J>1K%}zhq zYgx;mR#?t5&0aQpdHj*3A?sUXHayt><59O?a)U;}_P%8;27(Vo4%tk54hq~0DJPU{ zww$)S^S58dGANvVTm9{ei`|#z-`{7He2k|(t?4l*@56~JLsm|@wrbDcZ@2pjSm(`Q zWM;FlvAOr_!_z1My^mA)n9i($9!qv8toGNJixSFQ%kFjxt8-mH8yY=nZrz!kjqV3@ zB^!O$M{PZ&F@d?>_ES!O$B7>wpXptk;iw?NapNS%?cksDUH26{tUvU!jX86Hk!AUt zUMbU}J?H<c`^~vf#UfVU(PkTRXwm;O#^*CWZOprCRa<UX{Vm5`qM@#xM{<#PWw(IB zp)J_~&(6<Z@5v-5xrcNA-*2<kB&P`$DViMop?f&_aKGu>U22Q|e7zo@`sc?-t2rr> zRrVdi_i}A?EBGXqm+C3XI7!sculdyJU(52iYS(Mwe14YAuH9n4a&0zD@H{bhYq93` zo~u2|#h~oOF)QI*$Ce(@&`N{6ecg=CiPFX#EX<77k_R_48gA47^v&?$)r3Un=*Anu z-TnR7Ej2kdFL?Ok&d$x>CPh3tzGR6?t$W|~MG+g5er@K9+}N^e%fZi;pP$vgyzh5{ zBUeF3HKggGr9*wwim4x%q^3Hz^JTv8Os&wdSXTS{Ti<o?@nq%ydj!{W{SxNkKYEzo ze$8d$3u5Ne1Z1jj%)MW6PH{_eq+4yp?s?W_Z!V;qoNE|v<8jlpgT3NW>84dD{bw4v z?)&>K`t8wjcdN~}ms{EwfIL-GP=9`|^>WD-hRqH795ZAi_AN;1JL$>tCWR$XQ;JnA z`LuxHEoasjycfI|EN&3kaKh0>C+UEnILnkj9*=YtbC_PX^(2JJ@w7~Nduyw3+1&@d z3O0xHBzg=ViaIXoGMM&VQv8VQ;o`$#u`b&<d_RH?C);nk@uvR%KZ|VTCkXDb>Z!l} z>4t#OA>)SapyMcRJr3GeQ+Zc>o`8o~af^cBql1&1*gJAJUdxj`HYrg4-a6^mt*`Us zU(2-Y`lrNI;h1%G)lyT_3BDgB43k<+^6%ZbEpYbtP8r7SDJ<pI@(On*9^+x!r*PmM z<B@!g2fdOkO^pq%ZaRBAUO(z6XVPy?y>qsH|JQ5LRnfY)nD@x(+!9NCSSwa?NCvci z{{6$k#wiaZ4}NHIP<zqcD%a0-JA3VYzj>P@g!V1$zn#B7_O-Q6vY@)kmZYOxYri`r zANrPHBKM6|te-1RqAxk|`0Az$*G_RWy-c|CMBY)L`@rRW)$N9xj5%@y&Kb#XJAUir ztmSr1^@X~&UprSwT;dRXQdM;JZQ_<YCSk_Y&L}>Z)}yeZsdm;bu9?inQ~IB|Pdd-N z<On$XJXu!qp>dYIq{qi1fjpx$ffJ`eak=9_!ufgIjy!$JIeX)C9;PEp3$rJ`y9L(J zq9m!v>Kqd@M_EUN=Z^1uHpY5|2h(~sq|bd@AG|{ZJYLvfZ6e0((P`KWI(^L5$Z18} zg$cG_gn8ch&Sz_U1FD=A+8*g$kA1lCO<Bgd^Yb|-^v#lGSTffvO@M=qX`zQ(Q{(2B zmlY-mgWS@wIA99sz5~mP%QKDTl^o8o9x15*^U>XI&fJ+naSjJ2Ox#m2^^62B)4O`X z0&ll~!pX81Zk^6OV9ZhB%rN!0?e{y2g&ifq`Kx-;hJ-Kqzf3qJST?mYJQZCR5WO$N zb%M$6U$0h|cEtw2G^jq%cIl?bhNRbz+jynH%LUmOn;RStC_HdynR9~U=+YBPFQOPP z_Wzx^?2{zZlGScMcr*>u1PnMNSa_J~!TmB9H;<0y-E(#7!0E-IvZyR!V(X<Djt3MH z!0I+NH3&;;Ms8Z-XCAZ2rE|@e)N`DUEFR8xSBL92PLTk$^Ld!q7@Hl!1PYXtmk8R` z|JxI6^>B?z);UgDmTmd>*98aU-`=KMqL!PM1vZg~sm}F)quYx5sR6r6GA)ar^~75n z^ghjJS@GC0P6`wcARmBiPhn+bmjunI={dNxdM2|m#tSaEapUXt`1RaPk|;J$nAptD z|LV&jc0QRCUe?d%2)HJIPMzGE9UjYg6~!~nJu`09hdnTU9#F3}_srfY_U5qg00)PH z@{-T{-tYU}5~U0Z5Ty<I_w8O@SlH~~)dS8$Cl5&RTmdDc|B4T$G?t#6r26T6{l8!_ z{kS*#<$Sz<$}t|Q%DuH^;@{ujpa0%Di}RTui%;&XJC(6#R)F&3gmq?T@9Zpo+G~Dq zLcL}2vmZtKERQKH5HyHV{_MzM#?;os@|$bMKVGItcLiC=pAXyRK?hJxf4~2~-Rrfp zjGg%!ckHl;jhL8Hd5nkYpaZBQbZ9DQ2Hxh|jbuB=jTsk}_BMZ<7M=I;WkvA>M}_^0 z6Z(2;Ks%T^d}bIZ?mv6=j5@nuM}PnHWxn;ZxnQ|U&FIt9>G7}Trmo-fsmnhA)Sinf zzPh{oJx_oA->=tG&(1Oh9dW4`yv<rU#p`ORS5?fOiom?RU&ADADmFa6@bvU_@1<T- zRjjOTE#LU`isR*FzLO(2r*T>}uDR8%zfVI{J8Vk3e4WK=-x;5aIGJ9a;D}#zzrOx| z?7kn5x?_x7jC=MpI5;^qnPgo7wRq-;w`Cuj!7o_g?l!OfUu9=+?^JgA8iNJK&)T<^ zzP?s;J9qoby6`m-iuY?i_nte<?AX!SIT3US>+3Z?{vVUhpAxv(&9hg^)JR7C`2)v? z%Qj|QT=cT<@8|ROPeJQoUP`j@NGP<{bBj;&p04LvQL)4B+nbx4iw^UepST`hzxKF* zkF;S@%M`6pFS*(;7fTK&Fte(#I*E&ls-Cy`+;e4ZwE5k_?f2_;H<*4lowi-j!`&_6 ziiq{&i!&S#gtG_<3ootv`>W{53Bh=O!{d@Hf4Um9C4atNzkf;k`FWo%dFy*lR`dOH zE4<#VcjJ%E4?atKF?otzahI!fc?UY^?E1R7F_llJ9(rf&A>``0vf}KrMoHte7vGIG zaf|C^6g}+gD3FsDxL5gHcBW0`rrX=X)<&u7@Bgz&ppSps#%y6Fr;6)&r>E&oem<|- zZ~yg8sotQ8m9Otl9ha|vQ*viz@bWF!+3$3TYKzssc{$_X=1vDIqfg&%=fB<^Ee9$M zT_3!-xcJvO)}W*_3IaSVF()}Xf5=t6Q2hDx`TV6G6P5DXj~x1UH9Y=ha>Sh<JobOT zT=rAs$vda!J4*$$tpCZA6j`~S@9Y0-hprC0n)|4ChGp@xyk;9~O-;>P!XGdD+h;m8 zxVKE;R5@5bw^!ai?pTkc^3iT_aifImt3tg$yog8?@hT~Ke=l~jK#zQGSJ188$H#i} zY<Z??hfgbfd@R%H$fNgJ*JI1)s;M`c892)um%a+o3|TQ@akY(k`Q6g#-`+(OyZ9fL zsQ>v?e0gHtjSY_R*8V%#s{EGie*?-4f1ca_7raq!V7H+D=Iky@>lqv~jF=9(-2cMM zv@Yez?)Up9vvP}_V3)6XAf{lWUZL{ijor^DlZ6BY7jBhkalBXiJ+_uluI;?tZ=d#% zU8S#=Icxp>_4V=*=Jzc7r~d!<eShh(tP=T(gbMyxwqJZaxkrw43V%KH^4wxajzvB* zjb`aw`1kw$etlMMF_HSZ?zd^J#X9}nyFDK^HtwhpI>aYy6_OqN>&r{wEBw4mk{=#w zl?vYNc&hIATl2MZ!AC=%^W#wHuW)$N=JDgn$;p>CBpzOH?r6z7d6r8P1@}d$dQF*- zKEL*wz3q%JC#FVWbw7`<udaUO-wm$Y3RIOV#8-u`UUpdd9nYnPdOgNT1y@7EUpjNl zbnp=fdjy)6aJ_g*d%~}V-IZTtC7v(ds60hLOl(=av|Y`PD=UNDLGu#-+g-r(5-%8a zJ5vP~f%ZV$|D(uo{KQ9>-xVfr+S;FWs?WQSTr7U>;BALmjbqWf%ifj*w^<0<Ey~@a zKhyBo$(o?x;LCHb)$ge+mNd(WNSpP!LgzZyU!Il;KOZk_FL@x^s3CQFKgSYf<vjsQ zy+r>mG*1)wAj<eI?#CS!Rn?zWU6$t*9)z>JNohH{*}Y%x7Gyf&Z$uSq^^d0Ar8dG9 zp*s|vZ8GoA>)y`H&-GCL-MfoR_I$gQeQ8ak@m==6kET|9K5K4TueedVsuy%!&8w@c zm$S|k;BxZp$kz4eKE8Q&;kP$8`3@y-Z7gT?J#k{9^4)Xo0cRFCHh<Y!5f;N+)T-Vw zVUl@n*Xx~Ux&zi&JFj=_(A<42pS_|_);jF{x5f!BZf>tONSa%z9nLEgJa_l`a%JV5 ziH}Za>(#v5SFkg&<o34Q$@Q0)`@f!H`7WsL&(7wD^^b1vm?v$LF+sX5>zDu&sG|_a z@h|;hs(a_|qg#WQ`^}Qsz<tK(-|^G!FQ!_TbR09i9`m?jKj`8n4+pyojT?4s{t;hz zRP>j`FVH%7HSu?1HT%>v6fam_&{LEV@`;)!cB|s+)$px)M@`*3x6gIVs1G@j`{|_m z{2hC5>3n(<baJg!_uA;~Sw^kjPwq<N2!FrhF`upakprMn`Ijd+ZXdKNf2Z^PUUmMz zxm#z>j2C#IC-{BS(|Mr!K5Xmf!)N;S>{rzs=#gY$YHXO>^WdgJ&7+gu4dKjtnWR{4 zsw8$6ffj)t*2xilS}vf^x%-Gg{kuCKs|3^@7W-MSZerrx(DNL0;w@8nx!VesOXtd) zH}EWBf6qNn?DUOwTnjVr@7o(J{KxF`?lU{?NY;vJ1UO`e-;qq#jo!8<?t^i5&H0=2 z-ek3W5quDpqO<w!t^W2qjt36#2WsDw_|$R1%tG??eNcj`5xgOt|F-}9{mbT?>reAB z9XV7O>1-3z`-f32WwLC^*_|_85BRxV*f()=GwU5AG1J}Kn`EUq{C0j;D>hgt+QH7P z&?YhE1B3mf3hxhb0&0yt32&@i+z&dPofc~%D^POCILG&C^}&rf{zoq}-c;-tP6{u( zvEhM|%F)Y7x%sLhO%;>ZZeQv>y{w60Qe%C2L*c{PE$^KkI(V?XT_|0c-r*oA{7`-0 z?DTVUKHj{2;Lp!}yQLl~c;`>P`TA*<O|3!QGfue#7RE!`LF;06f+xJ5f;`o+lH=-! zj57)kcp2+D1kc>u{zd5dQ{$AfluGCGd*1uA=olrvbdB*e;<j9>r*nH_ay#gl(5l<@ z+DoL?vmZI4q_c;Ar-C}iPlpQre(ohlPGvW$x3En7(kjN%&(-A3!B!>Er~1diVzTRQ z*KV<>fbMptqN3+>%U@0CyLu0F*z)be$&a&IA|&`Z*&;W*y<M%yH9_D+-}00+k&Q0S zhj&PD$1S+?&D#G4mk?8&fRK&q)6>(xKR<q?e#=yEP~BO;SQP&7r05Lq=ZS5iE-XJ3 zx4&QHc;;AapS57DKzrt{bI&=z&Hgs2MNRJN<|@YAU+(zU|730~NomPV=a}PDb@xwU zBeNm*o!dDF4_h~dx6I*LQUz+9tAHBk3V&PX3v7S%^Wze6&L^b?wUR8GnjEZ*4*Atf z#nhIuR)$HLJ#P_X>iAG#{W$NK_an{N(u{>kY0@4nP7`}32)FtydGqez;<x>}+Zy+z zEz@sqXmzkMYWmSt`|jPOXA(C+v#AO%g>TCKEKFJ6VA$-iK#;@LNGPMnx1OzWj+26{ zWa4b;=toVl7Y&!I<=i@J$#SEsV?qDhx?}Y`OpR^_CQNit&iiG|@q@pyB!%UvQJ($g zsi*1{p0`d5Iv+FP@$z$CuV!{ns`}QnWX1o=-sj8xmfPCbzFQQt$Hi&${x6SR=iYgv z|MmFiEytNy*G*{(k6S3uEwaPOHvE6|`K!qh6PtwM)=Zefp{?@JmD{uM>zx0Ua&c=G zOwkMs`Cp%ZMqxrE3y<VUoup?K%?|Gz6=Wq9?OA?5xGA2mQ?DpcuM^s*Hh=2llj%=x zoZMVw;`w*wap70}ER&XYiBC;(<?;;t$0a^R>CejNzf8Z*xxT(7L!*iD;)VWK_1m1H z{>T5SZ(6i@gA@3ypZ{2n`l-i%*3Z9P8fj*A&I<b{3a+}U#8tlbLN=q@B*#gcCZ3xo zn|q9hsZtV@0)lQ&xl}gY$x`3nWXiEVb7eilP(E4TiOx1^%RJ^NDJ>Pfxx=ec&TGZ- zM~SXWQz`^CSI(Qxqra-tJ+FRaao3zVKDOHGqK1|;tNenld=7r~zU9*$m6g{ORHiC* zdzYku#!U4BUkRS?+IYcDLH#7h!{hz-|11tinTNbuy3DJjWJ|mri*>TcGB>WO@^8oG z>tDp0ENS@e&K9zt$Lc`uhPgYFj&@nC4-7jipPRBZzxZA%s9*NgMM2R<s{XV!k>~yF zG1lZ)-dpf-(x(?oP8ohJw~4H*n&jvGs8dArpQcLaVlS`W7ePV3e;tE*TE&>&o#6Os z9<zOSP~-Hh-7`1x+x>6|f313O{#3bpb#^bGuI%{z^?H2umD}}eBR9LH7cYHwcK^Sx z>v?vocg_q=ydLZ;HmP}HK(ERBg;^;)?7`(LHJ16$*Ao$6@<7gXQ(VQv){@BFgSv~& zoJ6lDoVnfE6Cfvb*2Bxo%ivhjuP=g)d)xg_>ABjJ$@om$+tnfxYSpviL{QMa9M7*2 zL0;1}o+W$#e7<2xaQYPU`WH)7WNcT;O%A>Be9;lNsgq_+_3|ur^-I#8u_5{2&Rg%& zCrwO$a<}~c)Z*voUY=PIX<8?L`0(9!&Xp&;k0tth9_LsYP`|A5^RrF0zsvefi`Q(- zy|u+t{NZfF<hJ8nyWhkpFV^nP)R2<<6P>>|G&v=8!`6a?a`}5YLH8%yPXF?!p5sj{ z_kpx4ZW>8aXDqEJ3QDL%SJ_VO;(O-cyfgpEqS@bM!t0NxJbsrf6t-rAi{_)miT#ff zU7aN5wio+b*7{AJe7I}Qk=4g9r=R!!yGpRAbSuZ#2)FL6-lzI3MoP*eGj_jTxBJr7 z+{A-PhR*8ji3^(ae_E}n(gsboG}Wz1u0P)Ql55*UFS)aAt7FUWmij#vu>1YScy2ZO zdM?eo>b|p{lyJGUUk5e*=B{V=_nvK*J7*I6qo<%|f6kp9fy?K+PdBallCd&$^|ZX# ztJm)n+M|D_^}FUQcU!3<_e9W^<CV`c;aiTC_4NE+9_@PJbI0H2V@vXyCH0Gzhw<bV zTt0R7wf6cwN};R6cz?8azCJW3cC~=>w-xuBzjyy~k(j4jpy;^xuFY1#4<~2vZEf$) zE^A%&{Db(>MG3dq`Q=P5ut<WY^=4J%1k^`=mz}O9>gDYdBDpf?<EjZ?YEr%zJ+Q=a zG*EpT>e)a%l1*>j=1OGk3OG1@cZw1F<m{8CTR!*K|8W-I^Z7}u!g0TLKG~9m=HZXa zMPr$|EzZl=d~lp;oZk1?fa~4H2a9VH1lM9c8)!nrgk(j2juSg4F3GoX5MZ`pO-WA; zSE+x$K7M~3=zwHdrKTx?Dg5WTmb{!-{qAC|PV_dPaOq>+4vh{4hi4xtK7C+E;^8(a zcBfwx424o|aSO1$+U&rq;358aqx5GbSJqYr^liwqnqNLQV)9FA;TMZPGuOIYs;8;t z0NNoz^~;b?2~u!;ygl!H{EiieqSaI8E2-_~lM7xr=TcGb-A|XcY+K3m%6vleg$e3L zP9H68gs1G<E`6_KcHS<}h$o6n5?0K8@|HzQ9tYS6yfBYgardRGUd)aM7s?~zxT@_d zqBJ(O=QSRk{HO$U)UdL*Aq(?EZIuH;^^1h+HfS+83kj?es%lt~pt5X9lfgXx9>dKq z7DX1C9&>lJJ0ZfoHMPYcDLGkoA-9P}McBRS_qN-^`<Yti!uBa|Tz6xy&bQB+iVrid z2c-RCyg$#j`c+ehh+|96gTkhiCc}Appk2!9Mox2t)cT|^L_831sM+NtIKi~Ot0N@j z;dCj(i9y<CLF-cXuiYr)`oRAy^X}UGMQ`rR*Z8Ql<g$z4q-R|-LV~M=lPkFy{Zm-- z85h;qFqbX1vF-jjMKf4NznI;3>b>c4RVP2*+Ln9!$wha0@O6d#O{*W4I@~ubes-or zuIcLP@Y8p87Rxq;cJMxw6Z3GAdDBtfD59`s%Oy<#zk{zGP2+9&Dl!#}DnfLW_cT3c znbhnkFk|uDoQUI_TqSI|=Plf@!9aGMf(#4i;pk*RnM2NyQ-We_`L?h>OnmeA_xJ1b zB>bhM%IC`*N;rA)WS)J<8R$ml30`}wS{9>jWOi};@u>bot6NX1&U3+tJ&Jx}{{+>= zr>HGS^RZkRsv4BP)l^J|<%ZXu+W19pZj`&cwb>PPD_&SNSIUJo-C)^uALR#~JqyGg zuQPIPkgnp^Zb{mEj$cWRMcd}k>j_hTetv%1UB327nNRZZzN=DP;cuCaFkgJtCu@Ca zZaaK~bN$>cJQLVDgnqHzdU0uK_q*A#4ia)*a<)}3tl?Xnd7Di0?pU;+5Vqx+$Gb;= z+Ok7d?1vowCm-v%IAbTbx1szo=E{SQr_3%2a@-)M6S2T^TB?8uhvx2uKB^ym>en)r zH3>^LIv&2{$)2y1E`WMkP(A#(pgAwkY?+nH@=d$;<g(b0lL~ZPZ<+N>;=AtR9T6z3 zb=qhFqs2v8$&Fu>?r26Y3dz=}kh$O!@sQDmyCs?9Vnnyi@v2X2BHnJ;x-IACCZmiC z3d<uOc{=)ZqMa6WrEFEq&P@)mea_eGk9zCAWqWjqk(n*SpI0eV`%X(aYkv1?M~MqF zDsKDb*z<p4#k$Wqq)UCuQ~u^V6W_PaF_5_7aOH*cr&OKqN)KGz3e+EM+tR(d=>3Jy z*G>pcK6he$Hs8rfyzL^4nxGXaQ#__}ABlM9)*z!cyR810cG4t;wbE|h$B$jq($0C2 zJNMk(aLwG3GNVIDCz(#f8d-7eVSZt#vrx6tMxc08Mr8M&X}Zx{mNkCh=-!ihjj27Y z#X9?X0Pp^p8KOHTHvdtWvt*n2p@5FwrS2PV9bVnIV5-;d40j7QTMn0|-4(wijZ!+U zL5>Q#Xf0z|RPQ3+U(UqO*0$`)+wJ$u{=BbRJ45`TxQC#e;IaN`i%MQzN_uLbnB%<3 z>=<w1!OaYDEoKH~5$mG2`*nwMRzGlUcW9KClgmH0)gk5eIS$!WwL6mo<GIE4t|)~b z3ggH**c$62a4MlAXYa$Ld;5DDge4QZrK7V?yhuM|&oSXu&9!>;LxZl{-(cG$x()B4 zL7+2(!sc;iH*PCiY`|^9-Qpf|SXbvrhRKD#34&MGsXyHF_xJbLtOeiS-M#hOsNjKv zua^SPy5mKEbhqDGv{kl3Bx1?UvaadO$?kmh)`>R+o6j+BK4kHxNF|*uDa6VBPndI` zg3+53l4dzGj(0PjbiCpj!IjHqCAdfG+O~KOQ8`u<**6wVB?~5RbTSYS++#68;snnU z)=5vg!&N5C7x<u3!@9GnXM;D_+FtuF8jW+@HNHJ{aZ9*Wqj)ntPv<wsnb=Z?y2y?G zuR?Uy>wRB^g|R;1O5Y!N*(pHK!?rk1a1!&T(({5ZukGn7$qchx@z`)~yqkjh<%805 zc$wH5=eQo|>`^!}%eH!3pQzMnD<*9-^%Fi%c{NvG+GxH`dhsE{Wjms;T|6^4T@B08 zLFXnuzaJ=RG^u|5+L@96+z-@u_B=Qiy{ly9xmW2rpRt@C^i1(gak@fD?6$=DF77R! z^Ixy>?lgG(m+P9VNs3CuiP?3$OgG&RboK}ctLQ#_dxU`jaxlR(FGG#wna&A2KehS9 zZU~mTvSvYtN6s@pGxz6}EYtFDtTs_?Us~QSRe$MFg=bTdEw3ae*PCU*%?<IO8H5!( z1TA)Q?f7#k*e<ta?JnWx?<ee7yz<hrLeoyY^hv2tFMGc&jcV^xIsIts?$Emn3SM4c zx$Gv_8&SjmatS9o>OY#Sm1JS+Y!H@IOx61;>9kX&H0{9s`2}A4=IwM%TCBVz)%h{+ zNuIKsw%_WX*4yg^@B8aDucB(lo$nv+Z@xVz{(Stdy!BqD^*(HOK&xJ-f5^VQ%<w#i zgi(^6EL-EAZcs9QZ~gnV@hzok<;!2)pBU_%c4m$4rInSuE2Gyo)k~YNt#n-wcy*H2 z{KJ_m?=RTsGkf!;*B=}05>CH6Y*c^LZ|Cyr*x=7<4iBE!n;SU^d3EisTy@iF+m-M4 z>*LeCdOJEe1WqlJZgx1Q@W91wUga~%z5aH_Gk+DOMeN*tb>``BCzYn2+M9j)mR)<Q zT=j>t$c-rr=lIwy%=Qq`4-8tQ9X>J7{M#gU`P!ha)|s;AGk3oFFZ;2n#{3_5WlW{p zue+ZE()@UDY;4JWrc-}gEjQHbr*u>pXT<)6w*rw*FNzC#bt}N3e@{SI<KBSX-|jd} z4(Us3jx?>`GV$uZvz5~Y3zU@qIKNEwpDM~*un2y9(M+$nYa1g=HJ42KU}IvjHX=Oe zQsK=FWmErr`fRc?Y;m8@oR7PwXk>m-`}x+`t$x#%ou{|0K2&{lX}{2RsneD$cP0vE z9ow+tc5ldg6&~&_fr8WTM;Ps|+Wk=3S!d--_g<-|CnmkJihFfC=k6}kC5K;KImdW8 zY;Dxey>nCw7kemNzY~{np>D%=Gp4_moLB$NIl(biY2}p@i#L9OZWCB3^g#BNH)E); zu`!$E!Fpk%vRgIFFYo)GH|J0B35Loq@6VqU{=X|m)5JJ;c5<;f)AW$A6O!C!o?ll? zy0p4BinAj5pSyzcd5)I{RzB+bZZ6^G(b}^-q<3i?ujXB2Bdry|oHN$kn0IN$<fB_% zyT$UlZ?Y!$HNF$BRsNcGpkvL}GG$x)o24rae59(@)n8s#?|YfoaIqPa?fiiE=R14u z)c^l`OV@+v(hOrJd%b(wv%kxQyFAI#jCoOXmn**TlZ%_he$TT;LA&FaXMXt0<X+s# z`SH_d{>iCdKONmv{9DX&)(nM{r)CE^EUjNB5gNHndv43!$wmMESpKZJVr^9W$)eMG zhriOPX_Ncz=7p&|zb{lDd2a8rr`Ij*Po{{zirAjkI>(|eWU4s-^_G{%=50TDzs>4@ z#{0W_o&SCRC%x&z^_#zLe0sXL<hS#GOCPWJr!wo^PJcXl{QuNQ<7ForYpb6;YUK{v z<<sI4-ZinYzS=48#ltscef`!7^83x5#QvJO_sR5KF4hqi)HK|9^+{{EyH|a0ROVGL z{^RmfzV#;0Rd|1C@A95sS*wnpZn#kT`dR6w{PTL2GfSMfR)t)<nssH`Kdmj7zn}Yk zch~m%IaZFK-EPPJzPV|vrCOG`GHC0rn9Ez1+8F;?tF!aS`S=}6I8M5)6pwbR+EO^L zdHsCF;+SI`DK2gruiUJDyk5Wm-bz6+oqDm&%<Oy|EseKyMRGTHy$XEXHY*mibm+~k zt={s&qM}Q)H;L%a3ybbD`Ixd^O_zyVerx8sz2BndPP=2&bu~~KG|ltr*X#AKT`%Q{ zlsq=QG$WYz`<t7aFD>(xP7H2Lt*AWFx3}=ITP%0`mFG`dR)wyfX4fKRRS`df`~Bko z%JpY=PyJMTexB_vH~zO5?21*-+L~tRF!TRfAR(`L#k&06n<tw79v&A;von-cRb8)N zUg*aA@-=fVXuEQ8+4kR)XPHQ(^!~ECB3(3H$PST^KBO+S5Z=n)6YIv%d*YU?`&RcQ z5fhyvo79wzE-i{<T^X}<RgHP^%Ek4$r<0o;HdoGmEyB2R$w_wZ>N^XWKYdS+E0f_C zlq`rg_l>bC+v~Y5?@9=t><x9DRTCzCy#HToYLednhzrMpo8MneS)P+p`SQX~HeaU# z-uL%5hQ5ls>mgV9s7UlI==_0p_Ai%~EK8qn9XfmBrp&)lGtDY{j)bh#3r^F$DkX8c zUT)Xw_CM=9xQ}1k`%6l5P2H6~dEY5>Z=0R&-F`>!`u#h%3*Vf4qOx<Nv$N&$I+^Ob zb^U4ze@>{W$xP#Y9d`1yvi)=}QSFzH6)lag%+ZlH{4@VjP~v1k$(5lC7brP~niOgY z2Zr^nEYyj#@c;JamRj@wk9)4oxsiLM+yCVB|JDBW6Z@s4rY~~sUim2H<EP($Zoays zyy@wKNZ)CjlG4w`UT9fTc-CXF;z_QCxN{#}+}51hE5P<@-Hl#p0}d`zpB>(5AJ+#j z?^E7#_?(ki9M{>x^j<UOphJe!Hhze_ns^#<Y}PIo$gx>53Hokcv64=YGvq&b1)I0k zN12CweS3ZV{C91x<%e20qof%f7tD}79<{Yp!4b5$NRFX{ab6Q>5@%=8(=F+#h?8vh zf4+irYL@ce!=N+Ny4m69W*Ocqc9OnQ$H@Ka2Fqd&+0r6~*q-B)3(qQ6%qvR&dC85h zCd7AgeIBU3<yfjwcclGRgcMVS^RY9xwEpfgKNprO>7SDF_tw-)-`m29zW;4by^%dV zcDtCQr0qlX%=;IA=AHX`tEZ-TN6nY};ZN@C?^kAKkL~MUAG_7(-JYe*F}F9(tuanr z_jpTrTy<QfW!!YozJ2`_cHN@fpDT7Ma>q^y*d92!{@JIC)9<g8U!c^N{QTUXIJv#+ z`~N(?`TxY>e*4w&+xLin*W^F3S%2x>xhW~vZ0!G4%_`ZquYLRBx%cw#DnwOkM^&gQ z@6FpEey`#`_wk+LdUK}VyS{xw?e6kZn|wbCiOnl(-(7JqX6O3&a$hIAuzO;AG^YK{ ze(~2R_mcTdCFLzs>lbC+_L;La?A_Zq_iE3cpZtveDJ@Ec6>6aSg04uiG|ko*t6aV5 z-Ite_OP|IZ(zxRr+|svd|5C5YYsDL9I2&jbJwNwh%ZJ^!7C18RtpHsfv@1$~o)Aw{ z;L0FXUnU(zt%vM38WZF^n4Ch7F$Es{a0Rq8q1w*i7*As2nssIMj(2Mcns07O_4akp zS$xVsw0!NO#*BauYXUxAo9npAs`S;8`^Q&LioCtEc)7dnBW8Y^2Zh%I(tdB0=g&KH zadMoBb?)rqzn~V$LvN<r+q}YmZ(qIVx)kSG#35jtwwLl51?^i@^nR7=^t&d<h5Apd zdb<C#hUh$t_3!EzEju5(a*yyvz6mv|$`yjQVucrrUy1BCvz%(Td}I3jih$>jXZ-xl zwlZ$>x+3HGlb@BI_6{lO`LiWq?)3@G54--`d~dmD7rn0LXo>hn_v6P_-L*2x`?dbp z-X+4vmt4IXcxh9v`_F_gD(d~6yZsN`iL^hln7R7(27!C?&fG~%o!V1x(6`=BR&zaX zbx^<brZ(Pv``4cdemwK*Z@131^Pc_Mb|yZh<y)D&jaqj|(U$c0d1*q6e|}6q2s*y( zKsn#}hPx{+oKEs%jXBMc{O0y{|M1(XjZe%kUcMG}t}*7zi;K<*hG7}U?;QuNu%2;T zXw9eEw>LH}<~u3yD8Zd)Ndwc;`Y_NLW`Fpc+kV(79B4gpLp<b=c7>mUr36c(<*k!# zrhMBtlph`O77!C#cG#kVTOqL`Tc^pnf`eb+p2)Lz=N>aaJO1WtA3ogoo=N3M>w+tD z4a@7pueI6){+waZYrVHa-o9#?rah=Fx2x>!s{JOhyGlMfU#{HWdC|T;?^3zG?w<)4 zc#MiXGA-X6iw)?$COf74QSHje-SaM2@0%oeYsuT&Q~o`?`oC@#-xv91J=Yay3cl~1 zX7`u<RGWR6=lplNciS}X#BQv&DcXK!#<$!yabvY@yKWh7I$PMY^}^!cFYe|yeA$`Y zpyu?hNB+Y8|8M=)>aPr3J#W_W+Dn`3-^W_*d2)7>vzyL(zLt|*(vFL~rtR`d)4w-m zU3UE>L8<tCb?eKX+TU2bZ+qsf@3k%mge4vIoA~%JPE@<Lzj=ai^^8NM8aiLzR1|*Q zRUiW!Z#c`K&r<$I=N;&BKJ)uEmkW88FfKZhz2%^VM*Y=;eF8nMVoWV+N2_>0F&Gwp zzkOB)v}s77(rMO*oCmcl0}ofWi?=lX)11bY){-343qP3nXT?mWG6}^cj?HY9SGrkp z6qYH^2bE@TZ*QL-9$y>U9pQXeY;FJK)Y<(fbY-P~uyS!Y7asjLU-sX&Z*C7xcC3vH z-dSJ2zUcP8^(iTr+qPa>{d#NE<HYL|BYO4XH#xj}c{g&N+_zn)(mzd67Ww$7<NMQf zzvaGKZD)VKCvuwZuTZzzw~;DQOFEQtx<YQ|KHhcpQzsYe+5f3+*LIp@-wczhE}F3= z>$+y_{69OK-1hx>68kgxYmuy+ql>jmrd5os$hXOxo$K8M9@$kK_x<!v{qd7!(U%{6 zDqX~t-G458a@um~#rsck$okCR?-+GWU;V9=TjgH9XAJK_$AC_YJ2Lk<Q$O=8&eNY6 z4b!Z36{R>h%+qzYO>?+%mm^}z#aTOUFE!4-rn9#=?Zt(Ke#;-_HfB7yxk0SdWx=$M z(lI-WQX5_7+SNwY?<#+<7hm^tX|sqT_`u`~c1rL;5JPqowR_uyZ=auSU%yXH<;&}H zKh7E1oe+E7X5Jj~&^OU;-L+k%*}78SwpU*6{;}Ksa@;pi_~%$ZKHVr}b0zo2^V21I z6IXcrfAa5y$AMRT+3_bko=Q6Y|9ETn{H57kg0Cm{*WY{mp(p3=mbj|2yhoeUpS(QI zwo2A2`RR03t+%yXXU+T<A!9#H!`^rPin8T3DsN6Wx;M=`GFee)u2o@=&yQcix+`RD z>)K4RFJ625Fjp#UQd{r(s|)r0+ZwKh8XwyD?u5tYTd7M|ah>=1SLwOySy5BZ5!HE9 zDo<}ed76LA8IyI->RY~mX4$({xgRvKa&PHlde30a7$z~{yjYT7+0lr3{AGs~R8(ya zDV=}R`<?63A*Fr~k)p)!b{EtaGF-o4cF2E=ih#6te^Rf+dbXx(X=i6;zU4T+fPEqV z7Wod3b{@$`8N&VS+}|D?Y@RyfSBIV4dzNn9wq-1WYrpQ-HagS&p`LpQM?c4-(3aV6 z7OjjlerxS}L(R%6Dk&++q)@SjIj+TG1CHaFKNP38^ce}i`*=-!i4b%0r!>W&XS>?7 zk}UEoo=*Ed_XLN0$c+NGS5NL3eV8M2{LfYP)X)D^cJABy`+`&0uXCD4i%gY!S7+1< zzREFC*0Z+#_xF4Ilii&0r_OVmztG+E#v@TsKVC5^+ig##$+7ycojmnv9bzk#Le8$5 zYh51p?(JpQYpV?|p3-&SljZq{@2&V3jS0-Z^^~jsPjKDFef#Lnb&pT;NWLsDj=s6) zA%Em9L%q8{ikf;1!aU;cYAszFJb8<(^RZ2R4fS(-3f$jUg`d|G@?Mjvd*NE1NY&BJ z4i!gkX`Q+jDwgVGnBmNkFK|flKRa9C!O2c1SpD1X9_y1`&B=UAID9wk80h==K{H&{ zmIf{x-n1mT^Qf?IK4ihpFE@otR4c<!%Sv2myJ*P$@(v#BA|tKGj3<Bo`Fy?<G^kS# z9o5-l4BEE<ISN{?_Di5U2b<ENUM=u3ca17PABmp)C3^PH%mlv^r>-h2Ie4RP&QHmf zcanZ7Ek7P_$-F#g+uBwslUHqC^Zw_oymMjOx+(o1;wNsNqof=&|NH$aPrI7u_Me^< zuRnEP?)UV^lV096*&e6vyFT}A{gj-yYyaD5&#(P5?OO4kAHUco-z`(ze)4PXtJ5nd zpZv7*)=g&#W#z|xU!I&)+5S-7yB@UPOWFM7%PS&#osaJ;ZvCde()zmJ+3Zzs�yz z%YS{R>}1)qvsv9A4{fcsU3U3AkEG=HtH(cGGVag(dsh4XpYw(4N9V`|M$hK7Oij<O zU%%T^MaEjySUh)PxLnrKU))>sXV*WUegD$I-{P{DXE`dAD?e~?OE~@c*;(#Pwx7Ru zS=`)Vo@>3@URV7jhjq%kx3@#Dt%+E4(rK}pZpov~k@kKQSBK>Fe#|<~p%i@jLIF?Z z1GBw5l#Ft#W5ZXjD7&taI!8suZpr7&8oP(-$3NEZ|NpDo?%$eEs}}I?+!bi-D|h4A z?z*#HTf5U2Kfduc+B}ojdw1X6C1H2jPB8}uJ{O4GThw{xXXUPY`?C30_xydNEcx@9 zw-)~iU#)acQ(HNX3o=`CCGL8<CCZ-8c8;48_BP}ltEiCD#+&N*ead^(jZ;{@zhf@H zv*PoFh@Y{2+VwgLrN6(wyOjHDcF%=p`rg|;53gFfG*)@B?8$TG=O#>ivmpJPDF=%< zqq@<dxbm3NBYe(R?Pl$ATotCLZkl}RmuS-*6=j|&1}D<x_o>=z^m_a`__r!>|GL+` zMiEPA>W3fvtJFS?+4jV;uh}6@OOmznqGQzUvoG&kEw<-Y?)P(R>$N0rc<c?BtSYJ< zZy5F3^55rg$4`|;N9=bqySn~LVYm3XsVzS&JT0fLIl4<laQDAuaaPRQP2r~_UZ~f6 zw)b74;Fx0LdL?>!o%3Awr_bX4+QzZR+^J|?=KIGjeT8acw7P4V!1JhSl17It1-9z{ z`@YU+zDZbW|6SeishX>g7k#Nek?5$i$MoHv`^o36zsXOvW$!!j;NCw$@84gWXSYik zr>OA9>KKPKH*kX{vLX~WUfGoTcelWE(JP+FXB(cmI`5=*vB~2zQcT~!?{|Fd=`rb9 z{_cv8{*tDd8}}G5iJj)f`=i>Xa;vSg+oxAkjUStFbm&!d@V%<%5*7d7t<$V><mB4l z$BKV7t-U)>gZtXi)4p$g-?h&<W~INiKYT^{@?}o4bH3Z{ozr)3e({gYhiWe`tXO2S z?&+L$cjnhV`M!-u^5pyQ8)x+GL5bDlL+h+t_h)|i#ML1DJa@X2>)|Diy<cX!eLf{~ z#$t2dfrZS~Pd_@AdkCxkSX%$@oM@KygL|>oCA{)wx<0=$Cu(gf->K$#t=g;pS)1%f zujt~acP}2z`FVAI?US$DZk%)y3q2LK!`RvF(=So8$NZ_Fx%}HUf*)I7)xGIozIw__ z!>3ELO1=f{Z~w%urGI{|jmCPL|K&HAuKKzt{^9Nb!(E&Fu6+A&q26-o>+j!f>c7ia zsqOxzenNS>)ZGsCoS(6a1Y~cSU0S$%l9038pT8?j-b%7CwKp{PtWdG7pQ;h)6rRZw zTAlnREA#f2wkg_^!z|9ua8wB3kg=Sn)E)MIO8wotCIPX}cGkTy_Y67mv_EI5nC3}O zBPTl{p_)M7>!;2><WhK4-w~vd!=P~g#DV%o=F%pMNz+n~dMG>AiIy-O<6+`6S`;Mp z-*uTokaYX1zrQc57FBP56Z)Jt^v#()o7){$t$Jqt>vm+3`|d5XY*eOh63Sfo_}2dV z`V;%#olDMES1o>aMzHJA&D^)Qwpul>x_e^zl$)#*j-&)H_q)3E#p~<->oqqEEtnY7 zo&7vZCAePMQfg}U$$zH|Ri0;EUgrDF&ce}3T8L5Z|M7d34ep^!^Zx`}wI~UAIZeJd zF^+X9XV|O+$3opTtQTV=|0OV9k3GF=ceZovM!iKH+FF~Mnlv~#yaFev?QIoeT5_@Q z-}CZK&#R1Qs!TqaVl>(E{^ut%PoCNPeBSSS*5CI$|GV#VZM{m&XEUJ<dp{iFw(`#Q z@##6&@M`ssSCjqiR<2f@9CLP->j6b&t-I@&drnrfdYixH*53br+>YH#c>T%c^;i8j zpZB?6eP{XqgVx>NiOTM;?0a(N{c-*M{N~R7&cbHU(R#CcW@MjwzGC0LtP?+~@2+H= z9DD22RPFFrr*>>kKY#9$Og-!E6^BkQ@VTph=V1t&TCbI4sPaVx=_3+B9p`e{`Q@%e z=It(ftCg}xwOW^zb0sf}VWBkWWW8;FJXG#69(=#q*)8OwDu3!U%|jw<)22?$*!!uq z{+@>N`}2Pt)$Y!DxJ>bB*~v+gJEK=Dsd9U2-}&wQ-jf~MSF5R;^Sa)ZkkPN-{brMo zxb*kab1aL$XgL)uQ(<$x?eVuSkU#D2uF_w&q4j$&?{+qk2-(}qR5L-C$F-&8mD<@o z-?uHvTs~KQUd5rGuNJn;38}Szu{Jael&kxZcynWNdl~ab%dIIZc6iH5zndB$y)`eO zY)`1thQ;=5>Krnfp92GCnf=xMF<ZuQN&QjxSk0b)?Na*Hd+rDpoaoqf(e}@c9S;{@ z%{k+-CGO`T`+RBRNxw}EQdmlx9A`S^zEimN=0wNJJKl<xMVdQ#w=y+d+FxJ)cG0oY z0}Echy_NVO)%5&_hlgv+rYMzMnJQ^jk}>UlXYYb{XK&~4Uwf4$f3bzU*P#wU$*YSE zyIu;;uXpN|h*8qe<N2@#bfn$ix7+W}%MN{bCy+O%8??T6h20yq4$!UI=R_T4_+5IW ztgc*NsIag+SK>}ox48bb9nqUP8XBD4%<}KOdB_&NKCrtd)>nAz^nDln@2p#P@~@D= zhlN}flLa=Xmx0C=f|vV&PWjfq>^Nhq@aYf#e%HUZo?2R{zQJ~CVXt9#dRaf$L+v=0 zt6lHo>wczY-m!RnDJRM0h4DwF9jYr1yw0mW(7<SQ?2rcgjsgWwmi9+Yi#lSrW?kLF z)cl(7(d9jr#lCC`n*W}(HpvKVf0Qd=ea?P+)6AXmvZ2b}bD2Y1CEYdDJmP0QaGGT? zJt6Gb*X8#0WwTE>9Z*!xzP|3OLh`EXC#;$kzJ)!P)Li<h$7EBbTNB6X+*AB4IrApo zadf(QLhm?7b-KG-hj`*lvsF#ECFV%JSlN*M=B)YsD<5U@%6WuMJXp9|^`|qP_hGxg zv-tTlixtA*pY9Z&&s1`J!^P6D?fu^GaeMPOCLJv?SCEk5E~;-{Xb|vJd^^uN-WYG0 z$z6vu`2O9vxY)h)!J6$8?T_zR@+VV$d2WH`LY|B6G2S~a2DAh?M0BQ{Rhl5o#3vbO zFT!u1R%dWA%Zxc2l3Hdrn*P78U~P0rp>x#%ox8a#Vef8l_gC|u_on6yvtW78?Np}7 z$Q=csJ715ct8>=trCs$aGgN#xHz+75<->!6Rnaj9I|@4f7ib%<(AY8AV!pC_Uj|d# zrVoet?K8sp4b|4Ld3k$ZR#A=Nna;g#>AAVquk{vcG+J|=+j>1tTJP?bzZ}6VGDe$9 zUIy{MiQC(j8P2^g`eM-RXU9XeQ+|lvO}!+1{`86H_nH+6Zv~57>JMC7#(9qSQFqUb z3c)-2_qZ4e6<KCE@flnZzN0I^Jng^KX}?Ir`@$7ow)*d<s_r|-HJvYy=aE)~!Gmsr zUMW*A_V#aYZU*n}2@nr(yweusy#M#t*VDBt1mrk+^pj71Y88*0kuAM5m*L}!%gg(1 z{(iZ9?v;ecogPW!lwV(7`We)h<-NV}ez7=XdyhuhyTmpI@BTFH6|43>Y+0$Q{IJB- zCFknB2QmESl7UONeK}Keagpn-sg1u|X9x>RH=b@f;B|+cSIXpq>;eysgG*Kj97{d) ze1)KjiE<jt_O|V-*~0m|jvwh1X8lzB=m=-#l@$~J@sx9WaTm(|{{DXY_Ip*{>+A0% zw(`DxFmGZ01g56w&ie@r&;MUr8~u9esUy8RiVHWqV!!0V<MZ{^)nZnD)>gZpMjjy> zjPe#Y2^c%?IlcXU-Rh013Oj<A`|bR8F)u-|;KT{g<v*3rW~RIBbu-nv|8I)I>oS?i zb+NNWB4u<WIoEste!A)GrCoKZJ9qfTRFvw}AF6t+rp)u}&(7W%7TXMuG>aM?iI-?< zVsez}+`hZ@j`x!J>ie2)6z`p`_Vj44PWt`rZB(tIvF19?f9mg&FE8^g`tzgkudE&q zTXwMI+&k)9ju)OhY?yIDVeg`e2cp;t*(#VE?w-6Dp?2nD$3jkZ<v!NbmL=&E3^yDT zO+BmhfWID;inHI^8bn^Z<Gy(%=TsY;uhx<`7S9Y>AGFWINsvP_a`!X#%AfQ5PtTfq zI@GQ$_|lR}?VYJ#YK<P8TH`Irn0xNH@ss=O_iOCWiCUjlle(n#`MaX;@^X{J*4|1x zRC3hFw0Q2Xrkr~l{P<)&1k$z?Zhhskzp?pApW)*rmsnlvcl%v9xFlre?v%xUzV@xl zYKv0I-#%e(h~u(m{+pgRm?K#hiGb3^{zdGT!vB-D+&*-*I`#3o<V*W+=hr=6)Z!U; z^2DF>_7i{C*Eff(ig8^hQ!`ghJigX2y6)zW&37vAyZn57WPeK2^Zfq5^Y?uGbbo2& zX|tlt{o1Owx}pDmpR8w}G^xfXt|oceuQy!jzs2X*`uy1a=9J>49hu&?-gkHWQ;#(G z9=tMe^E99TDT$3@E41Id{&jRy@1;F{sxR;E3rj3lebk?S(&I?HQ#DgyPlL1D6qlEZ z4>(p{nss7{`84nO=X_Q4wmD5~R@P5>@?zrQOZ&5pR|dRf`k8UByf4UcL;d|3(d{P> z=|4ZQB{JS~`8r!uzx%bj1KeV7$N!48^N6ddU8dX^%vyfqK;EnwXX5vHUR`qHL_y|> z6W8r?&bnAl(~DBr9$UY&<i#E5dw(kuC+=pO6X2Azsb=dV@ya*b^jtsvajFfP*3%+Y zSZ&ko@Ij#9#EC7Lmu=!}KDMs7{$Q(I{Xt#b$k___*V?NzSBdYP^sZ7-`SSfb*MBw- z<0^l(Zcn-JVYX51McdumvcJCAq}_I+c>Uh>`**+Zd;R3}dHZQU@8?d6Dm8L{S#MN4 zt4IE~b>)|p)1S0OzdJLr=fl(H`W?>yKL3k0dOmypl&ncxEh1U&$L)G8uOFiwJ3D;) zMkU8x^%JJ`uyA_Z?r~ipAW(3kBkI@Fg)0On-o0idQ1RFJk*t^Z^J`zbL{usjm4)B! z_D!q((5Dl(Ie544p0)AUmle6Wey`u0wfo<zOMSdwy;@jLZ%+GUyQ6-7%$;rP)J!Kx z>sY6@yf1kB=ixc&ryuwK_g=U6<2I#I-mo=yHJ2RO_jlEzdiPCSubm=|f+9}bejLT} zslUP5?TS?yf2XTnVK{6tLG_;No1gy|n0Wip$I0nWPnL&!^>6#Sv~KMpVUL;OMn%uh zHgC~Rdwg$lkdJQmmu(Z9t55He4?pE^9rPtUS2}J%b9DNdxH+lO)1u6}^;K=F`6sNr zY=3%c&zgUAGwWl@OZ_V!9Nd5E{c*pJJJKgtKfnE5?c^lW+o_LE@C9uv3G?Yb)7kdJ zMMsj;@WHnNu|@@@2NMKC!w+muJuMa+f8bU=N6w8(mt|*ft0=Q=nOc-;v}%RhuBWX% z5~(Q{U&#g;uPd7teB8G<O4q+cTbX5{5ck8}HPc=e2FmWAD(E3lpYmek^7v`5^UlSr zvI$!6IBV58>*9M-J2M@EGvn45Et%&Q@y&Y9i4*7MTDK+@Eved`Cb)s)NneAr+q~Lu zk^G*OSF+z#yWSLwUvooM+gyIyYQ0RwRIk>3G36T`p5vCReE&B5=|#@uCo7-dpP;Dh zmip?{y}Q;+<|`@xy=rvl`SNr17w+G+|5f$#(f-X(x|d2X@!Q*z5_HV&=Z9Fy`uDm< zKUa!BJr@1$lKk^0%PNaLSyaA`KYvpFbGX;%u!-~6KRB||*y#7{`AeNAM@U}SnYTed z`^t84zmygk_1oKWFSCB?TI9Ix#B!&m9h*{5vz2tMxuc>fv-0iQNER8RMMh66CrzGQ zUwX_YJ5umR&PzW>)t#Hdy)`417B2{05*2sC<I_gvOW|v^x-{b*)9UtxB(1)BVR@}z z>V`evzdhWPa4+ZQcX#e3-rv2yJU>0XPT8FQt@iXUp*MB!&wIL~EW)?=?bExermB%g zC$G3_GSw)A(b;WT{im%ZZ;CcDYgW3u#guN0iP&F1vBu_qyGQG-m*z{^p6UFGoE0At zx$ae%{-g8iGX4j|B|#^BE{)q;WmOoV(aWxVwbIvDY|^ZWrBhGsO{rfSyu8ov=R3bN zu~Yw^evg>Y5xF_-WcK>K({h8k#dI#TGDa@-6pPGHUb8Y{W74uWn|{8Xe%HPT)XY7_ z^dfQRvhuR}gC}gaKKTCU@zL9<(N0}TD!NN%6uG*rk~Av1I^ngf(8F52yH#JO{)m#? zT>h!&&iCK1m)893D*Ac#x>u&D@UOIg&o||sc9XPC+tXA1qtj=%{nzIoKRwg_Kj9be z>{CnsuAd-${Br8MH~&4Nvbw?oe(~+Nb@Sc?!KS$Cm`m|erkRTMzlFcONS>w{?YB&G z(d8|!uRU6$zW<)nHFf6ZjJ+lus}-87ul2tu=6rsxda|I<i`d$)SAWgCwk~$}gwnfL zb}ZZ=H`61~<K3>;dUGqM@~qooxRQs*b5)>n^BwnD56`LZxF2pSXS8vXR`J(YS9cvd z@2$W0$>%R8^t@;N?vu54yY=#R{Xa1VCO*l^7Yo~MQcq10{2Im3u4(04w<TkBj=#s` zeX&yBJ~!9o*bAMzGGXGJU%x&@%X{8$ylydjLgm+~&*xo`j5t5fcJcIE_iBFcEjqeD z&~En6FZP#HZ%ON)oOV{aWYU&0+1;;r)~wZgH6gRw*{!XAPwX_^e_niV?ytU-7Cv!Z zz4-TO)yI7&?GiWZVe#1fHu=`aV6FA1(lP@!@8Q|2vgy3)9sL$_Nk!S;vgLOc#&YgU zdp1jB6{p13^c!lXrlI`v9v|<Y{^Li*^Ahu%8w$qh=S0{duOz=Z6R`Z4$P8tJ*;2+^ z9JR``4o=YyKj&%MujIt_{SEwV#$=oJ`q?I#N+B|SK0YEMa<|rnuAcU9j#Lt_wAq!v zAJ$D3?t1N_RXb&_n6A-!Hf2-0bt@H}+fF<>+6`KDU>B-su>0Ap>@B;3B>wWVx_y(V zbUvV{{EGd>%AloP{}iK6OE&I2U4C*>Dd(m2-ol;h!!910%Fj~NC3(_)bK=I=`<zeC z>Zt#cA*#;2`-a5CrhOXsQd(~5ikw>fVvfGE<-(w!6P4YcG_uPH%&QN%=<DTmiS^Y6 ze)~TG%lzi9V!!wA@9%8!(;b4!CLbLn7jV6A=C@nXeLs*@g0=0v#+vU}g8g5bb@VFC zR9VtqyQlY2P3-Qnt8b+iZ_@vozT$0@cgnXnH=`a()|=<e*^+tLE&SinuP*mB{KT`A zEvEmnzA1Xsc-57~{dTKX3s*~@zNM(0kaboGlu`oiIsEVLDlNV0mAzAWL)K@-t*6o! ze|dK$VAj=spOvQHH|zY+?b4FGx#Mqx&Y!x~QKpxDZkewP``~a(`Ng4}HWvo=EGFaP zJIecbE;)QqQK@fKD!cLP>uXcPMur6~9~zFFZAfYoWIvb#I*;*p@WN>~IE7D~WVo{> zGkE8_U9Z2G2}oRFdgNN*E#RHR)0%Lgfw4+d`FFyOf)|?uOIC2jtc@~_HOYT+Vxm#m zn~2PNdv=0O2%j?R&YO+L<t`unv4@wn-Rt18h=jbiKYrVK@$1#knG>Q}e|ytyS9VZK z&|Zjt((K(Kr6mpmKO*1R&Cq&(=B`HR<0;V#efJ)a?s{a~tt1)w?Xlm@t@fUIk~e%_ zh3L;ZDt7qew9?6fY0unZGj5v|7rI?87hZorA;0IQ)e(l!)JI1;g~Y|DXIAQEv2$^~ zlTZ78WXXfLmlqTd|2<JyUuYk&_u2&R%im5-)h=~VcS$<b!a0i}fOE#v{W~f<W*<_J zn4sufk+<U^o9~2z(-+L9wkdi&X<0OHhJD$W7lFQAd)DqaC7aSQ!~X4$-Ml{@dY#gX zJ95A5P1(g}P)*){+F3!+-YEN@vP04qezClXEr(xTidA*roG1N%WAf=mF7<8&r*%S4 zo_Vxt+GN4K(l=YuDtC*1+Pigj&jP0p_RTdXCq;seW32lAZtY3NJpSZA=MVIHKlyZ8 z|GEovc<08%!)=*Lj6W6lSj_oTO?q}WJ?@h<cGG6sccpF06cNzA^gj88u`w1rx6a%D z-&4#JGUdjNh`aSM9x{`^fzAnCTmMKPxk<@6hf76OX6=SB!&YUnZT|lhA50M3ns(>% z>TvzNWp|?P2}W#uxZq6C!L@6pu1+|&_SMt9jJw@kls6>Z^^4s8w1Ma4)c~v2RmRtx z`Wt5V7#JU~eI)nfuC3Emg}BC0`5ns*Kk0n@bNH+ikNbSP+AUs5O(y-a*2|`HhHy94 z|DCQEyXqvDSF7Q@`ma~Rtu|blE|}bu^!dl*{?`#)Ng?0f-d3Ni?q6mmZ<;lwFYx8f z&C6^4e!XsW?})Xr*($yzpc{~PGVwlaJn+NlQKpYV-a6i&J00^rD%F`Kn|B+r$QTtp z?lrGs<CD>NWqL}1<KD|<a`w9Nb$dhkWGokQ)O*IR3^qQ@pS$*j(uV5)fsq^Yre9eR z<F;<^mW5OFqfIt_aeE|MJXx^Gt1#POgS7MBg4Lo&;spw-{(ill?bMLX`Y5r3@pPfI zNydW5ZUNo}vvs1k`AilBUAbqa*HXbV?aiiFZ03CLB*P9bXxflFT`#sIhCQV{C4*)6 zrbBI^D)k!XSD2ec1nzkKIo2a-{N?}J=<U}G4c4#}?LP0nIx4_s_5JGiwkzXo%O7<& z6+Jq_Ie+?=tgEYTvMqe}RZig_tI{E@W!CTa1g9@}I;Tmtf7123n{Snw8QXh!<n8Vl zm%IoFTtD&RXM=Z7zk8|9T)k;q*xHb=TV|`3H;PZ;ethrNx_Z_ohesDnA~zRqm$560 zVOy26YVH~-A&wr$yT>O83hOgT7D!v#-{E3>sy`334s*>k3xN>+j!sFNpK?Wx4w^q5 ze()V-4QeuKTG-;CW+ApwK|?D={pi%g3(jntZI(Of@$vrGH+n#$1P?+y7|Oh+1|3j! zDaiEk>EV$w@z8%*zxPp>_LAV`epVS%Lyg}($=J~zk?9<N=%hk}NOb9K)#)*dPR=sz zcF5zfNOAG3n+MwN;az{Nsrf+D)aoav-<Nw{=3ec&J8f&XPwwmo3xsE`U9$R?(Q5N| z6Me1uJpcQZF3oRW^R-Ip^BJZ6*B?&dv-@;$OL~GJhc2kJIeo;Y{?Cj^y)@kljyX29 zVb9jy(7nIr-eL|<UD4nm<D|(;S^1})$nJcS`g+@&aF>+zU$UaTpYv+QUJARzyIec- zq=!W;?``pJhkKxYP3rX6XAkAS&e|^a=31TNgo-yUHG9+M>qPJNk1|=Irz>?W<lStE z{ol4qi^?%|x?fKDd+qP0y87FWFRy9E>E(*+Z=7nRQkDI%wLw++VX19Sz7A8R;{iqG zteLah7idr09jR@>62Z0S#qrurDY;D{>xC9~c=}4eh$<*xzhl(6^7Zz&)0;grFD)*e z^JSODJ-w^E5@#>G$vEkeQLxQ@BZmkJ$io*O$W5CqD4M5h!l4tY`BePxjCzIibN{q@ zUxiIpD@|VN<a4d$;=-4`wq9PhJa6vN4&3&}N=3D6zW&}@M?A}2UDmj=F-_}nIaa!9 z?-q_9pmDRTpEKK2Q*@j+i$$?)Q&&0tU7G(?*3_hZX;--}ZSY%TvQAw4`Rb(|*SN$Q z<F5uCi7!(-b?t8FrvC+9TY^{Kwl=L_t@rhD&e?@cu694xMjHv$_~dqrH3onRps5YV zox|+oU3;CbN><AVX5Dk}+4jy>jW;VaaOE>?@4Y*?m!xn?>qxHo{rJnw&6|uLuJ~oG zbo;xS=i#WSOBO3B$ma0QOmK|Rc-tZ@nOLb`Y3A`Y@2paS;0amA_MR0i)^eK!CuP(h zk9w$Fp!0UhpFOso*H-TL-QDMLS8D2#J|(r%P^GP2PjeYk<JMo;ctv<s+?l|eYdKD= zV?Mql^X{ojtLj8ESN_vFI^|S$aoKMJ7lk<|I8L5D5$}}sJwfW_vy+iQ6VAU3kU1Rh zv*NGwzex_F)gFqGrJl?4xg>v8=j@Kn05yYG)qB1C`C@VZoL5s8zHPIO^5d9e`+fDA z`-LvTlX<NtE%A9d>DJs}<84hxR_>p%sm$Q(vHqnyI6E~r&9{GAXIc1Zi^=M6*C_K9 zO1gS$bdGk<&ClPZ)YhPH6ck|^RIwpw?)O{S>#u64tEs)RvbZu`ak19PvmQU^g)3|8 zgw}ap2n!Ia?@Io0xqR}&X-A4e#LJ}bPh3@hbycWUq)g`%50U4&DF>FGG76o#w$&Vz zd{$1jU81Jex@qeNw}j`7A~(0`M{axL8@8@3MKgBQ4kcC5rMr|gCQQx<@e8n1kY^YD z=;7frZSwMSTHnK5GecG{GU-^a@ips7T$=5k^}F82=5bU^t``heZsl3Nq?Ui`T<dbb z<=OL%QoAO6o%-cH=qUGd4^B0BriF36xv0<4z2D7Nw(I-Ek6YvRR{eW7ZTVZLLY{hS z7uM8EkN1B%r9HFEvf{%6JtdEA(Wj<Q_&Qa}9(1&qnp$4-h6$7EzPz~jPwrY?xCf{l z5$*n@6Sd`prc|g${ojr4ybO)C?Uzj~Z>~CVvhVK-hV&A?$)~hrYp;4eUDEaI@m80Q zJwi_>=A@sSH+f0&OD*2&WAT%`j@LyzWzr1Z)pBKZq@$GPBgUT{{aY<#lzv*VUn<Wx zI<&xFv`D4u3P(I!;Q}GO+e?-$%Sx^I^W&qCy!`tdzq2!E8mFJSwKcn5)zUKZ`pks_ z^E3_IeZ|y@AkAfi$>ywIBserP%#)6AEcX?W?rY?7?5c{f61i*aHmg<5ch-rs=J(gw zD@iUbuln63s{Lw*NY2?=rn_YC2whpY@Q3_IEzo7e_t(emjj~XyH4<&}RQKO#FQ)gS zxW{3gXWh4jb^I%Tu2g(dZ+6G*%Gbs-i7#Kiob_j6U4ZzB-1<L{<+n1WcF8_lZeMo4 z2{Z##SXd~h;_@|WgZq}X;ucIVyLwbqmT{}3o?mF<X)#Cd_vA~VIWCziBlc~Xq8}cm z^<sZem8Ri)@76%Gi@{pixn93K6IbSX{nGq9tLo1ZmF4rMB)_UXtMyc6U4542%2-{u zN2`x%n68*JB{=0sREhEfUpI-InF)a<=cX^5vmijmvdBfQ;sImq{5Hw5prA>{4)s4C zwi}hc3b9=f_!P9*cvgsm&5Xj}*69ZYzdqjg``u+Op8IPfHacD3nz&)P9WQhC#-#ne zYzlG{I7KG^e!oBd{HME+a~OBlzgW~gXWes=cBdS!x4{AZ;g9|+$R#+~->-ZwdvizO z;&+obf)1s5b$$K%*Y_o~S42#Acz5RM>FKYXS~qUoc<vo&YD6!_Ly9Zw-kpNOyiPHk zU4qYF#5PK7Vw-5pqBEiHMy(ULCCK`HP5gel%dPyr;%@?-S{YiN6nyfuoK#=qBy=_V zSoPQCF)}*JZ8N6mMLM0**zT|Swd?ot63u_%??odY{{8uUzWUKCIZGop{@=Um|IEEJ z{-0kN`DkacT;jHhcj5iNPXCyHJ^t!{b^p17K~LsC2>m}d^#A{FTpt5wd#1Y1(hApD zD>C<zP;}tl^$~8XR)u$Tn07blv+Oy^;Z@JjtoxB=AJ3%&TuxI2yKW@fFe|DZky_C5 zp`qsG(&=*|cCm7cy^sK%#`y1k-S4&Yrp{(K=`LRza{j`~7uK1VRBRn~vDbV{K6Y=h zJHM>86A#Bb7UR{+4diRTg_S5+?<l>q<mepn`Mt8%*ECnKdp6lz)Q@p}e607fwrZiM z<l`IlU(UZhbn2zV&by`Z^?wSNIkmid+c}-njf25L)~duqAzQ#RWU{yZ-VkwN7X9}( zCf)g!v!7p{MaC#-WsoYr(BdlI`S)(lYvF19BAhOwX{q^A{T$aRuK&yB?3FWLO|`dE zu6|=F>Qf!Ik+mtSlK<g@zwdPIPrf^=z4Z0d?OL0P=GF5FJl#5@>S^uA|9ka=ckMW$ zA*#IW%>RF<()KLMnW7yWGkHSMtNLuQ#yv_8)RdPM>Az|!46WGS-gRMP@^K%RMhjLp z8<EydVfCz~H}=|yr!um#e&_!vdgfH1rB%s`1+$;;V3}_B_siv3F$NF(=h;{W%PVl5 zxz?$9(AVYnj>?{*dZX%ZIlgT?4;RLMOZ@i#&*$^6UmeJ^uKBTnN7~Hi*_oM-kN9k` zU8g8AGiqzr%ZRC<gKY}K7d+{aGCdVoQDog_f1RnEQ@gfCa>mT86aThMy=%5(?Fv2q zV=Szf-?7WrD8!h*zcJ~L%<*^9RiIGYS5tX@U+r&~y>0u%zjX-q*E2Y#*zB398nu~2 zgpDcB=+LK-f9VtSBR8c?d*>jfQG2jwukUQLbKn2ee|Zro+kfBz|HXpcUJ_r_eP%2; zdEDRr?~?TApuyb7Y!7yTHbge>QDk9B+?5<4rWdoqlc|d*>yY{Vn#G=NhB10O)L!g2 z6p@y@wk3K`#j`oi@%4YV*6;a#ulm;9l$@KJQg5j`2dH~-pJM!L^83-DgRLK5tzQ4D zwCB){dHec0Z!sTyb7$w~e^RVsS2>H)!}MCc<8$plwKX`qotmQg_+a>|xX;&`?%q;b zAn@Sf@n%W+ou=Imi3$(Ylr^LUlD{jwe<!?(jaho5C`)zH@9*!gTWS_;7C3yde!0K= z+DS7W98W&p_fpbw73+D=ccmw~I7625Li!^IM2(!JS*AVGIvBv$VXwGC^gENGmJd^) zf6u~*4Zi2++0H$$pg4g&esle=j#W;7INO{GpU*A#y1%b>>#vPPPrc^8XGu!xSrGc| z;MQcF<;hRVY`5p!Ty%1h{^OXy37@j-1^7R>lsa8J<l%0Ze2izNUF|OaOEd2$-}>v^ z4(dR@Ip}P%bA6=Ci(Se6A}cv+zTYjsb)8RhW164_56hjDmIXmcA`(5lf=6DIi3;R9 z>|rSs5ny7o>}XeHTT`OgFsWT7i!)F7UH%D<v`+~F9-hAXVRsj}J(!nh%HuXaz?Gvu zD0S2E?_and^)0-!A!NEjG?Q;&phV2i`*Ux+Ijz6{$|?2~meBTpQb*aQ3!Y-gI=jqw z_LfIMd#g%ob*2b-h&|_UJan{qQWMjWO%a=;+V?#v>AAD+`O09e3pT1ppQo|BV#|(k zWX-&@V`JaS#+;_(ll|>9=USDXTHF2Yw}JZsMdkWe?ynk8vL9YA{_J_q?r;W<4OI;f z%#|Ljjb!0sW^{J*+0*g;L5EeNS7Oi2KgQ>67Eiw_RNe6E;DX$FjWy>R`erY7>y`Rt zEh6sG88{)(NkLeqyHU=y_373fMF#hbBOdL0C~>%*|N2MSV-iOSIcxv_{cd%ySwcAJ zd&kED{tX&h^(zc=jvwe-#q`s>(osS7%j`eOEJuVMyo}rHEFUS!V#ac=$WEufbn@0W zeSs3!jdmvsh45sya1`0@e3oY(W%2duH`RPllj5wD&549-I!uiU2b?E4*m!h;Q=fp} z%LmS-|56lOpB}iIa#l&;BuMSXl=CkyFIWB<lXIWDUXbz6r4zTFtb)YoqKQ2d#8ng( z4;FHZvc3B@L##1D;lTvKr|Z8=*m-`ItAYT_jD?004qj_O!PA(bqP!!kfOluCeQV=h z+hwi_`$5M4)GlRMZQAXi06L;klwrx-ZQ#VRXkw2D+c}VtO%9+n9gl7vzGRwRFV^TF zpzxgOV1fO=AIS>4Z`bND{d7ApX=06YhV%vw5h+l+Z{j^Uqo0wRIarz)0^gb6Et%}c zl6qDtK!Rh(<QCCmAKx14GKn?*QFN$m{k$r4^|OU0o59ux9Ozow|N7cm?v(P~{jLX; z5(GDJM6f*BGs`r)s^iqQG(ip)#`;U4!E3mKl0Xe}kSr(!3*z41+G@MI{5@Yv{O*2N zhw19e8$=^D)<&}EFo`jCH-LiST=2}_C0FCJ!OrLX`ru%*DJWAwi~tE*_+Rz@FyY?~ zaG=%wd@4S-sp|8yvyE@^zHj7+fSNStdi@elVgAk0U~?Ky1o<<gIc46eu$LPTwl_MY ze`jRu>WwYCnYv41hG};L)ITgu2P)S_ZT&Ps`w-ZsZTa{0Kxd&XIWh~XB7%j<@xh*J zYon`k4y|%nu=#fb!_rHd*?G+i-u_JxtcSR`QK=wqwpp%?+0^m{A6%c+)&KiE|LH|{ zdEVRSE^j|MS-m~?f6nnk+>EmuP4}#8f7|P-u%6=u&l>UAl8Nm}>ELv?A^p7E%X@ow z--t17T#){qlZ|PcnXf^>mfQ#yE_TM{X1=$#<=Sq0l=U#CWl_PEz181e1wES}1ghE& zIUJZY@sPt^xywodGAuGim)6BvpI;Tay6N(&T-8?s3#K?6?~_fvzppm1{N1;f!_18Z zO3Ft9YQNn~FM7ZC`!CjWlT^J`G&Mcf?R+M+f7^EHNR}>8vdO%^Z*Th3Q&U~v{(1AW zg`@HB@9*8a+K*f_=MsGI%^*^ML*3}pwfgA%ms3;g|Gtj@yDRAX-SYWgUS0KW<B^>7 z_?y4&*C`7U_W%3!I%uI2>-^h`{QPDbxrSe`tNykIG<fiC=X1IA+oIZG9&4kvs@U1x zTfa~Aikp1>pN(f`oBMYNb6n}r3@*L;<ec?;o-Lfa<7a?!lIiNNpvyNCFX`Uy^>A+J zsz0yA5ydBCA&?o%A5|Y56lB7dzvpAymv?uqGv2y6IkPxlUm5IP_kH*MpI7g?c8i^y zoxe}>{hrT#@wb0(o~Up1>BC|EZmm*9&`F5)zAU-Ya%=AHDxI9Yes9?JlbgTZ|Nn10 z=xj!Y)wlC@`yP+{`2Xqjcs<Zbg)4k+Sbl4)tq%xDJ2OLZsrU4Ao8GS9|4&QVz3+<N z<-o;mo+l@%KE1QEIA~pr<=(d^C#$DEIM66n{wrX{w<nYRy^eN?g3fU~cZv6rxT2=O zt{popW*Q_mnPgwnIbC+RonL&zvzoQ8W=wpNFR!ee%ziBAtdal+Q)g%ABBAFe7dp3Z zx;yu3)c5=K_4`3}%CCn9bI#hVVYW=$@%He7Lq5Hcd0VrtGQE@YUgAIBPIrQ|+#&OO z70Cy3TeIBnaM%C;eSb60vdhbSCxgbr)6UPEyHflApXc^VYkq!mROP&va^&&H6Ia9I zbp=$Pw(*IIRDDQ4;669w%8H3{0q=Lc*4z2@TJ+OL-TKo$*PpkKFME4ysb^5Hlxa)X z_4;3z=ck;TWBF_MoHu7?8t<x&cWL=MO*eYkdgd9wv$((h-gsQ@bdjwSTi<I3f$$EF zcd^@b<M+jY4rlxuJ6$jK$=2&}m(NcPkE>L@T()xM%BZi5+l^9Bi5%0sk<2E@*xpm} z?#|7_z6s#aXy=!&zh*4%;pyk*!^zUpzHI9nj)wai5)ZRH1swo+X|X&1&nJ`pzbp_t z=l1Qc{=OfFUMg`hd=%R8R%5}7y)8123<@8)*ebA}-UB)y^4*Tde4usJOG8!$+3_Df z@DFsLWUj=W9qq^a<>#MlbyR&d(>R^WQ*rX-$y=+RfX=^<si=S0y36-U$IjyCugp$t zo;+>ZvZ)=Q6BK6&Ea-S()Tr`f6X<L{g<L~JL(pNGpqg~S3kma6D}$G(9B5#i)skSd z<=~avl=I(~8m!2AV+6X1prdAG*z}m9PBry~W(Lg%&doCQ_L`#cP)6=4+o#@L$>%0k zNd5Zpbb5T+t7p6FOJ9H4dYbQg!2HR!asNOw;7JSQ($;`WlmpIF7=<}4)gLeXcbMP) zgz<Ts$*&V<$m+)K3bDVlI&AGK`Iywx)2?<g3Yjo7^S_v;8~rL$<9%gD?XQ>1qaqXP z<#KF(SjaWAZYrIs6}sh2??WvIzO?wQdt!e-GW1})WY^O0_(T1LVC{38{?=St;%QX> zuSU0d_w*Bw_dh@AC9jaflXPa*MpkYyjv!OF*cGkZ;;&X6*O>CG_?+X_-btV%7x~+g zkM&Ia@}*?wgC=g4`PQ!v#sB*xephV%WBccyA06$^o-S!zbnfYmjmc57)YmOn=t=P9 zQk80MDd3$~_bb!3`tAMw_4UgRSLMt1*i}xR2ui`Tu7Ga6w43d2={WJhz1jzj>|bgR zetj<Iw)0?s1Zd(XR%;IPtLq(t%3t=jSTzQHe9+AQDl|nSNyDJ#xT(rM=Eqev9}cqr zlKZqYV?kZ$x|qnxtheePwu*oG`gqr_vpb4=9zA-!WXC;$>2H`4kN3&euTBnb<CB%* zIl-LQeE;O5qurbDh)>v*zwf8p@pF5=T=M?3eg9wI|Nkmn84INy57}^s96HzVJF%Px z)CXFWQrtgxZQJ?Q&Mor{GR!kBIN0APHo9YS=9t8SBI6Uhp$#)*jW~=aM4tMV&j3A` z;L`!M7rpGl{My?EbxtsM)gNSLy1dYteXsq&+#hr0E1w8jzTfkCPArE}N;F%M2VY7H zd*V{Bsatk0&AYoRDk}ZLp&RDA&;OiPX7}sGVxJ--{_wP=8q6ZXOZMjP{~IR%yubd> zVzr1B%x7ntUr%A)x*+}hymJTs{dnBZy*Zk#N+p}kU2fk5`I>(MYIl-ZOpSimx7SFP zGu+;2&&<!P#QeGVyzO+|H#{l#cD5;$U0of1{%ug|Z(EkW$7$j@k_CtD%D$*6ui*ar z`ug>XA4VR`E+Uf{b{;O6>EN^D_zpQ6g`MYp_Ap(ak?mH)e(Yb2e+=gxXNl{(GA}PH zx?6hv<$p!NJF{1~t`I*mN#gOnU7t>An|9Wlxd<}({nn7mb6)uHyYu~;oe2tiG(x_J zGri6gQ139vUA*tf(HH8^nIC>Nd-~}5_hf^fY}Y?LEfYT1f4iCfHD4<9h>u89q0DW! zAD}VIugo7mC~p9_Y|`|3?wvVMZ1j}xinm;G_euvvgSwJi)&G7z-^z91aF-*S=@FYZ zKkMaEnLjnjoGY`jk?h#_>y`G}MFt5K(g&M(JoYGURz9!%_tS#(3yxD0%Dj2nH@>lZ zUY#U7;a#9%kKqAlwqM`gYClQZ`EuFpC$HD<zxGbNL!en^e!rY;)c4i~w@2DDmL{J$ z^#A?;fBxU)52fFcJtHFdV5Z|GyC5?*%ZCz2R6a1&*MGlTzSXE{chk*H`x%!t-eJD? z+aNntOm0<5SDw+Kuk8mG>N#}O%<K#=NIsM!o5~c*n#9prs(=1z-Gf^V>YJ;Rg635` z;`FUC?BhPC;UplmU2r|4WJ|@Owu1Q&y&lbyT-y3pv0=v^o`;6H3)UGVKH2`@w8Gvy z?r*v`J<6S+T^C$`=k?B`%PQWVu3Y%$r_m$R0>h`*E6N(wzw4~7RZ!WuapSM@lP<^8 zZk*LW^l<NfXSXZP7n0>N9^Os8y}Q7X;p)R5A0OXhJ$T^o;rWac{wuP3oL|MT`0`z0 zb-x!0ruD0wjRQUxJbKt^xcD)nfAs`r5q7zVV*#=i5f5J-sK_w(xKmVrS~tq{!QR_1 z^q+J(MEyGIGwERa`JRXW-6tL_e;e03@$T~zk9ktwMXGPIWtQR3PP=j>b>X@Nbu;$Z zt&rW)d4@mII8_qVy7V=Xa4Gm&Q1_<&q0xgmCn~zV8H$=DRhcdwdM`P3Ip}iERn2oc zIBK6Pe7{XPZ-?WAugs?JSkL{ezZxFTyQS0S?Q=Ed6#{?GAL!0LcUvMR@*|670_zmR zf9+o!b!IMXHtd>d_^47rXaawS{&)4}{egEZ^uF^vIdr|@eC_eSE_0aQE{b?~uUMG> zicZI}^1exT!q@~CGhIB-m(Nz&k+|bn#Di5WK8`=mnkpB3{q=hN_2(k*3WF<mMZT>+ z76~f!XRWNPIV-1|Cf4{yAYod^o}4F{Nh~u|KPEg|c-ncY^ce|expjj7ij1%Dgxyw% zRlaJZpnO}&)VM++ayPH0_|ldg>V3UJjFpZ`&mU&9v8QeR@%E**7R#y5Ld6Zb#$GWt zY0Hj(KQpQG$@zE1m-(M$h46-XBrKCpJ84t@$v&m2k!MmO)3LznfPfdmhB`XDZF3yh zI%E&7WnJl{+Bn7W$N_;zrg?WP*4jC+1TL3IP_*P(w8Fp5WoGed-tP%@{4bN-UWaux z-P~6moo95&^l|01xm^cp85S~oJ3E}5%6v}1(j#lWU9HK^BfM>neSx+GlMCiAR%td? zIUw=AerI|I@2Au|`(w20r0?9GAa_N4ideFMJ4dhLyl2IA!U>-HYb1}iR5)eTO4h1= z>teKDf9(C8@K@|19!v#y-<{vHEq5FEl-2$PVI0ce1#+7wZ(Y>e;Ous#_x-``*=Lm= z=ri#YcwFPzb<gb|!}il`<xE{1%z7@0=Ku9yG4a>br%nHHuu?)$_#pE{#$&hVpZnN( zFu{y(;)BLBJzeZl#&uViuSnfWk-n9BAZZ(?WTM)!H&ZOQA2BG%&yl|U+47_0&Dy+k zbKl<-V`aK;XPo9SK~kVo)S=0}D(!<K<3kAn?Gx;b%XWxL%&9o>S;6e5aDlv`z{d&4 zL|tMS-a4$9!CSxBsnF(x0Artk`Z@VYZqXWgY8sdCzm|ScwnCAoN5h)s%A$1w3{e-J zsVTEeeN>msV18`DvoP&|*#YYgbcV)F2=8duJjdbK;`4EWi|)f)i*`7=88Edd9^kp6 zbMvW{(}lwG4<0=(Ke+A4uY!KZGKGoYY0qp<P1j7r)6s>kAL^qYS}n@j*;_4D+EBO9 zZddri4YDfD-+t`<VRXg#R}0gLd|}rM@mm`|KmYzNcS5;v{^a|wPaD3lf6ghFaOAyg zsEYaayt@9}@A@^MHC1Wha!s$+M6!HpV&G3=bNfCij?J^ljXBong!Akj>`!hj$~e<^ z)}blt$SJw^KN=QQ++(e;^=ktyJ<gwW=0NwW6rSsHtJidFZ4g;h<>14U_^|o}>qqky zu{G?CPork;5z|my5h>FhA#g&OMWD&ihU505x&w1hF5uO4;dEa3z|Q?|(}r2!I@A~0 zib&@lKI&BOVQ`}1ZQMQXz=jrwvQ6G;ZQlHwy!XH0))F|l`C-bAwF-*$4_Fl)HBb68 zlv>Q4{nq;&M?~t8dx}5Z8rXMMi}Idg*(?+9Sn@1^N8-nmnR9pcwi_Ee-7)&N?9#dK zoGm*TnbZTVCR~a1be_}nUB}``td>wa_wtet3)w^#H7$BPH%9Nu-wnq%bFg$Z^h$Dy zSni(R%<##qP<Dm#3MG$EYW{7lC+n32v{)8R>~Z*2X#X(J<>yJgIF*p=2jq?`31~2t znffj`ar3W{qQe~KO~ysWTApPuSLx=6H8wdZNS)+xytpEV#Z_2cxJPvxYiVuHZn4HW z0vjsb-ux95Ve$CovOtO7J&Y@2Ge?UQXsBZ0u^V#dl>!1dZt$#OZOswcb~?y~ss2~Q z-o&#?0$D6NlANZGzEy}dK2S{He#**!x+72X?-5DMNmW6Y{O3&lGC5tv_wV`iJ^r@y zKK}c$a&yr)8P2mdzrL>V%X=1Bzvp~~ZrGa|&ANY;zqUqf{CuVQ>;B61ai^zg={-F) zWx}UaZ;zdqR8`#eKCizwT{}2NYr6j0|J&09>rZGiP3w6gYPfr^t3nN^DD=AVL{YqX z>!R)h|CW?k&Z!CNQ<*<u$&|@OKW6+2UhjTYUNu_l*pz8TOT9cY|9Oc`5Bjt6`!D0K z^Uj}dhaCO7{#E@nr&<5c|LtG!LT8PDh;zi1*Xv*XpS=9siIbPppR%lf^?pm$`Tu*K zZ+^06a{VH+$)`lUyhXo?M{7RbT6EMXXlqjD%E+ZL7xy}RJM*d4Ay8R4<$|ilo!w6B z89V3Ls-!Bf@70u_pwIC3oLHli%K=5@EZ^<N!`t>cy_s!W(!jAGO+{td>3xSYH}zjX zV&WO#vSgByw7AC8g?p-cHKU`nrf92*Uir=S)GPaupk7(M*Tl(FzD%C9sKe9im!@a% z`{1kh1DD>B37+rhk#+Q#M@^1p=XxpS!dIfHN25xV116-fd{^%LP_(M_a7v#L>&K5D z9d`<>=~0|1rTL;(((`kKtMjGZ2`LV|Udx^DaGaj$xyC(iv4gHeE=yI;?)%`OGS}p4 zjkkHap9C6ma{TK(rmg)wDb?Mnt7!S0iCN-DJf?c3PF)n>w<=6)`Mv3rg^qT+-aA?O z^~af;#a<UZr>lG|SBccLJ>KX2=%UHfe}OYSA8UCW{?Qc_|JN~SjjK4*KG4Chd#3LW znlmA1XXQrm_?p1$Hx&=g_vC+nZ~m80OJ1pW=aqRLUor6r^YlMfBK7uXPI@GzX}w9C zt~&Kef=bBeb-Slb|J?N@*K;oaGPU51ziaG%J`rBHLxJ`CicHt5dGSAMin5e1ee7KF z&pO3rsq42Hhhis|FkQTHeJ*=Y%4{XM=x=JL{al^AR$KJ!xX~4~H;eUal%v*Eec{p# zGTyceuPhL;tgvw1tERpEeB?^;`tU%htE)74MJ!i_FLt_;D3q%{XR?u#)*sMKZf90B zMpaeqnxg&uwy%e4oDpC8^b(%wT&fH!;$3F_d6V^Q#=f=j`|qucnj7018@6uZ#i<8P zq;yS9L)mzxREnRSDKxqvB@=PCeU|I1>P^ol&703q{r=Q`%dLXFGsK=*TBo>#{;vOW z;Lh4sc_x<bb@vNh{;Hlle|z$hoSBn^mUpx(${VJ7x5h8$-szrs+&{CZ$YJ8-zpuXj zIC=TfqeEJkHr0uKjf!Ny8l@e@@x;Y##p~k7z2<2vZy#znn&7rqf`i#r;^g(jS8D^- zOtg`cxVmUB_qK^%_rgt6x}<lVRt#vJb;o*zR{fHa`Ig0LY9AD>YTP{eK7C!VC7}Go zTpNL@?Of-tR4DzmDU7^QVHCRPe%<ff*oCu-A66Uv3F>e2Iw$e9-^0}3ddvBpRjXMa z95fUX5-QQv==v0xeo|uPi<P3z(pT)2Lak>`S~*=L>+!CFbN50!6sxbicl^7^POxng ztDZ_mNpx9#Zp*KjHy>TJV`4Uc4zbMUH=nWfgTsS}TbjN$NXr5IVp3N|e{Nb4V)v;! zG{CRyt-aQ6;Xe3U053t|6P`;Yyq|sQ&bN3oUslraYS~I{=eC6Hf$D9u^g=$QPCN6! zf1!2$bv{=K&5G%Bxg7gsSE&cTI9q>P<B)p{Q?teUl}l{u|J9@$bG<WsApHA)Le&1c zTG`o7Yo)bJlX-T%-~WGKUvGoLgop{biu@YtJCrQUxCD+gJZVlzUwT01esEkP*ZCbC z3aMAzoFW@d0@=A1cbTyA1qx~iaOAD<++)smOk^?(dzZJ<u`4$wu4G7D@s2f%!Esmp zi~idd`dWs;Sxpz1H#D~h^6;$7JH4(qU~aSRIdFE}n8ME(c#TWEF?2TnNq%X5E%7sk znGw6YuB?oV+$pU$VUp@0^#fZ91W*0H=s(|b^_^(<)agoUx4)@rhWn|$ys>82mi!>y z|Gl@xxOf}ioZvY6JU~sO^u5`Y4()Y2ocQZM2{86pFmEg09T=d{aX(?fH&K13ht9(H z6GOPZ7Y0OWY;wQD)U1B=<qDbHO(M<)95t;hGaN$h@GoOBP-%1sjo}v%?Rj-RM8NIm zffvTjdWPEf-2Sil$ucu(fms`O^DU2KrH(vYr?|FGs*(J<r0V;-wfo&ePp;0ryUTR) zsYmzb*9!^VTq||zbm4*Tpc^__1vQ&EZaRR^Z1tMH^#iDQ?TW3c`}K19hc~H$??n$T zzpu@-DN1nStW$sC3tTqeyB5``^kH??fq$Ef1Gl~NoAUS6(#w8=ll;17TnYcEc1?*J z<n^qBJBy!hF?wJY#&0yE{>w%8bH~rrFIai$b=mQpdwU`^@+|W2*(|@cX}4@hyZzsn z{zm2R?&xqa7FLAJH)C8aAbrP{XTlDP84ONb99z}|C@QbxEazIqezhjhG$2#KNJHyb z!TJSr9EuD$n4Z5-lTM4C#_`z5Nm46%+nTcQ2YgK}3$IBuHCJ=6a+tCfJy>gTL9V5J zUwwS}-O{MelX`8(uFq|j*0Hp_`P}#D&c|Y>)=MgJu5`J2P}WsmQKR4P*NVQI8^z{v zSfngTJv~kCu{7`bbz*ztbYrJ0bl3Y#FxFCA5;!;O(vG|}aaq}G3j`80KkDxmN<F>K zIqT`gpO-!_vUqt<Yh8g=a{sJ!mQBjaN4f>BGjbNxOMetQ)|zDZys@Jx;f`_*A7XRb ze9%23vd#}>cQbrc$S6HMO*itJbF0JDgo67U5}lVtuz4`MbpBww_2TyS^>${f8w^Cc zR<GOTb^g!U^a<=8oVTl_S+YTUye6oBG|-S<p|7^=&>QB&2R|+^^SwOdXOVZI-52&x z^-YgbJVNH_M=-u&I2g4hW1?E*F$E2QpfKmBA)b2}b|ud~!wi}N`LwwGfJQcJ_koX- z(^D_@|4uo1i)Ce;s#}!Fij^^j;v#Pxb6;1wC2a4~kKCWTU&hC4vfSFoORl?q4BED( zbm~*d69VrZfcLniol?`dTEcm>aR%pOIfuyl19zLckMVrUnLT$?(yheI+^efXQ@^~p zIP;vCYHNbmBhNc+v)L9kZc1b;ZF1-hyuGsQ?JcHGtGYiscq9xQK=&f6mo-1Q6u8(e zb7`AG=;J)6SjXItW*p3hYCCn+%igp9Y?@FI6VIl&sD6TO*vcnq6SS^~oI8FSbZbj< zTXSOl1nW<GCkV4KOLs^eZ>x#qjt8})o3`0Vo9FS|pXXL_UZ{WWg!SR?C#SytrpXi( z8)dRabEB4c$JP&^16*Hke;0n_$%2w>S^eqTdcz|V7w5k$sfb_Nb8qKKj%HP6h0Q6C zGy>Q=jvJg#Ir-SpK)rby=Y=Of-4!(739?ltr!~|or?t#9Jvcw_@KxtEOz*@_@Hb9= zBBf{`l9c=?@QmFGDV8lknbU6wZc~(FQ97tI?{SZ$vD@*@2^w-dH;!1#Se1C>_gghK zH3|lQdb9bw)&J^v?Q`7UxtDObw)_+Jc09*B`7q0N-jlapm>*$HW!zJco6ycLFLvh# z%j!qp4*X?ltY@+pnRllr+i}8L{lleG#LNBtjz{gO*vJ=jG}pC3cZa}i=7neSI!p3u zx8HAP1MLLcdG4{dACIqj*SkQEQoH=4dOy>?#%12$Gi^(V$`QSzy?b7t4SHA_{q6Lt z-s-25%C@FDJh!rP-M96F;{ji{85gV1zlwBCYBD{`kQ=tRK&)Padx!g;ySh9_j${Z} zJGq6tf4X;f`TJ|k55B#<z4ceoY2ED^L5DtHsgCX|K5KgY#SK0YR<_J(a~BmQtT~aW z%A=jsQGB3BI{p2<y;kXL2UqOqi(uolY&x+)DgDwC&zo^P9(;~-cP#BVtKi2HdRXUo zYrsMcfy)QGls(uBjgGN0*9$rxZ9L+Trl31Pr9Nb0z&*tWoxiz?SS1suiflAH*x}Ck zw9@7AMj4|;H`cZv(9AyQoN(}z?Wd>TcQ1L_dUZ+c=3A>6Vop9V&5PC&VY%c#C#)r< zKj&7V$FK5L+O^hK^rqC^Xijropm6zM^d8VGA$T=W*3HT78rj)D-5>m}=Veb_7v#KZ zRmq#&*;fPKNkre#{lB6uoV(Nga_ZS*cdcaq9ynLJH2CwHeZM}uD!ll<S5?{dztnAG zon4^0&Xe_Wix#hC?Q?kb@v>3&MYGTa?{<{rg<fY{#>SU=<c;To*PlvvtL*cNl&tb( z){MWlD!#S!qmHDe&Xc!=x=cUa57a9vpYng9XmKhkfn!ZT)`>N)(Mv-YOLcWz;a(%S zJN$?eXpOUIaFIjXmn@CBYrTRru3wxq(W&vS+!ZAO5l~)XT5sbL7=2orN$2X{gok3F z<xqETUz`3T%PcbNo`8;3^n2?mI^NfF!b_V^o$R>R`zGjtKzx+9n-k9t+c~cF3LT&# zwdUt1xoOKIKg&1XWe<Kjcc=8yxEj%ymu{_6{HGkgW&59Z%jarct(nv#1zz$Vzs29I z=iIqT6AgArY||ENv;l3&+B)aVV{JuWx!c{d&aPB6&b{aM^6tuoCadF>v(DX~x1ydS z=T4o2Sl#~6JgEz3uI>5Azo{la^h*5+j?6on@216`72C9QALtZ-;H;@yp`Uu^=N?cB zm;nmowX)v}ila}<f;UqLO+B?Ye!AK<-O1K5fxB7rX8$~G?#(|ns4ijpuE1{R6@GhG zOcXRaGU<BCjSY!*ic8k<vVH*_=)e3x+=>l+(;rW;>044HBF%H9>7v_$<J*4M{{^k! z2-#-K&zZdDX%B<rs@va}d(J=CoBPSAdh?SmhLe}XbpE@F-s_&YP;1B4sDp|Rx_bhQ z+Z^xaE~!#aj9AgzwZo?1<eyp5S!G5?W_cY@^Ilb6zcPPw=4CZS+1f2qTZ<2D$-cfW zQegoLL+6_lf4mkt3SFOAdhK>mmU2{~Zhg<^vJ)L%^=qaI&Nedtefq=4M{hUjI!<MH zf7PjLW!9`~I!yl*3j8MivFyI)-MoX#Xh*smXGQiwcLnv-mXZe{g>wxOoj<aqqT? zK3U;)HR9FP372fuqxaYCUB_aRer`_A{F5n#Z}05fd_JkHu0->Zxu>u1Rmf4OdCJ<l z^*2jBFZy4*)h<`%k=|`8S#fro<yOIu46ZjgEmB;Tp1#VsX32%E(?lE3PkT3o*ScRr z=~9r_v>$8pT92Mmdce=L{QR8YmM7_%aqBn5T-`g*e)o;sPaO_^DJ_fgmNf5wv+1<a z)>EMN_#t-==B?2hx6(jIe5M_2V*T`T`TSGca_et@F)J)A+@<F2<KvdAdFe>7dYj1S zGly3CUf!7OK6lz3iKDMpOkBh@=~S@4t*UYQxieSzxpsY&%sg}Qd&#FKo}d|Lo1af6 zpDSBoBVYGpVZenc>84(0Q`@D@^VV!%*v9vY`}v{oSFS6b-R=3)uPrz0%LTr-#p~{6 zF;*1tbbXvy@3hx{zTK|4{*9o!3wN1rnK*6Qvez#I7Po!*y3lOZnc{QzUjA0B23=|v zw%;c-Dd=4@H!IiOX|d7^?Zm5XE^>)qe`6E6!sEH*!i<Sq&u|DRZ%R9zxVB@8=G2`Y z(?DUQ&!p}*_hQSE+{F>n&X4pnDsSjn8zpIdcam7K`_Z;~(6t!CB3UyGywg5}yE@BW z=hG8li{m=GHUECx@iYgo8^<J$-tZ~CacZhIH%r^Wdn{9f_}g}{uod15)=vHR=jXY9 zSEeQ&Q2*BGIAxdNm0b&0z3&iImRa=i-|zRYZ*XuhWlG$*cI8?l3$LtIh<Ho0!~zi+ z+o}-I1!PmFZq2@4e`-hJ<1O7BL2k8@Q$$yZ1z+G_{6Nn2SAd{~9H`;GY=Xk=hQim^ z)^3$Ld_+`dMRKr2mlfzJ%P+@s=Y-$gRcfmF=V80NNn#_s>27nUtn9J^Xf`5Lz;k)C zdF#ZcD|JWAo286$@2N=|E?B!(SNLQ2<`4b~zE`)^|4g_iwX@P8c<rPJZaJo#69v1D zEc5{_%9_c?*0{h(uX)ePHQsX=Z$EZhSfyWNA6l;+cE+w=pxKh8S*d&<&(hXKMMrcE zD&i`iPTduy@5RY>FyN_$31`EbgdOq$0SdMgSeQaza=WlPuZ-N5Gc(N2;MbW2-tl|i zo|$R9Ye(Gldc9Z^M#DDl=C0k)mbz$ut)$B8^7r?CRfkO7bL-mLXycVS@BZfRe!DFv zocHNdiwE9~j$KTPd>qXJ<|xce@Ohe?{jjsa*-fPTyF&iKH)~TB9Go_*-;dR_4KdpM zYwMd!meuhr?2T`$C#Q6J^_dl>u+NszwV3cKY?HF`ih9=P=jL8M)o^>oh0_UstTD-F z7L>oewRH7cBL^p$P0>-O?Re_KV@szxB=uw;uk8t49hPa{&lz!aYthT4(_b+PiL+}b zDX_9c&FWNmClbPcLZFM8afg5;N5A16Z4LDaGCWNOEO(u3yE#quP`~ZB8D**qYm8qW zk!9g@UTet0{#~G+OCXEu`8#N99MTvM?AmodTtHkrw6Rw5xm?ewU2PskwgII9D_$tG zfEI;ZHMG8VNEoz;eP$zjn9qj(tvoDo|8w@v+gq}Y^Xwa4!4#ITHFsCaPuU)SRq2GT zdw%iFiGq(@PaI|BEI?{*8>E~Ncv*jY`}%3$@8)skmq@;dulxB_XBGEtM;nf)yPHzI zr*$`RHEJDvurKj&o6klb&^-wsdAB&)FY}qHw1(+WfQEv@8ANOQxk2r(67>_pms&P8 z{z^*HI%6lm)@Pr4%cNKGokafQniu>}SdVJXxl+P$ZINrY$w?zN$HocupxNmzaecYH z_7e>3i`#ov$hWa?>sfzx)`>}5IXBfDR^0jQQkWQDw?m`Chm(g&FD-R7-MOPQrg4jW zZtpK8Wwp2?(~BA(Ih<(;v<nc6@ayhoW4g3H-rn}cEQY}N3L_2S>_^#C&+V!F{N>cl zjmgK;Zi#MXg}0|||JDC^9JISEx6x%{N6~=>#!uIx^DUQjfE(1DZ-tj+-SJ(ay+Zfi zF-w8uNw<rVzSnV!>s@JSdHl<WL-22N&PFd)^X8C+%YJyRxw$slT-HQ}r}++O<uSWN zvf8xvWaoWrTJ=Hw2YU<tlf^~99=5*EWZ9oS`Ci1j;?5&SZ$;G`A9TOIjf07^Q}ff+ zuCt$R<i_eKI655gb(7GMWSFTc?A~bQVAICAMWvtp;2fSPx1R~7JdI0sxJx{d+!MH7 zL6_$fOQ)0Gomb4qkFYwt>AYPaDB#Vs(eR_jv+59DrYP%@7YmZzZ?shi^c~chr*O$I z`ItrSq6&iq;r9oWn76st&viI>phHu!<JzD1ysFPzf3pU)C^>!5+9>kmj)A4mjE-Z+ zk9*IxDm5u|jAYP{k-qWpaQoBc^Xo1hT(!ftz}|7e0sdBX^T$yQ^RYIc7vwGO5pkQ4 zo44b}`+Css%v0(w6%VXVJv}Y3|NNoQ>eTwf?~;omxAR0^ndQ1*fxwTVn{Jt5+b4a= z3tzo}Ptf%3u9F<bRqrEhS9|zuSSGpPY?g?FQ{(~Lq-kB7N~StWhVyJx++kkuaKeA) z<`oN;JScKJS#W#z`+ci9nK!E6D?@8BvmD$c)Fx^;LFUYk!f)^HuD<(%rGBx{^Fu}+ zclZP(!=CueGSR%9&3jUDh46Nfjgm(?7i7&y-GAiV0%()@_}%jRZ+9dpD6N2BdC;#V zbV^#lrZC=dor3b3NlMq$BtB{^wCT^Vnda<vWs@ntx%uhqi*8<N@sgYOYc9{5i$1Hu zH@co%^fQj*=uUYbullJwz0FR=zkjhRZP7xDq>Hw0f!^%#CF`fy8h1N{f!dcT4*Wer zmIkxl-kvY?<oVp=OV+QlPS!PM+Fh12mHGE~&*ypjrW>>gtzUAu{mJwBVV?bQf0snG zurICsc{eSyHc@rwj^19`ljm(yPI}Z`*!(++g$s1p$qENO{yHC~UG<A@E;|#YE`DvD zTJNinUJZ$$;7L!vPXDi0Z2!Ce&HdfcTH&2j^K5^gnETy#!Y*;P<!T#`wSRyuCODOP zH#+0~yEXdz^-FTpdiO`C{rPSBv+%Z|X0)ENaN3ev)9$vX9-rr2bY4JOXYTy$3{*Gh zw8+)}`{*}4X2)Ibw--Hc{ypG*|J2>XyS3wUo?Mw{S!Uwt#`jYB_O_f!tJ`Aq&(7LD z&31SBsWtrfCJG((J|S&vH~m_!^)qQ7Z?{jp3(vEioA);~Zr;w2e|FE3qO%m_1)_~6 z@qc);POQ<%4YcAh;?&`&Qel(O6Mwa)9A5uZHBjvDmiqX0FC-&(%FD@>FR!uq%Uk*L zZRDlrn^w!bDa*O7b9nuZh*cqL)r$AUDIX1e5LtI-(!@Utrhne|KXy-C)z+1oxzg!= z;c*4-I#s&SMnPZJt+ZYI0<>xCa2xOE0CvZ{Zs%`S&wa@r|5r)XYx>kM-fTA>wjJqK zrt8-wt1t8Wuj5_6f4N_!+q|ErU3^1+@tx>6cfK#=&F#&>dT(z8yxaBP@#o=|<6pLE zo;<$9dGegtu=;<uMRwL*dH?kr=kK!9pX;kbuC0$%t^WQdC{n=R>*|eHmzMrkEjm8q z<E0&$X^W;$-u?6vAMcW?m_27^?7d;Re)aDylYL)bPphug+n=_>=KK0H^_lyXA11B4 zy(!*ru8rRH?`Jit(sZ?%)}$zQXO*4T@OFC>n=IH714@X~_EttLJN9XN#@2AVNOj&< zA=9}ZE31F~-L!N5sk{57wdyOMiAok;mW|Y#t0+-(+^kYnIsM$6Y5r+`mw(%S-+nS{ zXN=~~-~2O$MYg`b6Z^{ijE2m%r23mmJ3V*y6mXY@vvivN`yIk_`uY5GQ#P-1JI1}f zUSax|y?0)wL(W(cyc0D4U;3_^|96vS{&~W^%l}x`_3Q<m{!4E5)p~Bq{qDFkdqS`D z$#=IVes3xgy&|C~yUSd2V~Wb9cU8CJyAOZ|GnfAR8dLM}s1<9$mRtAlg|0TPzhyop zYpSxk!Y5wQEx)!O|L31p^KI>x*Eg;{U;6rnO4zKeIm-Y4WjM||*|FQP{*FmokImOV z(ncvqG}6mOCO-`S60rII<V^`b)mBDmE@lggJK2%BL4$Qekzae?l9Q7{qo4kFbNhbj z*PMB_%Q|Fk2MA8L-!xS!C}=`TOO1-k52c0m(@vg!csKl0iNh2Rxv(p}HOkxX6m|Ov zh-WPQ-f#ae;(55@(iYS124}aBryl$_f38rFT>I@o_UV+0|0h<R`~Cg6{G|H5Kg@r= zU$pho3eV#C)B93SEe%y#Y8n4OA|s`Rx&G6`-A4cJMW<X6K7aZ|wDGF+D5FV*CohGX z-oKuHX7a=OyG9|Fo1!K~aNXWpz1`1D^zHoJCp>yt^dj-=CjWmzUkXwkC41@rqr& zReF`O44yu2xs#{IRe45jIUyCCCbVGU+p96=-wi#NxfPjcU7z%KYHr8H*Z1~TyV&I^ z3O;Z=WSXzcc$-^)&w|ZcjvYC2V(s>Ovs9gRe+PI@&pM$JzB#QPv<uEl^o^qS{O|nc zvlMoHpMU?v4Qai;6~SgHPd*=gy(BUDzGSjzc6^xb$-g-drE2pkJ0%O7C(5U`lnL?6 zPI$LA`{Y&U{0ZsH|3sVTo;;DyasO|7`_t>qlBbug{O$Nxu!pg)QRkNZcUQLqEpKKC zuv}VQJz20>Z~o*(cf)xl>+9=3T(LZ<m-`d6|2y^0&CTiQnR&Oj<?iCrZ#H^moOyC3 z56{D%D~Fa$;Ee$tMSHGfAN*LlRk8n4)-P31+}fz1mgn5s-cj;q#{a2x|Kgl?$Nm8I z$)`TL;VhLf`ISY@?wA7GZkN<2XT$#~`v0xm!}lrY`pNP`yXp%HF3(tfZBLn`?B$Qo zdUj6h*^!qzWg`E+2;KUfYd8O!!g%`1C55A=^%wu`G?)BXcJ;}VWsP?XEvlC59r$aM zeop4*=Jfu6jbf!U7UeFfJHCQ_bKYI6zw=h@zxVR4qSU^a+fTBa<|GJsURpWZ<nuj$ zgG*a3pA+`Cd06xHf-}G8WVL!<6HQ+qpDFF~brEH6ZY;d(P~*3&SnFNT@wP8-7Mf+< zUGS!2wM&9~J&&J&MUo)s<hrvR+J|?{_w4T91v+=ZH11o)PG!)MFxL+_7p|D|O8UvY zn3R0MPD5qxXJ@DF&X-i`xBa^*ro8xBBv*Ly`**UJb_Xj<+I=ef`FgWtYW>#rFK@^B z&9~Am-hZ~@gw*G)vyT1!d!Re|<;@GnKi8l5=9DgOe2DM(<;zbNu}yu_<*58*{(8Ub zxi*F`87qHpeg1U!eBm!bDcgTW`6lnHFF7OuI`Jj-?6t)~dTO68f4e<n;+^@jZ9NP8 z3^amQoRvK?OIFfwYPF`y@s`uMD?|lE>a%79)QVqcY+knA>CA)ES@RwqZvXv8!}Y}q zZR3&`7j*6mOFQ0`);jg+_eZm?&kI#H%(^IX^h<~TLN^{gK@oAbiZEfv89(OD(%u;= zdQSH0fo%&`XkBf;%kuI0{CY7qkw+B@Nmni?hO)4727X_$J(*{_nCsgEcW1dWu*evF zx)SVPU)d*TtM$q>Yhve-t0pfqS6<o}Y5KCPFu(He-v4Pk%hEF6d*|0^{9P}xX1~1c zr>w|*PqHJos(POF^0NB<#LcZO=2~Qgm(Bm(zBlhFTTYy~e%}wDcT%PiYX5#l{=EDC z{q@`#40pcU{dKb{dH2v<QZZwC?f%%!-m9+n{AqC9Jxi_r`*A*I`Be?(oQBnD?rs`F z#~1i225dLXUViJ{@Av!Phg>^4_rSN1W2VP+=H0Z_YFS?~(Ku_W#Qpt$KApB(Jx^HK zX?~~pLEVRXI~{K`v+-Oo6mzpY(8}zoWFaCh;`#>E&lQ~kIvVoTZt#*ohDkvSomyiK zHp@T`=&L_xFCm(1$-auqc}l>Im)coEOFD}=!&2|X_+QHtm<Sqw`uoGiqxGG9qRYHM zJFB&yd!__cx=FeE%@g#yzJldlj8aYBq<OIcJG;0F{+?8n(-71U>bLnf=T6COiTx)} z$iJ6bIsHZ5)<@!<>toNWUux^=`FlA<^78@Zb7m7}yk1}ba_N*=bB*FdSM{!)*Z8rm z)c(|hI@y#5p@*Nyd+9pPHJ&!v=+nV1D?go>|8COq_?l?8SZgEsa9f+Qt(9&?`}s7a zEuT7`>gy5EFM4;!a;?^m-h(q6=W*>gcCY5Muk87UW#Flu$s8hF@p`RWv#-B8SpM$L zPD{R5N2Uhcl2dZ5N1O_{e&=^Z(2;;xP6U(?_Vt*!J@D&wLgxV*v-5jjp5f@S+BJ*q z+LI@Xjj|%_Z|?c{yr<-Y?yVP&^GiP1d<gKlRrcah%r)WTm!F=h_r85<UhM6q;qx1_ zZoYSY6llC<uJyJP;>BsHURBTbiHqsaQQv;QU}?x|LG|gehAv5`!UejXo95p0x_V&d z3UkwxmhZZ^w@mMg)tmPCRYkmJ`K5_VTq-~9yq@~u!))WbN#FnfWAiPF+LEO`H^j#C z<)zdw+vcyjYJN6)``Ia9&b;xcUueTre10XnPTqW}!mTamPdI*h^x9bYo!B<Xh|l#W zHz_OgOj^Np=F(lags1yzf49tvIaZ<YsIPFhkAgP0xZV`a;AKDFfNreUUUTcDp4ySU z!CqcnJaRS>`Q6-X;(Q<F-+3x%alKPH_x!{}<yj32b^d%f%zx{ua}Ve0#!Htjnbdo7 ziXVR3l)bX}dCv~@5cvZ4gFC<7%09ew_BQ#%F3>tI{!@o}?Q5&r^zEf|gR(CdtSz`6 zpz(fxR>1Ord#qDedxbyUwbNVl)avUB*<t68H2;1&-`{sq{{EjqeCl#j9v$s^?X!~i zO4ppg@}8;P`d{CkPOW@r?G<@*V{P}GK*sv|udmFf-0z>eApFFRlOFp|v%jpEn!7*e z(&?_~EqUtYtKPntynQ0i+o{jzPdT5qSD$m$`~6jQp_{jA1%+?D`~TCyqSG(VcpIPn zXTSDeoNChSC9A%#3*&z#qMCaB%8`^mOHLG--nwOSu=3UqP*<7dd-rxGhZv5=WfPcr zzOs~aA8Dw6y8qaw;IK2_S>}bUjWRubN+>?P)tlX%tx)vs?d{jKefMw*u3o{x_x9%I z<z<o!^%gYk;9c5Q_x<&C{qPS8HUbjy$0ntg>$tD$P2J3RWU>EzyH8&(`&+M0Rwy)1 zJ98n~>*S>UJDZtp+wQK<0M!zrSKrJu%bnGi*O%6PW!2t?^+jikx+FQL+qFg8gO6Gi zG?)BXVN;d1FXN)p+fxVrI-Tk4>^+d`n4tQunT=QK1s~|vR}Qt*pl4;`i`*7S2^%*> zAHE&hyqf)J@}nc2Og}9PAGMfdUGb=I+s(SPbw}Ud-``J1=kHw_{%*sewz7ir7tB2v z53W6uZ_x0${`Ix`wO@l$AGzJxX%X?2Ia7&kS=HB9JDb{@oaWa^s%Y(2y}Z-x%~nP& zkH^P)OHmG7tjs-?C*KM#pEPWK82|j}vbpwmaMPOGg+9MZbA`?tu++Sp*7HPmm;UtJ zY1h7LpFEoT=+nN<cMm&)`u1Ob7E60q-#jKz&mVR|pgxg<i;JaD<=(q#huisIfBAf* ze1iIs>Pmgjmj(Vg_xHuF)=yD8YI^Yhk=mSFTReAa^}l{!kZ2I$%w$kB|AO3cRsQ1( z9Gkxg#W7_|9+7;&$xy29o;=gwxN@7?oE{6YDT0&cIUYM86+XqZyTKmh<g*Gke;&;6 zblJSJ9{I#Yp`YGv&b7L_k|(7fu}=<4eK)E1({<}zt0c4TecKwH?gpO5Sp%QO>6%$R zfr)ccS6TFqs`WW{N_~Enf1Z2M<krWNFaPLDp1ghcV{26873e{Q_sX_Jr#l{)Bv>6B z!J-82g0`5-Db=6wj7j!gs#%}BGivQcmq$s(@rUz2ZsK5Ra&Su86sPw0M(+N#C0t8n zcl)z3P3yT~eNahYC&&v=B|d(6dprEM<=Rdi_yK~qC%yYtry;>&7q=s9m)ZKfWY;E^ z^{UE_Oqa}(1vOY$WQ-Om?X{h9OzqL_C^n|`_1og=-FKAd#h!Oc66DZg(!TaiBq-^A zUFbEg4UV<}BBp64Jw#%2s#m%4fkxX@Gv!W2sjI7t@0`r@rKNAajkf>3-9c4b*6M%F zPMuP=Jx%b0KGW^*$fxIh{9~5>{l7fO<<T&guZ{g4VBKK)=31R|!r}UJ%CKSCDcZ@x z-)~ea*K;gc`D%~x^R*LavzbhN_Eqcodc)o2YAlC3d*0mH8LXk^zN$Q1jIrC{9Vi@B zIX_KM?Pswxi;hcsurFexcUYdCPI&Akr&Ck7YInZ7qrdpBl8}H!;j5lA|DL74yr2@s zR<cf-Gq!JqU7N6^V*PQ>t@}LQ#)<H5a<vl`Ix<x^+H66vim^`RT94fPvq}>>djf8} zm>yquk+pA4s@sFjORZU_J~<VqyELWqe%H>(IiUFwhn0<%-F4HRiHA*Fz3b}dbn)H3 za)KXR-9X17uMA&5&re3o>+wOa(zxKstxM8UVwV=_-;6Kpoc!tT-1;**Q~sWQzfnOj z<#pZLt=Bi1xLz{W`mru*tCxC_@=0mWJ9~QHtP#}?J2NHLZ2#X)zuoniW}e`%kD4^^ z>e}q|+<kg4k9TiBy{$#W)g#KoFtp*^EY}0_Eaz5kc~UI4b~;<3XiCBPU;o}DZRccQ z%r|oK`muWbzNmDI-0SON8FbmU)pxHfbWXH2nz(4fozm;ERRL<v+LG}rdCq*^=GH6K zD7$3B_of_yJN8vyUijF84w#5by1O+yT=DVSNvhr@e`T|n9ewV&l|>dsm%h2Nal-jy za&|HTCtTd3w&kpRt@I)5tT~gs?k%m`!k3ohPd_FUylqPBNu>$OOqs|1PyTs2duhGn z*-u7aCbfyJWpX(%yJy0(2YuG>F05mDwfl!r2Q&1PHSrVD_H}!X96xS7JO9Q8$Fy^E zG?!SbADC}td}XB}>$4S&&b^Yi6sB6Q%X{?RXH}($V9<{A@T=36$^^u?-bq%!SlGVA zbF!M#(T7KlxHvvs_!hL4{QSx<w>Km@*WcxTA7#nPvGKCW$}fRsQCm*zk$Q8GUH-~n zL(R{ZFJCT|l@*z?%y+ic@8+AP*JBo6oqFg+y@tW2<0qs+@sKlZ_U!QNo5}{|KlLIv z={f!?%~bk$RV!+R4wIeWjdG1h;fZo<AEhLSuQn6=lKaTlZN=TDOWqeP<J*cpEw}&c z*;g-Psl;#dp`py*xZ5A=5o_Eh6&2+)m_r_>GA#Qc8`=<<@T2cgmA~!OK-*b2I9}az zsJe0dw#K>R#?#As18+|MWqhUVpsG>zw;bC8{nF-sx3*+1*8cyfYEiz(a_+5ybG-k` zmQS=5N;6szF!9I6<MncrLCf*AgGE%9L@eBGWpMhIxPIIk!>wt842?c1Ez-^M%k5KF zE|%RFI-7rGsG0QA^5t!p*3_kVt-V;s%l+w(d7ixj_x}5<!p@pZ{^q+%^vS}U+y9*p z%<fsBc4d8h{BHLe$t8^sJUy6P-)#8$`g(Q}6Qcv?L&l$%y!A7WvP9Q!NNAjQHkg4w zxnApv(P#6<IiRIBVT|i`zq8uQ%hKi$_6Yf~H7m&3*DvrNw#HNBaHnhg!UqAGH$=G4 zterb&-*Xv0S*s<TLXSCW_kOwLZJPNg#$uXjw?kPyM~afNa~sb^bAk2Oirf>v9}KBX zf9JMRI^1>Fww2R=^Obe4a7eh>Z=HJmpUTeE1ml&#cg3Q4uDX^_77XEEtT*Az#pyFE zSlKF*&s>uX2@F;9o1;-!SU7Xq^F?I~7CiWuSa$x5{C6+VrRBmh;@_FCX5Y=@+!wvG zXla0?tde13{UH`#h7~MlKE>a$Iog%Va?II4!MW|j0s{x|X>0G~J1(tWzi*W&d;Oai z7Z=YGsf_;k=%}pHGk<H#+-C307Z(=p>fbT#0H-U5PkiOmsejD!zw18&`Dw9R@1%Qs ztGU--`{UejBtu^3juL14<)yp5`qJ*2{d*WDvi*?m<`C6-<L=c_er{j#QiNx<{!rR| zl0%E-zwwFRUZD(`4<gwu54=t6`7gPnu=7D&L&gIwL$jP42EHl^@<$pMFw}fJD&7Y> za*YxF$h9N&Hvj*8K4%yZn3SAc`oB=I(C60T4oxjSLp2SfBg-6u4jm1+R#>lF`tD9- zIp<aOMeR+=VWQwu4G!^xlAgR^(G%P6cZBO~c|Xgoy-^U5w(`NXu-+_>=V4zD?b*Jq z`k-6F(Oq*l<(+1fytH~@N!Zu4HLY@t=8}##55Nv!OZYIyEO*xCstG|7PtF}cK7lQC zT9)l=_pS9B!OMDdVt0j17M;SCyyyR`)$2>%%+*&u#&Wc6N7uKvw_i(4t^NJ&<dc(= z=boL(BeeL^=5+s`zh1B3x~qZfky(hWN3XqJDF;8xvFU>TkNci-d|BmabAa3R#G1aJ zFBbQIY3g`+dHL--p^Z$^uD?^2lnwrQP1VYLmaqGsV~L!-u6#Z0AU3?`uw`%lB6jU+ z*<|oBY&$~Znso1GvFc?q`K{mougaH0!b4H6O=5%0cE@Hmt2~yiEZa|RN<D2NdGN$? z#~6-}5;`|iukz-}+%aC$=E$0OTQ7FkiyeF?_)cEnD63Cx<z4L&kO%IrrFa);f0X8V z@aO)n(rj6e(_RWE+6_0QY<7CO_xrucudWuW@6*sw_nY&fGICYe+F6<$ruNtQL|YP~ z9>pp&?MOSJdTC|w@;@fo-+P5vj3x@+`1SGeantPWVirvIwWp@6J9R5+Yfxn7?KOQz z;u4s*Gr1eve6RPhnWdL)_HNqY<9(+cruuH39O}&V*GbYS>5;1fbDr|^_GrZ$n^L=N zKA$nJiq!qcyFyMt*g4{d9PY!{Qk{-pO4@dJOXlRdbwVoL+|382gc{~rm3r-N;n0IO z6(_YAb{HQJu4j1V*Vk6fQO;2dKAqc%-IF<cUHk2$qJFhoYdP&f{!sC(n{m@~_QUIc zKfJrxG!^C8wcOm<ANIx6ebtM-IxTW<A@bUvZ`t;{0}m>L&OqS)m-ALohsl+@(I<t4 zQ?QbES-!3X_{g<-)HByEt9f(tZBfi^#d_r0OV<-m6Q=dFT)w)Yb|c3VUQjM!u}_hD zay6<z(8H$8X<yuC|7mOQs_ROHOc&$L*81-I?8}LRwu^FgB{)>%d?$a6{(kybF8k8x z)fGFp#O^r~cB+2Di?yxdOw)Q=u7BOIcO%CWR*<2pSu8#?8P@JGN!k9DpK%(K{;$IM zpjPJ9)kidvf-bY|`o$jWv0cfa^zXL6MFyI_Ra^Qd$B7@ky>yr2UZzU>8LG;a$IrY= z6yy*Gg<p`w<VzJlKRtbTX}{9l{-s(=|16Rhesbn!{L;X?^;wse<gE$mI5|simq)XJ zoBH%gWkF`|y{72y=JV`)^xS*BS>dHvLBZ^ajSZ^G6AS0&=<71=1GO+tuz9#xUc7(J zsr|sWmXx1$j~ye|2A#RSCdyIjTIbYbUz48JY!$f}bx`TUYOlJlr_Z_E++`j3P2O)} znO14_^0cc<>*lPr45{C6f_;Cfw_8*H%G`^fy&y&t1y9*e?wznS@){RABkn`jw2OY7 ziM%<pAmp#?#JAOxmn5$Bx)dllfp1%z&jtm(DP@N`dp7Kuo`g8E*+I?d(5&yEqpD_c zgO(E~XD)y8{B6DGCcnSAw@O`JU0b12Dq^^Dwb05?t$b#U&sC*;OXmfAP3k+9=ju=< zYoX@*I&50l*VP{0U&A$zl~?}UBX>A2eg_BWbhlKcOd*fAaz4s;Z7jV#I>G|Px{`m~ zF3<Wu;XvP!!bXWD6V~ina@o9<hdJ~3yWkI?`a!0TyX?n@#QN%oTyoX>`wPC^S-+|7 zxS+3(*&B~ZMoYc3%KgsX;1XK?FX_~+gIA<|rae+qS$3lC-<01^kDR=GX-%AH=G_Hd zTXt;NB%)uo@BWY5yvods@hL5*_I`bJ^>XK7r%aoOmFKdvHU(Jp?J~cDcJ^A~a`7px zk0kzmslS`DLv>?JnP~mWMLg@4%wrGFPc~!=owjmSj_w}86Haa`f;>w-<JPvSGg<R5 zIkBzw<fhd8O+}_E-Y<iWifXRZxGL({ILBpiQPU^JpB5`R+?|p(&9NyB+qqrKYjKq5 zQpXzCmEp?Yjb1;j+?u=ok1ME+**SHlsidFhZ#gaN^7?mk{I1)jo)VecIpxdyE5DVR zwP%K&Jmb}9`-?U4<Mn_wn)lr0G&sT>BQ*LR=I>wm&AX~5UbOz?H?P=*S67F>uFBHi z`(@JkG|wlVv;JOO?EbqtVt1^f;)K?o372M=9NrVv&BO9d*6;F@l+{Y=*54L0&ADjl zqqVx^OQ`nL$y%@a>#qwPHTIg~zG<#ywcn(^rK?TeNY;C5$^K59%Du$rrBKlNG}g=| z9qdn(?XEp5=zQ{8#^e6plN`<eK*tfQR>f~l>tig09<cVRF&BEkT1n$9<0tV+3_gB- z*GkhgTGF}B1giAG<!WT&*7CbhX^sYOvGQ7JXV<UR53Q>d4ZB>}zW-zI`xl0iQ4E z@ZCLLx3}ef{myesX0l!2V)n<D{u}KtS^g;QK{;D(+uhye+25~EP;_QF6tutYZ_d>9 z_WwTiM>QH9<@<bDes}&sr3v+|JqNDLul;t@#_(Iy+eNvy>p7Na8%>%pLvO+q+xAN; z4-0m^@%IYfD7bWK($7ml`(iRzF8J^Da_Nyh`l4<(UHn(B*{b<cy>;m}&6mr+WWV}2 zdD5J!puUygO;=BuykphVC1qPBI@e1rzOtYw$Zw)x_Ql=?bIHUFv0E}G>RCNM9pG0V zpwS0yg0J&;7QMS<iAs8d8sn2ID}%o_xJ|vkIsJTA5aY*$W4@wsNm2p!9^DO0hs&3E zE?CFgZ2L7+BBERLcdik`#|EDTGeDPj?^@P7drbhS8UF5;p5@Vn1{Rm?Sie@dnl@B4 zF#fH1KDRvO@v+`r{>S7hp9tDc2(JHsEW+{VE|#M7Nl8uMGu3X!*-z15r4!6}bGqX- zg`&0w)=T`m{WAnkIJr$=Jl-R@_^Cs-D>oy@$%juS7peSgQCW4p=ld)FofZ*pJcgRF zn_8v>=FJa!CHmd}Wze7X_p~=1`u+L5y?@urn_e4}{#S?oH?B7PKR<Z$k>ckzhjQva z-dQjAb^1s9_4`--_n&8_yyS%a!PWn)SO5F}R`k(Av&pBrOhVTML}^+rRo%9zDt=>6 zNa%V2!E7<cc#ew6f>RjSqPZ^rH1asS|KC^rwLJkx_@ejM?Nx&wp>~9|A*o4lu>%hi z3v+V4SBAjk1SS<pnU#0EUGIBNR?B2PT5tPcv%K*)IoqliHw2R$3e-37yCvHFdb9S0 zL)i?SU7p>R`gYRRWov>1_$RScE{fmLb*xu<IeSiw>oE(nzs0*Z6j{0DmES!sUq5H1 zz{2Y4M^-Bq$@n<?I+dRC{K@s~V@@<{w}Y5b(P3Wm47HQ1x4v*x_<Kas)yvQ8SLf!Y zO^53LuRMO<V^-DE{_`i~s{L3m&kN0ITo857+2Tv}*I##^=X+g0ogbR=)J9g}RJP&Q zQ@<bmuZ~|<QrH=&<>oW<|KF)+D_n1CgfH8nrug*A|7$u-m97VT-F%+LU15E^>O&sC z=)&aVeKR|lHrXFxa7}!4q|>VG9O9g`ci#1UmtcztM9-W-Iy5b4uUOH+2K&lSPkd?{ zG(PmGE@LqleEayB;i;2vZf-V}G-_!tyV=gE<m$6G_JxjxgjvpvGc%3d!~dDyek|oQ zab@W0X?>xNAI;uzO_>w8*v*p5KkxX5=TS?RFMmDNZXeGXAJdfjTc6VFrPp0Fzh5&s zcTb0X?v30-?2S4pE%F(sr|E9JlYUldf;h_rr%H)vET^Lt%>P$k{hU!QbnDiKOTYA$ zIKB6EU}0P;F1o%;fn$Y69Cz6fy;n<Dii^Hc(kNTP(Znk{L9gJY2j|}DEq+W3oBut( z`$v7APkq{?nJHD*s^(Ry85f_^yFc^HzjNEK#jVc0y)8|hZRgaS$6qflcK0pN?6!9~ z{8B6NBIqm$o}Uq0v!)h)4sbhM#(Y8llu`L>0S*pE#~J4&rbKQ|lbqC`)I94z)2lU8 z`cAW6XL-Dx_h_4Z_N}w?Y*WuIytz63^o@<lz1AnUwQ`HsOHDt+Z~rG@iddPjq2KSA z7>gYSZhbN@L**ClnD_Fl<f^$rFMGZ1&r2|^Ji*Zz%o6{QJv5l5#?j$qhknM(jsM&Z zc)IP#K34lE=E>Z@3$q>^Q`pv&;ICt6ckjs(aDQWW+1nnkq}809oSbf79k!mBtlr<r z-niyQ<EkwO?;EGrpEF@xH$m{0!UmCW&bA_lKb-Gc&2n$ekeIr+`n%NEBW5DpeVI2m zrGBcOAaJ62_gvK;!O+$p!aS{y7PiYx`u6s=^w;LQ69r^CI&)dp7V)i<y?yDG^4{#N zm7mj`r`?e(c6I!;{HFENOI_k0)9Y`AJrMM8b!+33)q2zEo)!|!Qd5uWlbK3xjYn^o z?VIlx&bZs8W4b~glhc8Gxg&?w*xr;VHcXQDydrc*O@psYG0>X-*n@-3OI^Fg4y}{E z!g0s=&XvR-&d?TxP^RRca|#!(NYF6PxvF|%nnQ5Nl#h>(&%S={NZRG){^qCHQ(9VQ ze7x1gtEYUY-fo5Ilur(Ynvt89yyRA8nRHY}(PKwNKu|!#yFH)JJ-KVuqq^4a((W*o zGbMN5CeL?Xu+F+vYp>beT0b4B&*{rWTDY6o^8S@Erl#an`8^ZxaCJ*~<zo1G%`%Q3 zO%0O-<1buF{@dH&>-MHX@cL1~Ql_bg%-%iQ`BO<?(hRl`ezE%8>cq#zVkLKEmU>M! zn!j*|gI9o?!4!cLEVUaiEMBo;uUI(WvHQ+#Jc4)3zg%1!Z7zI2QM%hgZ=tI9v>6rr zNj^8^e0tm;AM2gm?;PmZ`p`nGEJTzk_w62q34F(V{|PcB-RymQXYXN&o06cz)QslK zSQaf&$e#D>!}rotKGhTI&;0!Se0j#A(%09R6hpdmSb`=BF0q-hHTY8Z8_icQnp+zt zO-xW+`*(-4!kkM7*<W+%#_zkcLT1P8D<X{^ZVIB3jio}aefvXw1wXJdsw(eWu=MyZ z>Bco4ZYQ`whrYiN`((cC);uKv9gx8_eRHkL%{Ys$h%m+r1Q<Qyj;g9(vtRH;R|-ow z?>fchuaDo~kyYL0U;qk6j<}=WPi~&2^njbm%dN)IyZ@^>Q{=>+AJWdb{(>B$p!~q- z$KoWob&Y0;b7`c~=6F@*iIsO3rC#xJTlCcHmCYt4kQxOM?XWXBf{!D81wV)}sw&@8 zT6&z7i7|cB28S){bG7Q3x*QS&7wkEtI!$TP9IMH-pWZCnn61jPtvc5>N+7^UNH;v_ z`9cm2rY?sJ0S`yF8}0v&N$0DG$8w#Wu;sdkv%^V`C9#IG>y;L8XfQo&b>K2``Xs-~ z?bDiN92MOSlLYf8UV1FT=;|OCz;Z`%LQ_wGcw^92o7I|3_mmc-9Eo01|HT3<DbiSS z^2F!!_WG_}B7*a-iZJF21{gik4XT<0Ho41TSBgvb)0fj#lsPmcPjX~c^+CiNdL6jT zdADXhJ2Nvm>&gnaxd>yY3Z9DE|Nr0bWqY>HQhK1v<mI-<)vG_41?(!1`sqDuVs>77 zv+N;q0BJIHH7G6MSmN#W=<(yHr?l6LAUi2WLEr+<nns7v+aWAX?GCGW)~F<(ou)fG zW=DbJ>&q<ftz2sSz1)=k3Aue?*<$AF5^pD4Bj$b}H&@C)Gj!FIKR=((e+IIRjZsy( z{@#Km$62@-Rh1i^!fuDMF!eXM8x<WD4WDt>1RP8X3sR0qPx&&#K~cajCFOXZ?5EG? z?N2}Mw|{rF<oWse`tAJk*VbH*Tey_nRhdcd<cY7><MnH9EOc(qn6v$yCIg3tBqzg) zTT8Bk`YAsjb?c|RzP9$$tJUkPO#i9Rt8l81+M1=h{a#h}tmB}Q&Nd|-<@)*Mvj5VA zgH3t;clTCr=aILI30oVb`uzO->3(yqPA=}Zn^p4$bOlDv%}qhed?eQ&6I;=|@BhE* znO3E%1k@)4N*g3JOwo&tlB;^Lu*YoS^HxqKxsx92<MuAey}j)aC`I#vBEiwKpHqaX z{@sZar}g*ih-!yT`Tc&seZbvaxzpvtyt3|;-OhdXS=D>miR<z8y3yNm3|SV|{QR`+ zj@#z6vu9p|PHg*h(Ous2>Z;JoGV0G4Ea;K9k1Kk9Ztkla`~Us&uKM;yGd#X_>(966 zW*RT={Cj%3{(Q@CUtV4YwM0&Y$JeGF6Y!EYN~!M<(F*a9t9&vs<Zyzzx(ch4xUjIY z{+<s_S5}2;UoE`5tJK*1?3r-gO@bdh+)Pw!QsQp}^u(R?&<MD0m&+1!lB4m5taaHG z$7Z&fcN-5HiPekMT<OfZx@sot*N5%$W-o=Jwqz(ykE>ew=FZN`6I7BuB_$_6y_r6L zs%G%A8Rsw6Zz_I%PO|7>Uq`{cL#^CT*KWTzsZ&^eS8n#rO{qo|9}<4PTt2^vwf%VA zzrXM6rIm`VhK4V-NV~Ym_0uJ9{h8-yndNGM&Y706C~$D=k(l^s$K&Ju(pTB<e0p+H z_|wl93`Xq@?na-!-OfKf&$hZH-PHAfG|QBpisngj!Wt|wC+kma%e@V{WMo2o{ol}{ zr>CB7ixw+OdTh~lw0HOW=ku!dTDg<&?kY7(Jj4>Yw`!}3nwr#ij{V(JwL*<@7r9MP zm}CYza?sP$)2$P9rWcQ*gW$nbCeMQO<l}u)FD`cX{`cqS(-RYwL1%V4Ufg(BBmLYQ z!*@l;x;`$xyR-Oty@>DH*xlFG9tv0&V<~Bz)}!d6^ACKjxwBtWZh~9rkCT(tz58S= zC+&9Mx%2Zm>)u_-=O<Q39kZzY^+n`x%;vP-on`Y1c)!irvj2?YgNZ#K_?aF*f#oh} z<_b6ZbhrHe$vZoXpEmQ`72H-m#o5Ke^5Et2`P1qbxpvQr;JKp!I#bV*y*2U5iojz_ zLB};oPWSr$?k?{~hq(?bD?T0-Z?)ikWEYU|qh|-tBWr^s<$Zs@MQ<+H6>L)A7%)R* zlf&<n{paS{?%wn1l(y!v+}*`FM~~iJ5|E&vr}O3A8vprrYGzBlr|UKD;)&bJ{c?tR z{=9=%GA9_-drcIu{`|0A{*-k7o<t6X^K0Dwls$gj*q9u&KF)UiuPe=mq#65DQm(Cu zRQu6|5q%u5oSvSV+FN~OuA@|kcY{hy+0E2v0x@a=!c5wMPCpt`0=GOCO*=Cq@!hk| z_XjNg_uKz7Sl6<r^7E|kpc^Snv+J)-k+ZFuaeV?uop-1B!LJTFow)*wX6NlXdFQ8j z&W#Iu_9h%;x@_0gb~zy9*SELX$DW;=+kET5G3H~@i`{xdg4-+}+AYexA|BsUXf$6~ z-EWE2+QP@jKv#ms&-z@UbG_?_B!}W>d9$1u4psrK3SC(jyBpk%HWfa0dz}9?(pRwl z1E>^)#*?~a;>SHdpUv*wbzy-c^Y++VAG!R#vzEWs5MH76_t#hBgOks_-MK<mLt{t% zj@{4AKkfhjcfCp0l@nha_pcDj-}iHwqKcn#+~d3D_ov?4nmxP0hl6#UN>g_3($>fI ze?Gd;G|P=LdbshnL#(3OlfB>X6<f>4)En-t{%-bs(V87nPq<V$rUzAR)Li%6XuWeg z-_EzwD|lmkW^Qe1VQGGDe!nKU?6-qQm$-i3owU$ZA%bT&%#Lh1{JW+<g@r9<kqhT` zAB#Q9mn`NMW%6=!YTfgVo5|0piR)mtSX|kZ9nmp2bvZ0o#P6^BbbOCp)t42VRZsb3 z7d@;$v-Qf>>~LrBkp6}pn}2-1d;G=sjmPDTpB%c|)F0g#!SP5YLtOma`~CmtHC62S zb}L&=@2IJ}XO(qxN1)>NlP4xB->p-Ocye>8&^kjgX|o)I6t1r)_ocC{yI1%7ZJHko z^J-UpCb^Rw!O!>@QkO(*OuE?Ja8BniheG;t(FcF(uLS$IzU7Z^Q(vpzrVDcMrpDh# zCU-Tga@@mY$R(;3Vo<zD?7HpuJIQx+azt~lubUg_`RdBbWV`3*WUn%tb7nET6FI@9 za=`50Jj>!`$NzK3sA`xA@ZB+*06xm-_KkI13qcKNt~EDTRe!%*KD%(I@jr3R$Bu8h ztdrOLsh_s_!SAdc6K~9Wlf}v<Vo=y>Ci!`5?DLNktT`lp2wv%ua+VXk#iqXXg`0w} z(IHvp-xp1}vrFnZjjVQqTIiNnCvTM2zS23>$jaPS|C2z$B*7-HlQ$opnS5l?vk>hY z8yyd5mfx$HUbxo5CW67R$+3|CgK}q-(;C)1p%Wb2^6T&03A-P3Iy)^k$*SP-gL#SB zT3Q^(H`$6VW!~g$WYQFSGx2bfw}Pfu_ujpt;T#8N=j_#u*-_Bd&2Z8|HX-InyG8lJ z0tJ=kZynNw=`4*#YIpnvRtGQlt6XblP@fbZ!&^Q<Hh=QXe4bi^x@Vkn2`r3GqK-?$ zyYpBssVJM@tEgYhx#Ll!uV6ttL-{0^osaKt<#p{{V8g_GRirUNF=4WxbzDp9^-P^7 z^J~9Feu|!Lo<D7&bNj5^^)=~%whC%yi4TiUuv$8vU_X?up*KOudOfSxiRbg{^TaBX z90Ni)cC;i2@E_W^L*8$mO{UmeHQ!k?8lptFPMnl2zmphaRaRZUIsLrZ+l4oFNa=|k z+g$&@ZgYb5_U}$23W^hC{ZF1LdwVN2DMLa$`C<L^|CbAl?u1Pc_|P<A!IUXFkw$ac zRwQ!@Fl9~>{I_#w@r45m85YX3o~;UU4-xHb>XuFn=2%|x;b0rzqNYW{d?(K|*_OZ6 zw-WG}+;d>j`FXapv-Ve)_|(UyKh$TL^QvQ&(m$ur+aE<iZRdjA2X#t2M4uiH-qW!@ z;?>74hYf-rlY10?ZoJwHDguR>)`2wqisfVlHHigW{M3TVz6+>7?$_P6a7Wp#<w_IO zBo#06*oAJJw^EZSQ_<mzedqiS?bWxUR&Z!BHM%HhPnziPXl1T8Q~f~~1z#hl5{rvx zUteF(|7^yV^&Bn-!a!a)&@Ha&9A+e>Dju|aqAJJ%0*dFZ`Ceb~MW-`livO=8D~pSs z{K&K{e6?qeMXleYHP`nXzkl36?$7ThGd~yoI}{&waNYj1pDVMg73<>->f>w9O?w-3 zvhJnoGt200-fQ-+z5ea~wff?FF*jA?=g9;q<nx`JZr%Q5`@d(V_0LaFj_ndTksG&K zlW8BQr5t|bONFaK4Jh;se7^14EZXDbmo>51;m<?iB8`t*WISzD+xzEEbLPBuxXLce z)<YpuqdxECWbO7R&n6o0e)1^sZ|=R#|DOHzR+JJu*pM+J{k3Vd;@j)u_5bECn85y! ziRGx8&b80+*Ir*vKX>xw=I2jE&R=`H=BxSopR>OUP4??9ogtz*Bf2Z9|52;How(){ z_b$;>x=XvCb-(Feu$W(h>7tw4im>Vr4-STiR^>9>jByAuyL407V%;(h7bB*ZlLUq4 z2_AU0a-Xw`zg^VvzFC6hA6nknPEo0@ue6(?QYa><nKQBXw9eCo4PWQj=jUn8(4Q`{ z<)7XR{q2f!50lb$ii+MG$+S!poSdwF&STQo?}e`muLR`@t@*B?lG^=zy3x_ko->nv zsvfIyiwrz{i-ToS&jkOJBOQX7*LE!o()Zf5U+C{f<F=HnB@4>a>;L^+e$&;NtD-)| zp|}1>KL72R-qZDT`E9=hw6(wQH#54kbKZ;|hg~U4f|vWLu^L7)UOgntc;eW$9WFOF znFnq=F1z`T=JO>-QhzSZc(`ZUq6z+8qUX~8&h;-!IWIO(_(NjI^K<bVMCJ+?-KdiB z+%jce%jBXD8Izv=JE=G|iOcJ%QR|XTUmKRJNY!PzGqGOqQ~r*;@}*4rSIcTQ^4tA5 z5b}Dd(z>~F_bTmPK3&=2eJso0^LW^rh{R1hgFZj|`Fy_r=ITi^Zi<%KUQJQ7l;FJT z@p)co@0FhkUYjh#e?M%OZ+X<DlCmax`?^2R?f-jDy*jP<QkL@SM^ast%e~z!7R2l< za+)i+^@Bsg@qNjguGTBb&Hk=(im$un$+kxZNnPTTCLQI9I?uYapwZ@`;RS_0rH4N> zy?L$Fe)G$2o+qrk{e0z0iC~XaQ*~8Bb&7&Eh8$GrbKA|u%9N?83~EBnu`E88;&ygL zpp8kq-S<2vtxuE0?gd{mjM2I>A9Q$Z&aEvclh4Xj=WN`#aT$mF&H7&3&>N}I`jWAc zKARWG+SkSGh-6c?ZeH8VZ2dc6vq!D}x0p+xgI^yvZA_2KO>y;cwtQZ4lB0Fm3YU{} z8>2D=6e3K@eha=XjJTF(tyB5=$rOq1iW^Uq>+i)Zl#G!0KC9$YkD~f-og$%p+xa%N zVXs28w5EsaW!Z`wI&RIn*jn!pmBLc`{halCpU~~CtldX@stg6#UKLJUe|X*YPcIht z&$5w=m~ECDB$}f<)y7a7+BD6PHqDyy<lNlbE3}rB%r{7M>Izn{+GC!6PG+*2+|s3y zo70R^PE2_6IG|F^Z;nRx^>wDRxjIh&0`;t_zP@@UaY}!|J_B*P<r(#IUo&#Ve}8*> z+jmvvt%bGEUab;qV6Q!L^l0SAq@6y2`}WoTR_kvJ`I2*YdGC@XDw9|Jy&4`r)oZF2 z>)+EyN)ykmLpoLH*e_PSEAmCNmYX%%Nxr?H5fii7drcKz*o}m&=9i!QTjGOsD>zhC z<{BPJ?)`dW!{?3=jr!1tQ^!RnaxK+AJ?WHt+C+EDo09b*Wk+Qv&iyU4B&}5`=(>{H zgvp0~PCb(<cE7;lzOdxR)o+8smsNaxq}k>bCzG`+;NbM#DPr|pcX#e83ux1<c<$Ny z;_~wTEm>DjEpaL^-L_YF`T?bL_d#bpcir(7xs!ZQ{ad5!mZGPp)XZAzqs;H_F85cH zdVH+cc%z^|i?Vy)jAY0OLocLRIg%QJ9LmDD&V2m&>gwvJGt=i8>M40hx_%1?Q}6|C z%6^%Aagpn?TLxN8tV{=aO*{AJGC!{R`btu!=-HXfSHA?pUwH22eDb|X%3*@uvBxIQ zTvgM!81-UzT@euTkT`hYLH#Qe|6G=$JGZt~vs_YDHgNB<yjjl9m69MBvF~GpPVD~s zMF&+pt`@m2t$C?5ZTCZ?lQWfTn0%PK9Ues6SHIiy^q!TLwQka;(({R@rv^u?TNN54 zb?w5b1&!|Z1-2DR$|Cb0w{-=5_jB%Dz@gD?<HDePuHkWDORjUHLS1v~t=RfKCw3M; zpY+%|XX>Gw{9fFy-#VfbJ}$A4<x~yyGT>lqTt9)qT0r!Bb1b98B!>lB>)1QEzCG@@ zpT%`ZLtY{|?V@Vh(W?^j`yWow6wA6@kQlNi!tiFPBM;Z9Q@h{qd;LwRaR04sxzV33 zS9Ps#JM=Cja?bPn<@aml%6WfY;{Q?hrvAo75f_z2^%Ul#oRc_@X~bqd>~z?b(z2WN zU*N<iSD2a^4leiBVcK*^NolF>ckip8jxMb_`lv|rckWfDt^@Jc_}?s(Wluf5u30F5 zXUV!P9G=PDGeWjK^1Y(O&FG)Pvh~5CR&F=R0;#7jBhRS&&YJSato%aAOIeeQfKS!Z z=6NRdS5GhZo0}!V8tz=Gu<!Bq`*q$+1$5%~#iX5?F;Qj%*T#c)4>NUkH_IAMU}Dnc z7TY6ny~UdKYID{;4Zam70+J%4x8bJ_6_-rn{T;CleCp7t+2-pDp{EYr0Bv;U63F6u zav-#Dfh`Ar^81U6+XItqj$YVN|NGekHg6xFlHW^{-tA~L$~K$W60+#kgTAC5fhmp5 z?6YRbZvEh*5NmX3!_T5m{O$@9X8jk4*r4Y3?60Ky^EERjWIgrv_{w$kY*_Tx3knCq z9{F1HpL#Zzea&3=>0YO`la@r@opFK3t@^7Zqkl?Eh2SGA1x7#Rdxy#v-gy7HxudB* zVYhpQ{3fI9YdVs4H9Kw_)_y)~uGV(Qw2bkQ<Aqnx&dv^=+s@Ufb?}kjvg~vn<|T}a zj#O{CxUaVQviWY%kwdfd_uahn^Y(j3IR!PD*I5E<43m$kuvZH4H-mOT9}l_l+(F_+ z{g)RP*^JBcG@l)=P|(-#Gwb~Hvx)Tq3&*wkJ3EVmrz~k$;NWqScdG4ftuu@bclCX% zT-`cuxNUnfKSZ|}v`!-K*}t9P&(`-%p5*%3d#=_}-S9K1GqXd^f3s%Vz2?WH8*4vq z`d=`~WWBiZ+I1SrmBp|5XWno8mfZaAM2D>Yp}Cu6r(~6PK5{tY^8DP~v(XydJCc8_ z-7H>t?|c1{H8<XF*t#uZW74JF<?lr{J9;Y4m{cLO{Nb|3j0HJ2x~&`+Y}@<oR(2Y@ zvdXI`CnsN8=*&L(^~t`w3Olxhua9$EspxUF%zWXx1>1H=wKPgh{<2Nj`h0VZqlb;C zXRCmr-3hVXA(xCjM6<X)7jDg7xAPba@9)D3<xi>=)p^*=1nNzHwbiU_)n|&ED5&>D zcl(`5I-G6qOAeK%unYU0d?Q+&`f%1>F-A?z(pA%@Jqptk*a|*(Xk+vv-K0(N>sE%l z-7?WgPHjC~6}|lMZ>?CFxs!V~L~LRxn(iaR`ikMBMA-t>N*j)6DHk`1S7sFmUT&yv zalL0&-Kd<_Vx1ixUeCKuE=jatyF(qv9F^-FjSB^&t>up9@rO1yglWAMvOZ*Zq}f4b zNB44hE`Oo(O$#eFBnZDRcyNHR*ya@r7n_^U$qk8zC&llM(|!ioJALR!=Te2;+vY-! z9g;9V!up-J;^D0We6m(5tHahB9YoqUZTmQ?ULfW5xdPdrkKTE%)?al-cuCjoE{5m= zMKg9M$u5Q4UkWas|L=G}+vw1}KTfS&GwaPv?+Ykg{dwi6b?Uh;+e;hDB6r?VQrsna z-JIv}!;EcQ>u<{FYhQam@mlz_$$Y-2W{dpHdf41Bxu?MWeO35*Jt6D!Eqfhq?+%>7 zdWz|tV0d{wH@Bgo)RDdkf>$N|4^{3iduzn4kZK$d54v5!*-L?E-SHwD^Rgpl3p6%( zY<?>;l{wj+&pPpjpz|E&wB3aoPMxdgB!tAc=QOv-IHuf~czJ()eZM&KB<BG2Jk2EI z4q?Zu8@B&-S`i@9@iO7K;F4ww$=j^Vh(m|!Rq{h7Ce%3}5dJRugv;oVo@P?+AHja1 zGnFYv_w7+quDN+rsrAnCXSPhn)80;d>0Rb^)=Txtsi>!Gm<lZS+DG>Dc(eG;vC0;l zbazwYZ^oVL3RyGnuZW50GuGzQn(*?$W~F&n>8=VhI4WG-60V*o^_Z9SQh7tl-MXJ= zgPgk}U)JA{zM_@CGkMF7=wB;buL-jE&zmsyb@|MaFXkpI6?(Imf4k~_tw*VTi<g_y zf2mhIoAf{_b;;h<>vo+=c^dq=2Yevdr#oxI8h1^;v?Py}*XJx#yckog_}ZJAsa?;e z>aAVv(YR+-UF)k&+LD~2pKh&Jno!BIM@hM)`Mtn>je1A_td)WhIV%+>KhK-E>#A3z z%aTP-Q;rHm>`7}E4c_FRmm3;7YlWB4q}A(FR8%%(FYjuI7x*x%$0X;*1(%4$6IT3f zW{57`KX+^7Bfhy4(s;GJX9~^I(GJ=t&$2sqN5MU=6QBEMZT#-ANGD?F*;Ue0{C90% zyDYk~`HyRWkx<Hk`mNTk2l_cGeBC<cq<KqCypts^cw_7T9W`HXSFMa#w!&nscxBd! z2jcT5cs|}gW#4(;DfRYWQl{-%Q-5ZjUjN<Oq4$1eY}vB^q1gU&f9qG5MXY?r@4a3+ z%k$?X)<3tlnLU$aR8{`<HUPBZfBi|0+sAG!&wX#*e@F9o`jaaYByCIU_io9J3C#-a zJ9B2sS8dTPMM`s~Sd~hj_`YA?SA53Y+uKj<`?2@ZlUZRe<)q)S`^+`+{B`Gktlh7q zN%v236vyw+oqr~3lk2-z0p8j7SYDnM$W3W6c_&i7dGe;6hdj1j`F_9teu|fF)Rv41 z0oU?G8V@KuFqKq%YPeP0LwnBEx7%IotyA|+J@xn2GSffrrrq3>x%bcN)Y!^jORqmU zczk|2_t(9)c@uayuJ&cxdgjJ$=YPugd;iAF+c0I~yK>tJdBNAsS0_&r_MNv+<!*AV zWkZw!r~6%Xmql|^rTIM%I{oVn<uZ{>yt5;>E`CektZ2=r`593M#XY{<;86fMlxO1; z)B2aUwyusgS&{9`XsfmAHtRPdhG>`hPM<6$oeIn`Sp2Nt%;?tkdEfb&;wB3UJ(?go zp+-6KCP&a+vH2=*XNB;4eA-m|v3f~rbJwR6ll49CKmV_J?dg#}=lpV?JZP*ns(tut zL0p%^q=}1;dY#zI|FzV5zTe$<pQ2;h?>ZWs;HaIbQ=fZmk#E?;y?-tp<;n}?mSK8# zg2Oa9;>ujNRpml$thZNmoaWb?8GqUPtY2G1&}Go6g(o9}Vvl>*&gPXi<9PXE^Qu5= zcAxe8e!U722w-Y(DNCtadO@M{^_|=U9;<uTbY*Kiduu9bS@mVbNiL7HgKM(xpTDgZ z{dkSggSD?b%ud&*igH-j=>$rJ>1QQb{Nj~)wXJ)dd5ms-WU0xYwE;`a=Q~WA7&ZO+ z^z~|f{`nkrzilE?S{UOuFr_X((>qnmkacPC>2B2rSO4@oT(Ic62wO`Kb&BKVft8QE z%w7ga=!qK53o@NnWxAxq|8!Dvvajj`&ERDfKFNM!;jil3c50`IcUiLS_SZTUoX^&C zBI>n?Q0b)D>1!UQtgU^ybh^*B2y5o`wK-9_EY)_Ad+pbs<ZvhqO#E(W7`cAZ%k$i2 zCw9rY>nIiNd~)Wa|6Q*7`o<d%1C7;|-?{hNQSwXMZ>@#0w_`I6|0sR>x<vBi-FowP z+&@1(F4sMpvR}r2UVTRHQ9YfnQZcIic3(GL+5c(&rkmS7J(4N^%_sYGvA+85xu-TB zVaW_x95L&1%A6ka#ci{XMH?ACJ1uBb{Y!t-)uMFStufZ(YphRPN<Dr0tNA^x{x5IU zD?cssGuU49kxBC7z53LvT;5kVXH5%P7v}6WT~mMmKMTbKL*;c5b8O2G)+?!GFD*N& zR8+jVOS0ALyf<^>mJ=MVcRkqa|9-u`Jfo4d`)JPhcXyc*7nE_k-hQ*F>dKCphRJPz zemw5qRQfusm}h_em6Vh7|0lr@kGj(uJi9HneTnM!-ZxjTc&rRw-nZx9uh&M2hgw7) zFIXV`e&Vq?Sv!{d&!3idc2@n#35w2>`kkN7v?yG(CU*C=D~BF#Z#s4O>gsUw;{nd? z_tT#pdJaB5YVN1n-{0OYbK`$|!LGP8l>0!l-3d)suCHZpZ_TW--S+nW{`+rMEdlk> zg8kd2Oz*5<18rSTe)eY8KKV`GKOE+l{(5?ym(Qu%g2FKOv_11~aeRx}Vqb3vIzdY4 z_5645zc4gL24x@IDpFqc-1XP<BOPn*)SS>zsw{fS@uTEbxSon^-Ycd2J^y#!-nTA0 zEP7Sg>X}Ure?A@FzUkNbRH5Kyb3!L8PJeS>Tz&HKdewG**$JM(;+|)%zWPM7tnr&^ zqqp;}BJ=#I%g#nst0+G|H+QPMT94WB`u)F;F<kzp?7wWyhMOBajaSBOO=~Os*xK{u z&7H8Y^)XuJ_p5eK(TR0C7h%7uUN2t7Tl{Y#Q}+H}@4kzaPul;_Bye8c6FtqKB{S|^ z_-H0vy{YCT)6e_zo6l4*iynBz*Atw6PSWYpf~dk?#giYlAN|}p??gxJPVqwycSV=q zt$x3^zHLFk;g;PgV*h8EW~=QkD`?#CAt<Bo3)_)22QQ0bW?dU^UQO&4(M<YycmLU> zeD<l6OeXDM5i9(+EbP?#{r~6H*p;S6eCVuA5L}wavo&wUtJ{04%Zp{Y-|Z-T?32U7 z(wI~xlYRYKBTMPaOGiaoK<8gANSm$^c<7J)nz+4D^Xe;~Nj_F;ni3e#mfE`NsK}SY z8av!4+J&%mu{TL)O^WrHkbGcA+}<j;-i6<|BLa@AiMYO4F`4;gl8s+-9B6cW$%G9| z8zAS_`hC9Q#;|YRtrRPcA1-b`J{^A3DSJ|@P0Fb172C^u3mq?Hoi^FOXpc$OrI>qv zE7p{%m%06`zx_XRWz^njU-o<tWUhYQHg##{XR}Ygs^>4QlzjB<-K1^dp;M!Gef#2( zvu<Uy+q#S!N0cQC%LF{keXmMweX`g8*z#Ha4^MY3=@4)1d2@GfaGL(@S&we~J8!G> zc>lj6JF3>@UA$IP_T+=(&Tp?~1#PMDocZH)jb_S9&uzW`-Z)o2tzWv&QC0cN+?1=^ zewMxR+PT}=ck!eZKlwqul_D_>;pF3ez857~nojM|`Bat~`-sOv&YbIN^~B#Re|awq z@IRKscW}Xpxz^5^il$73+&c3>x3jIh)*aO3XmDzpZnj5*Y)98`Mpo8K%$^DXQ#U$< z7)+Vf$}KMSlsWl~&aR%T^;gf$wN4K*GReJlML^(oL1IAR^|Dxj=B7<fN2ANxPG;TS zw)Uq?=+W@on^L{GrXH>QSNr|$^TO*B^#3;Kv$AP&br`YUgq)W<wI4K2H~++mcKJGu zA4U^3HaAVYkaNQP^pc%Z&#WoB`5<@h?uD+gD?^sfQslT?|1)mhi@VPCMbA#@c3(Rt zX@2DD^y3_kbI*U@|HJu-$&%a2o$gPCUgvRypDn$9Wz&-<Omf@bwQ);++%o&w<-+|Q zr_KI*yq<UB#ILQZpG^D4yY&2K<|iAM|4&ws{Cw2iXhppIWN#MLqnQVLBn$Vt-To#s z?PplgmO5MM%p?>0e-kQ{lvl8duaVHMpTf92aN+c$bGUYFD`ekW{M>Ib!)6C38Jpa- zPi4gHKj}Lr<y`OE-2e3SbZPT`*$t=utDiFBR?*`tJL15_v1N<)lSh6JkMSF|JaS;r zb74D_Ed5S&im(SCn`1(s-=;+Q(_#+~HnU5I2i@CK*}I#mTtJ0q>oGNp9qj=P0l_nN zudaXOP%R(_zCCsEkqx`gMIS!u8k$gk?9Si7$m?p8V)#R3MYN7_I4bJ08d_&P>}>E) zY2og@W08Mv&xbj^^WDF`JiqbTyQQ8JglCoRU%B&%en5bo^!L?Y-q!AZa%!&ovvYrZ z<EozKZeAhu;MWCV{S(ancC&4gxBcR)e;zv1{&{)5<;0RZd*++R*IB0jWtgHH@3~C3 zqqN&^$ApQOQcp$Qo*Dd}Yen?_g)wP6BXT~wxV^a{c4hIu|9d55e$>pbe)^j;{&dsT z>LnGOQ+6gedAdp5estCP4FA)==GQ-d-fs5V?0IL8fWDI+U-);Uk7C=nkGl2ByNI-a z4&A%8JwN|RZT<4uheTt=s>=54SfwY!{!t;L^z*Z`EA_avSXQxg|K3&lI_QXzgOq~T z5%UXdk0tDCc5LA0a9-HJQ20E5KYL}vjDj_*4cUW?ZaB`Hpf-i~in#T%Lw4+k9R4qM z?>C#Vle;g~u7~|o)1#EhD++!*bKIcug6rg_-coKStIAI)C+FGLS6lD|AAi8cIRC_n zMrQUi)8+rCY`OjCYT>4gyNo-R`rcjOzqR~)U(qL*Nj*~5uCuP`smj-wIWGJEtNG1# zp}t<J&zrAkP7i0}|Ld{q%Z}qcx@Bj*cK&@fZIZQr+{?1(wLx3mavz_+yES=rjoBkv z&7dt6Hzo__Ge_7?oM@cx=Y6-H>*vzrclV}#m@O|EBlqrVWSZaa$fWZ5K3h#KuB5bl z{A-ZiYqB=jDeB7UD#nM6Kb9A{gO+lYpJMG|HWc3Wsd3YRvZxpnfeG2`_g>T4R@Sg$ zx8Y{cp=Wv1&s|v=eD>T-yV_q%ETD&;>AzxWy!Gu?_Il5qMNe6TN<TfR_k4L_VROyL zqv9t)$DYOQt>Oe7d$xOaKzKlOOfTrzvwLMp&nq{loi%D{sk<xL((%!8TZgL8d4<pw znrDt*UAOa@l(5Mg{^mOq+YW`je;XkO?a<pz6kO)0V!1~4f3!*T|MOxqW0VY!HpgeT zS^vt7HaoGG-(FSU{%`#Povz;LuAiTKyx(ng>W_W7r1{z7i|ePDUB8#1cW`t6*GcmG zrImx9t(o-7H27ZT=FiX0yfl_O>9M&g_3XOYg7LNU-#tB2+Y<D|ciXiR>0+4&*$k_9 z&k!t6d9rkQ)oB;)mABvjzpWsuwe-wKLB14~cMl9_?yOkMvv2)M{eqVp<pk;rCJBmp zi#fcT)VbI}RzdDCALOJpov3Y}4(3TPc^39>II+26ahzjR#FL$z%`p~N*?)mgTDuH6 zX>H@<z&GauO#@~~n`WgXxm&bV2>g1WH%}qx(Wf_Nfi@8vI?ErlO?Vur9$;XQ<i)XQ zYy9p*hxZihcjyXm;fPbMpVl--`s%7s<MzkfG=rD*Owo_OCm=qB^}{CPJb9}UkFd2- zsZF7U)eq{L92(`h{Hu;_{ZJy@{rZG>xqnpc!du`018JjzhvwX=Dk6^CUOrqj|9^AC zdC&-A&H8oGPZn`ri9G%N#I9J*qP?Q|f?U$SyzGA_pEFq*v$QQ`dtJRn8}I*HIf=PZ z$Gf&|-?o0A-3!Z^Hn|&P@^%^+RJWgeB`bc{*w4Ga$6?2*i0I_Gw_9w_{=D{jHb-SZ z#GSvHjY`S_f*dCs6yKko`@c@*=!C<KJq8Lw*RQS$h`1FedH2b^`S->Bb1hGDlz&-Q z{`HO0#%9yEthes<I?dMLzLRk?y59BXu2YM8wA*e7+>zc<aN>WXV@N<y!OjIK7QH=J z1=y1OW8O}S&NJL7tHGsnDaYFN=>d+WqjL}SNqF$3?JoTG=4SFGZGj%IIo!7u3auSd zZcKDO$>-08e1zML+jX6D9Rn4XHOsnB=yR8=RJn;5fvDG=03Lyesou^1;Ycm(&ZeFX z-dz#W^+y)76w5_!)SrKnqntBmc2lPN;*AG?J`MaoS$fv>Nt0ZigP*)v9r*tA^J&$p ziVr(@{yy;D`R>>`wk(m!TUSpz`K<SKk5ka1E3TFQkE+WHeCnyOPTgmcG3Aq<wXM;m z*V|sF+<i6GDF10a-*!u@IoE%zY_FbmN5)t@f0EHttzU0Hv3K>T$l9x2kG{R&V{^S_ z;jEPhlcRS`nE1E7|8)N6>!(-q$K>Aa{Kb1o=Vri`rOoFji3h6BO%?nwv**B}#0wkV zWNfhyJ9_e#Ea#<rtE`2!W`Dfh|LMg3-4UOX<RbUf?Jr$E<vLI5wJ)0WpDx^;>+|RE ztht^iH$7g)yRm5Y)TyTJrRoL6&u=eXv{jhhy6VY>t&3A!W*I6c@0ZbJj;}bQBD;H6 z>(u=RZt+#?JY3Q9=U02k<@x5>tM1ev_ibaDn9`#V-SzzHn%L`HE8b2|+@YYHxwLtG z*yp0ne<ePvrnNj4*PoMZU|sub%9gD9+m5S3R;xbOpR-+Pf$lU>Z!xyo?Df_Ymi^CP zd}Vb=MAVh*HzxAbcdikh+LOX&Ya1@eq03}9c_J&fm_(<beX7Z=4=1yi1nm?GT3d4~ z(w*7zd++OOC(h<dcVwr96!n>GWQyvZuA_Tde2upu%auv%?f)Klv&=H(>e_?%qYrp} z2(7<l^=;MaXs`W_hwt!7Mt=Ugu=&aF{Qc9M*}r=mYOA~7eyuxy+tXVG>GnQ<-1hx? zVtaF6?&g~-gEtn1R&F@YeQD!qS;;n)y-t;T<z6J038l956rAJBUbEOvCToLbfkIqS z%6Y}5NvEXD!{?{}ihlAoiS2a2&1bRgDZk$_e|oX@U_JjdzN>SXFRq_7(P6==TrH-9 zjtA0>oJy?z1uC6beAeUU-!JX;CzS8birjbOz?FHOW@k$5X1YK4^K<``#hlzv)*g>y z*>c6<nY+|IT@6Xj_pcW(4raOJ1TrG|jqmb#&Bm%lCI|KuU3Q$gTPrRvXXQ@$MGvdo zF3Hr*6xUw+YWlQ#wyVL*wELG>wp6Y0a&xL>-BRzW@R%c|qX)DAP)&2f+`syd@3vo` zdH>uU70aUMQ+I`Ww_iU{ViMSYVAk1>*TmjTE~@^0VOP%~$&9j18z!&MzP>K6ePxQu zob~hid<8wE8+j%QcDX-XSGcx8;$=erkHp>(&G;a#shn}IzIz<^sXzW+o@Lv6Tb=0g zx6|9LGw*b$yL2`$5|Fj*^JstP<u#?}$MfAQ)@m><bWm_kd9wX}opER7oT=*0h86YS ze;zYGy|nW1s-Q(Dj$V3@)#b23z@k9K^O^pArSsqS%&>OTHDxLjw6t5Oq{qK$>a8Uz z@MG7e&7FO?wB?OaOTETK8=YIdHOk-b6!&`yxL?}TbL0E{`utC;C+NHS3Z4*<R6NMn zI$PtUjPJKc>fB3jmmXYwPTKdz%NV2f1Dva8y<h%#`Kh<MUNhP}v{KbCE!uE%UF_~n ze}4NJB`todqIySWV&>&c?eKLbk|A^5Jh|h=9fT$a-fl@+7@}8hYRxEBe=}}p**Z5P z(@Bd1cTRh=UdGvWX4=_Vsqeal7i|Weptg!VJh`^w|KIPv)2{vb`T6wT@AqbFIqN=$ zo}d;S%wpu?_D0@&)18~5@{1Wy9lt+Qx%OR#AaC$ROBV&Ee!IKzdbV5c^z2DLKkr%N z#Iuv#!|LzLN-_#>%61a)SYQ9n%k9m2#`lvK-Hqjy%-^qd#q#5nZ8bMHr7kmi=WqXa z%Br1fqqon}+M{zyH)@N)&&CxzK2oJdtK1ejnt5!#2bwZCe1Cua{*&K}zFrOImdrmH z=k4eOIu&7#o1$IEiO}#^(N2LEGye8Cw4LP8?A4s&BKb1IFch>z+U=fAK)u_szuQ87 z-LJjq>wGHrQe<+<1l#g=G1KbrPwm;UE9+9x<qy$EAH9e=#`K-rnmKU(ftj~-MRJwD zTD#fKFwMR;WB<xuuV>wpU375Kt1OWR&2thol$Hc<zkFu5oHvu_Ek&{O+d!w)WnEd3 zSeG2QAinNr>dk$%y9=2=+L<@K$vP5Sf395hh8tVn6xr~p9B(B0dxcs0ru@{<ICppY z6Z0fq$5_szKbm`|f17T{B&nt>65y0q5;ogs|LzZVPRVYEe*bd${K6zn<?rh3$%0on z#Zv34=Qyqbcd@5C6<vufl*(ddX_ZoY;&-U@z@nG0ZY6$9HTU11clQq86s3|YQwz)M zi`{ZqO7mA}bkBN_CGy<a^ToZr)t}r&E-Tx$N*V5O35bb!aMPD1@lkQsx+USE7PTS9 zWk=52|JMnTFB3YlHTyajH&>yJfMM2)fcW;NmL2O?Y)_WX)9t#|xO<io1IW$x|9&h^ z+<hm~rTb;xtc;zLCYtl#^_~3BH2I;kXn|_I;<uUePH?zBir8|zPj>bq;di%I_E_iL z+41Dmt{uV_^G@y9Rw#b{uB}$f?h3&WZM*+37WXfy`g$dL^V`{GprZ)_8n53hYv+^o z>1kan^WadUil9svcb8I`s@D_)E}nJP9sX}`ZRM40SR$emVG#R4f&IwZ9a2a7e*Rv( zNVj;ws(J&L&ZMRuQB%%KM`Hej&NRP!@)e_CCn&Knmfq1ka`dR{lOuO|Z#|Jbnfg?6 z<+4h*rqaNbj9apgUwiV7OGHQIw7D!_*!RTC{m&#l{|7C|sW@eL=;pi=93Pd$^<oTG zHC>LF6Zv9gL-uXZs;ozrbKcG9l{S|WYIJ&dZs~@quk~4*e{4E!u-0|%4k54G&MF<T z4<l~X{rPzO?9Q8;QjHHcBwP@G!seW~fQ3CzGDi9i!zFdm)<Bs(8yCCxOR3(zy5d*% z?j?IN)z>EHY`0B5vb9B;*Oh~{Wv)k7mqQds%8Z^18W;Z+KR?$yOCjv+0jWRr(?IRn z<Nc~L`qX=W2bI;oy)#YqWJg-U*+1pyg(VXwx`aN^yPL@p_U`WPa5f%^34hG&JMN@d z#;Q%28o4>`<cEic@A6H0kW}`zqKmU|UdfvqhA;2z+-xZ(&Z8&u$bOC&&nf<QD$k0a zoDg(A5Fj>9FE%U2_Q;G4(i)nYCnroPFpSaLF>RK~&WI~QuAFxQ3+g?ltX#&y($n*S z^|3tnGdW(dlUp-acv)Xtb>a8rCmT0aKQFV)ek-#;BIfY1vrp#T^Y=Jw_4Uv{p|7<c z_<kmT+oI#{w#BTse%~zcOk>mUqoIrndMysYb%zbE2;b2aVBYrs)-%t@jrQsvwnS`9 zT3I%2$81qO**g+PLP0YaZ|Y47RbBR0eKk6`P&ay;iJtNm))0Z^3$GZw%U-|NEcSzf z&Ae4>HmBZ{JUuZlS&pxvVoUb*b1H|nlpl9^bgW-qKlxaXC*QGi8NaLIz9>)7Oa)y# zpvHCg<SS*_O$94%z1D8~BXH;9%B^c6HU&tTWvcnk-fH;&Pspda=Gm+E{5fS^e`)3I z*nMWwUmkDPlDxQT@4ug`EKi=eU;jV%-I>6=!qf+KiqDReEq<^`VS~_j$EjMOXBe)n zkJo3JyvXT>p71^QUWJ8{hRQokV`5ErR0Y`l`uaNED0seAsn@E|)oJ$*-)*|&6ysh~ zvj^0}dS*UZ)@)02b=yWqE{;6ax@Oin*XzH&x@sIfwNtwA*`tK({7X6|W=vA`UdAoQ z#g$x?K0(7jdfDaN8&4TR1d`G^%6~rYx98c$!eX9x=f?GAUw-IxI4nECQGMd$VZEp= z8d(c|Cx^~ib90`;^|)B&q-Q4S$JSrko$g-w;p6kCFQ><O8|H}!*F_XR*|EddWRC9Y zNfTdk*PDCoJ=MC@_3w;=eKv{qX6llO7oR^g+4ild$JgeDqVu0t-+%yx4&}nq?J`y+ zCzi}t-`8xTxbAj!=lsLA8*^`)E#5a_rpR@c^_{<OY)EXLV^evlrj5J#sFYB{#NRF7 zUQD-<nQK*Q6kWl&CRH%KQJvw+Oqt%?Ltor^d@Upkbu{XgRcxDk8co+}fLF#Fxw=h| zNqoB@Z{FgAYoxU*E3f>2()MiYl9Y=~f`&`=-S#Ovv)O4+UamXs^y}*Bel;Ju{UxTK zn0T1|>6X&JU1HjbXQSh_{r4F}bWQ)G-thhQ#l<OK(&eKZm)%~H@o`eo=e66tzs@R6 zdGdSx>Acr_&92|8*I>T?BY-QuY~wutJNIHg-IHckE&Ez@b943bugTR>U6IdCbFW3o zRlKn*UZ?(fT91tVG>!Sa714EnGZ($NyVd!VxQ0gW`b^D!dt-&O-wuCFdS_n~Q#X5m zTwLvgtk-;|GVT(V$y_N`KTbG0y07AJQDd5^r0g^E@5d8UewZ&lnpVH|hVtujwG*Y+ z|2#J5d%n{bI>QATw0Zf}L4JMPuY(&7s_ok6;;GHNWGh$R-7Sv$q<`-e(GK*goB4V9 zlasU0Z)twCsp4VG%g;Xbmh}(!)L6U`bvCHFb2r*6+EDZG{W{-SCfTa<dw*Y*Ilr;| z?k&GpraQc5ZQXUv<9zk^`Ztr}>*pvu*ZuVC-}3ujufP2-vUbZqapK>Py+!HIw-tRk zq4@I7+q{(_TX!9Ke^Xa@?Z)|br9sF3n)qj3@13)IV<z`?@3)^?m*yVc6c=l5d%~E> z%k9hUg&Zz=Of%J#O$3C6lecYio&5EG&crR*LC#5C%lEh2U-_)|;oUts^Anp~>pw3& zU(EbuUA6qwJwI#oza2j}EnWV#>iYfPXWqHBH{3`vQhvABzlfce_p}+DnP=x3mTR9D z$6xiZQ1bIrj>v5vCy3~TSj_CPxc$IFWOAOl*>vNuO^YSF%{&$!at%88j8}5!?$=w@ z9LnGHZ`L&m(c9U(x4P`q*<2})zuMBL#qayo^L|zRxa$9o=1!yHk7|-N@xkl+t~XzD zb2!;i=Pc5A!d2mNN=w4(PGR+BH&)B<Ecj#m>F4UlPwF?aFA2VVLgQuKw>OfN|Ia!e zTRQ15SKQme@cf;x_qi`kdAUWqV^Nrjn%q>I%1=?zIl@a_o4G!{Z=W}%j=6o8s&ezM zuM-X9YgFoAf1figC(Pjfarr%p`Fp=jIup0c_0*KrFDCX}xWO;Hf8ukw{qDd1f46;^ zDX13i-QSZFwLdH`tGJa%;?usE^2cjeo|FG@N7*R-S^lQ1=p>gTCu;1ox5mWoUUIDK z$4hqSlO6LLuB3@H3Mfp-G;-P?>KI%7?G5L_56ripF1J4}WnS+*xk{Az_C)#U_6Q%D z&og^|*0jjnkk{w`{x;n<&S#@_!@IOU-xk-Heaem8n$%f1KVgMvC#QQ4ciM;9;=+0l zmlbL$&s0=q=YKOf?dvJ8<9g*MHav{~?c>%F|MUE2{^i}*_Dr>267upxS+!2wUT2Ta z+W%+gC1+fkyFzXP6Qinf{jXNg<Zz?UWWi-|3!PXO)@<J$a$+KP?}?L_pQnNbk1Lx` z1?_xul;Nz0A;+<f?`<3#75i;|PWn<?7x3xA@!GE&c`E(|t_)f`ZR^wR%crakxcNji za{o8~pN}4wGrPG-IJ_;Y^f7q-{Bix~nLRyHb|G#1E}cJeEM(QI%I%j!ee0toma50( z-kx{ZsQ#(h%j=sbJ~=zrHl|&&@NtllP~mhR_B9+T$`8bioZ6h3B5pQ`<!;M6E+}bR z+FbJfg2Sh$od4f$T=(OC{qf#SDGzNT_x&u`^m<WhbC=ro16x{O>pWHW|D<_bNO(zL zZ&KzY!4te2RWFOJG0y7N&zm(_ebSSzdac%{$NcU-ojWl(s5W$7%?rQD;zxt6e!M?c ztEg}Hvtf@_&XSVXk~8mbRatHIzGbEM({+5NOUsU4DKx(L?Z5DupDn_YH#a7?2l(`b zywB=tP?})JRHvw%BB-+S!i$TGFTXcr>YuT#Vmar9Jv&QfPfphU@pP9l^UVp2=Owl# z2j=Xl7txHmqtdi0RBif}jN-X9R%WRao%2t0ypE_*R5o7zSBL-mE1kb=yp!wgZ<t@* z^6Tr~__{eJZb{{HOJ;Xu-k!#LY2nYOY8!9gkbm|=H!?Ww!`G*Gg455P^e?^^)v|s^ zNk-7Y>A_zk^aVK7jhy->uZ`aB78+XoT(u!*qJJw(VP*a2G@n)#V;#?j9=Yq6ar|iQ z0bPX$8XM;Fab5j)PeS{GNq4TiDmppyagyWef{iVU+m|{tI;kzVlBqta&wDyw`0N;^ z34+!;gLkW4eR}1juu*B<nwyNtv+YlM%ui=Ok?j9peP?b{a{m6MQ{Qa-)buAWH@)<k z(MJAXAJ&FH`Ma>bIpxzA)uPJ1+IJ6~&YR`>Iem7G#*srVU4dB%r|xVG+8rdg^XIIt ziAHZaq?TJ7efo4--}`8nX!E*TpALJK#_d+)_B?xHm)Fy{ZC9r2$KP90Q?6#*t+GK; zaI(6;+0@&yy-U(VKE&>;+3ESjZIbz<9Xq9NMska4O;OsNqmsBF`D?vCD7tu7f>vYB zUbuN#bA7wiuWS3}Z};7HOm;Jer|ikJ&^tWh$?d21L|*Q>6}Zx?RGTq2ue1NQ^5wdj zI!~Tfyj|P>ber{iZ@G6%KE`utx%})3ebUyq-ScG7(x-E#CRJ^B71{T*reao})OE}1 zAUBIXmYgH`bGCGxKeN(aGN|6<$z6ZnI)U<Ic{69<Hk&ZR=;_kGyxS#2(|=C-X;gK| zXW=aUV`BH>V%Hl_ty_I{g|6@H?WXe`PlV~jYW%OQo^rkOepBgRy@<~<jLgGU9TAyw zPFI_K^=+N&{o0a>sx`;^WPRH%Yp*Zy&tqns6#KaCP*sYOt77GkhwWz<`E0N^uV=lp z!*P<=)J>oMxGZoq<9PS}?(Xugi|_C6zn>DP`d>sc|Kz3KBEMXPj?T*`^t@+P@1Ew% za%h3`H)W<eWo3`D8pgLJr|V>rBTP**--+E6o38S9cG&bkm4}sI>vaEk!Wn=1P^GaC z(}pF&$Cqx{YTPzQTE%hqF4x;35<;^L|GoCFKa)3W@iiyDM$M2HMw+qP=9KLHd;ikX z<KJ#{C&LyK*gliFe%IvQq>0)8ubvEY+%`KUYv<=D6RoCQ`WP@@{7&D|n`$R7+EqO_ zy}7e?waBhD9anZQnz-lNH}#^(iQO+Z8<z3Z+P-3T-u7rsOV*M-$5}&{=P_?q-MPs1 z#G#}0ll<mQyf2<RMQbUy$MkEVhf^a0E&0NYoUFdF%T*lMA!L)<(Pj})-Im-ZZ=aX9 zY+m&{OU>YC^L0PIzP5HUr?48w%X7lQ!oG7QUtPJu`b^>Ml^F|p%fy4Y)#CTnoLu=V z(8c57yOpc-UEe6^h}_xycIouENm8a+93Ly#`Q=1J<mzwfMQ>YEIp1r4=;|=j#|3Mr z3iot-Yt>GfYgHQbj;;FRQSq}CZ>IcI_nTu-S`rYDb#2W|8xw=wPp3uq>=Nqw$j+?% z_OLn2A2+uT6E`hxd*pjDZ@=y>&Cl15oZRZ0?J-kG{QZrqdP)iD38lVO(?mbstX8-v zF!5Pw@{_h@Qp!Rv>fbkLOD-(q-k2jYw>VuxT_xM5=t+mo-!GSgwqyhri`W17kQlsx zPr3clionHZ4prYRy)G&4{T;k9P;!9^8@IvH_bZrsm=33lT&w>3^}4V05x0QvY?lsO zu5&B2%X^Y>-AwCuP<`7iy&W!fzh0_uJ`l{krta^rWuNq=*(9Ph>dOp;KxYD`PuvUX zOpA81^ULL|+PT;#aKeP7)^o28<YdnW?G_C26=Y!CKT&W-?dq^F9|w_V(uLncBlmr5 z*m^n*wus4RrK!MwCNDR=MZ4;5+s)jX(!Di%*))?ifl2}a*|YUHc1#ky!gA;M4);5- zLjmjGY`AeF!r4cGK|}G6L*0*u?I+(omo!eBvAdG%LE;?$)~I)X-a4FXWM-EVUEtm? z_e_H0%<A>~vOryL0q-PfE=NS)JMql6Mb0~Wg;c#}EUL7v{x-#~_Lm2=_r2iClJp78 zkHTVjS=+r1mf1vBy<PIvve=@&;6a0;*94nmx0d-zJI|WIUAt0~X`a9bX~~7me-{5> zi(R@qqqY9}zsH}h=D%L*<P*4aSKZN!xy$@N2N@}C`fy%$lkvX|cZ!{LHRFwpx^#b) zOg#K0L0!`E>xQeXY`juCWH-vLKcJK^UH)2U)e7(?!lin1ZWjky2Lu%SFDO4>KiB@< zRJUHKnQAY@zcXLWo}0&M7riZK=APg0cDH%#`EZE4jUj+@#<#-H8$#up6CB!d4mBTQ za6F{zeEY~V$0=@oGMOUm`Jl4_+eCIom1)1YtCn<c%j9c@JErU^d6{&qBb#$hK+A!W zBOQV>bK}nU7qR^Da!WYi(Gi)MZ`*lsUp;K$Tv*YTHSe~aJe9(-{S1@#%t)V&HJA40 zn)+slJ(2fUmvn4>VA@^dx7wy@wWHLnZMoSe823kR`#7srdOF8D)(*CHLbrF7z0K-i zdD3hlAkvU|d70{NZOBGKuLkR_4{vNtzO=JAUD)1f_l|;&{}u1|exLN)!Bw+9ZqE)$ zdp(t3OZG1Ho-QTYE+H%;nG~#``7mimOo6Ux=A|P%(8B@WYjI8A_{!oCKjZ#Mf`3*U zeANtI)^gv6*=Etv*WXTm4=Z^6^s1NV<Xxi7cV?T(=W*!7ZFatvcTat8bm&yIu)UI7 zA1FUiQPz;&;XkK)PqE7iDV=#w-0GE@O~mBo^NZ3Sq$##7j@@16rn+(Jy_Oc1;_Llc z6OVJ9irbLTsHm+Wy6xllGc%2S6INP74+m`Hm7WDYrsGrtBl9Hw_g7a>?+jF&_~gya z&Ap(*0Zl?=J<e{u9yeR>f`A-HkG1l=WAI*lgLv13z1C@$mehMXz1?J(d~Anmi{xAH z^WA=+_~|fdzbNcDS5$dP|9l&5;q?58weEgWPC@&=xY}=t`l7T!``-!&7cbG1>f5)j zRAT+)7B}r)#!f3gBc}k(JYKP~+Z@|UR*4=l5h$qo{dT*w(*Y;0j~hA}Zx^l(S()_6 zEx@~Awoc5B3lju;YU-tqv<mbeoEBSl_rbA)wukd1LInD9Z*5uG`MTkazzhEk+SWNg zdR=z@{PX#|?*!e0Ymek_X!yJ<Zf}*^glTgfCh*@r7j^r?&4q{38Yih<R^O+gvD|NN zQT5DjQSE2jpERyeV7V;xo=NbCsk7e1W4+Sur^9`o3p#*2DWQHz{nEnv-74mcbKE0L z_Z|uITox4>-7RuTJN%lS`cZxFo%`+9u&r)BDZm6;l`8tW{N%)z$!fE+l0%)1S{B>; zZ#vl_tIs4^AZ3{A*V>TM>)g&~dONE#-{EV+j2kVj=M}|SrcB~*IUf+CpsJxP;l+{C zw6BBJF;LN3bDhjKvEnyvywde+VyxADbJ+BF#IijY%8sq#Z1wJV5cH{_<kgjvIS-$H zpX@q^Rqp$CV@s)bigy%yR6z$ybI7XjuGuN)CmwFm8+u%*j^oGNo)70w%QmQ2n*34V zxYLoQb6fZF^fyyxP4zl4b?YhDl-$_|77EYQoxdUL^qPqM(PlaJ3fE27DZG`gpW1z< z)8*lc!<w=+B8OH72eB}9HQYaW;?z{_v$8DZ%vnmy&(GT)GAY(5{b*kIgeg;ZUG-}B z-DI-Y?w+#4r%k4_AL}Y@a*s0ANPo(!slT&0>uBtIL7qPoz1*1kH-1~d!NSG3f3hH( z*R154g%{^Y-Mh|RWbkmxnYf+dV(ac{m#(UxGQ}#?d-d;Q;R4PDe-1A86xyvCm$d!e z^z2vDCYps$oA{{p|H=}XCdJI@asmNHi{g1*6^aBoI3yRwF*YWosDyZTGDJ5%N370| zKC)yB+pe~U(_0Q)L0y)=IJ)%k2P@0=B`GRr^e6E73wkg&Hq=iL+*TrZ<>{SI%fb~+ znYh<X`BePBXL9lL|C6#ry*zrkjxJ63cje_G(cKRe3Upp>$+=S*VD{cd!E#f)*4kIc zJ&e0MR=Bb;dATV)wtA@{%2>|9($ce`eZNB^lbY#<{hNNfetvpeY`TW`wfECS!@7Q6 zI?Ck*+eET*t7u@o?goxOsjB;LZF#&P=VocZBYxS*+H0@8?aiJ#<&<GmvBriIzxS7_ zD*rnk5*@<Q)au}Hf}>eITj6BwoZo+%O;>unYoBE<Fj==+Xo=U&MPaLcDg_xnGdelb zxmopZY3%uV*80|u`l9-kH|3sBTp6`(LrkjR1h**XWAOs*OXe0RDc9$`UpgZu?3A1A zr0#Wd-FTT8m6cP>R6fhQF1=FYuAum`XU*NRh-(r3yw?35twF6z_qh6KMRZ=_62HLU z6))&(QFm&UcyUNsBumQkeJm>@7j|4(8xx|pU9R=>^G{nXZS8mjK|PNm<4@Bk+`2p8 zZC7Q{v<U*b0b)INdt|M**4KL^xIfy_13e*dJGW%o5?NdI51<jz2`n7qXFonZ{?&WJ z$=4PC4#f-Y{@bCb_IjKAG@G5pQssUxAF-+)t9o*Nb@xi`BRNNVtt)&URYts<9=!a# z*V-=ErEyai1uYK}JUQ>}C7(D^TiZJV1@3Mu?wo#SC)Cb*`A6bXtM#$<lUolJUfH{F zACF|HXP(=s^7!608$y1nIz}(hk*KXOF0($YyRm(pX#LNg5YQnS<|iL{s65xZs3uvk zQ*qg<O;Huf0tQBhwj^$SBJ*(JGkcb@H`Z#=-_=e%v+bO=S~0Nb`Ki2#lbnJsDQQfY zyk^Cm1vL(K-=dEwze`v&$FBHZQGLGXq^wkKYOOOjT8Ilbohd#+6Hg$@U%_DtxU zdSAh4&ZKX)5oHe#u})rB`{TnyHDS${_vLH91b((Y(d3yH#`VTfkE46Ln~vPk-#26! z4sx}yF6Pfa?z=Vq(&OD>f9~$D-+%I**S6c%@Am{hJ3l`^>ra58T+$;G4(`lvHy^GP ztG~y=GNori)z9zu>&>@{U14fJaL?JZZBEX{6DPk_gftYZwR=uHRJk<bVNKALst<FN ze;*ZkIx*+@x%df(In|$B_;1e_{qhEX<W7fAPtGZddW%oV68qRtGevWq<`3ncR_Q5k z)}^$#{%>TLGZ3=PYO!v%2;iKa98h?&_sgB)^PV&7jZ!D^FMoEXg;Tht<oT8Ri_Y=1 zet5%FEYhjGUMP3Msku=GcTV2k%^As|nQ?t@_4g-cfjKXjMVsz>##o8m-Q0W2%rxuD zgx&A=-QMH+H2V6NZ>?OSMunOZC)G09x3iRHX#8{E<JHW@d*-=z*cyRfDLz+T9Q084 z-)R5pe#K>Xw{`WNY3CN!@vr>3Qt^q&9kVN68_y(Oaccgvur5ISM5e#(*C~Mu-Xyip zl<c1;9l^rn<p$bE^7PbH<Nr@?Zq8;%OVEF6zjpqH6CQUC3Nv}$tX1#?Z3FmmSbfcn zjypjs9P%_L=x-M>>5oa%HmrZnwK!){`kFOckNeFL+jdLNy6{-Njl6wjlu-ZtX)Leb zUvmBI>9esWXq`))X0XowrEE_p*N91iHuUb8Bq(-!XYun{i;CazJY{KpbYi0NvUy@( zGj|-etNBsziOE;>Q{ZB^nXJ89`=6hmZ@z@-(Lq`B(27WzK>HJ`TbK@~cZ=(rmF7mg zS?PcH&@A2C_U6n2{3lqt>OJr8t34aLZ}J*}KmLCu({rb9c@)s$yReq))kmK*az~hX zB-F27xxPL=|5(cH`hTD0SH|qzw6Z=qdO=dG(WkR9TQVkUhIo7oy?t$6Y&Ms8(AODE zt>t$L+XMFp^vqbC&6;#V%H^C|f}~{oJYgA7_|0JY=lf^tn{|QQx=aF-oa;N6KlON| zX_;Xg|L1m9gdX2EL1&+$=Vufvb+-HKy_Wp$|1M_Fwtw4BaMj0Gy;Plac31StX>b3} zUwi$|-W%)nBg#9)_5SGI(T)4@I{$UyN7M85k?Z~ETc!s+ng8gf&AI6H|0~-nBHBF# zyG=wjXWR}dDf0g4bnSlYClABdl1ht~)pP7{J}|Xs0n3IPLjDiVDe%hI|JfMm_C|zd z<Hn6`GX%05gbwjPX_v2?F~_&+SdXL{8)H*rp`B>fPVMc?D(rkR8SgHvd~th!ef?$! zEAg6hkNfsketxD_)^gwD4(GR6+t!~Fn^!MlzIkx%V-?+Rdn(rZ&$m0t`c=Vmg8H%6 z)h}k&r_Y<%#w)$7VCH4>$HlugfKE@#mz5Tq)5<aTxUjyn=+iS&788w_HnB}~G0nWZ ztT!_Gz-iNMDx3el{CUt>;X%p~zdAQ1ldT_I9~@a(ET(CxtL`^fe2QqCynUtLq&4Ys zd)&X>;0`l*TiLBE5OVsy0N>~BU;ljUmVJ8g|G$)pQ|rBb8Z^$|T$BFq+`s?z&ri;c z{S>X`_Rr@3{}a_8B~z8-=e=obd9oR@5C4IRa@o_kE3CIeAKsGFIusfnJGDteVEKWj z_C*)g$J=*yc6x5K6;EYkW&O_oQS{E##YLdgNlg6rvrGpcC)i}4aG*gXxj~~~yPpqd zp3)u79rcwtPoFHGUuTqZLd|B&Y0Eo*gBzy2I^gHr_$)2|)D+E=hQc!a*&MFRa&B%? z3roGRA@Srpt|>B?^lotZSJhS&>lRGkaQXP$#+k9aH)n6Nk!`-c%6qyVTXIuV=J(tA z`$gBy&d%{n`*pFnx!>WyNsfP~54CbjOKx`Q6goJ6;Wr7BdKQh<bzfctDs!*aWI6~s zP4C9MQ`ura=U5gmlj2&k5OfY;yZ7H;Unko?H@%<uNHF4$qLg1aXZJsD{XGdMj;{(` zedg}ZEt!`m-DUXl`$lsAOxJsAEG&su$pN5c1RhLXJXwe4SQZC`SRQ}cl->04c3aZn ze$%(RcI`<&KTkKg{&=71JC)mFdNCO>Ml7X^wk(h_Ksg5R;J#_|emTEwOfbv5bVR0! zsrwbn&dud|t*0YOW%elw6c`;UNO<7Ua-t*aVkd+ByE`{OK3=elqlJl49efUX)1(LI z0!$-rbgWglA>7^HZ*Hksuvy^n#dWdPiznSU*k8}d$@#2L<|@-8UmK2;qmkd5_NSkl zlgSjLsJx}|HB&g`OhCuAJH$HzZ|*K%ALyU+`1_>fsBJkj|H!GZe0uQi-F(AO``!O= zwmE$SU1|3F+uO7G?>D8M_Sq*4ITWz(v$-Yc2)%i;&GXGtPR=z97g=&fYQOG-&Q~pq z>RT7?*zA~eW`<#&XL{Q2E1#R&9Rf~p{NKYOeel}VMZ%1ADLZFBZV&Jk<X~k2cQ6dO z&hcDeF|>+hJt8fje{fnf2V=Jk+5v%o+CEmd-K;eDk(+&SQR{}+Y^F@#d3Gln9Ba{X znxNIVvF6;4fDnTz(lIydS#B=UShQ%vj!(B{-pKj&<)z!th8~AMlIz#rcwpot>9F?Z zhQ#K;7_VtMnZKMk8&eG3IfV4&rih3rY&&{ucd3MTRPB;-+w*faGM!y}C+J;|Lu~o0 zkgD8Wzhy+1RDFG=wv25Pr<`*e52*8zzQod2y+?q9OEPgEOX0yYb0=j;)IVI{d{Tw! z&xK8*g8#S9QWD@|$uUYg-n~PwZ-wwV2Jd&z+WBO&wp|sfZg_QYQLeC<UAp7(vw^EZ zG(SsraW7#>WpU<g^tm9;ZQI3lJG<~{!k@EAoxj`cc9p)KrZi)|tIYHZlT^KZ_OKhO z<?yQ=JG}FN)3?Axi@sLb&{l_NYyEnTCC!DC>suNkm~7_mE|*ZYZa&a<AoAJaZxQN| zpRc%UtXsO=j#I16#EE6+yqMzU(z<hwtxEpL+qht&VAH`L?ge?epL17Kl~^cmNIx(4 z+1V#&0@xFWG-YcxJo9G@3gNrso7Lr@qAXxy<g`J&T<(tM3RiiiJFZ3M+cPgOtA8<j z&N&SwffHRREqfFS3ky%?E$rA4VCCU@fS1WpS^3M|RiWCGC0<;M&cE6%n98vxa`Uk_ zKif-IX@XWHX-^W2G1Ztf>$s-KeT%o3=X0=3?U|s+zhCwD!>fz98EbC3JezD92u?eZ z6MI^i(%%LPa<DKtsw(>|D0z8lS=`zBr_<x*I(}x%R&;7`uw(i?=eCTqiO5^CZlwh& zD&27vbIU>$1WJq!O*0o-xbduyZk2#SrO?q`9{p><nJj(MhJY{YYqglV9G(dz{M~Wn z*2|<&7S26^o|C_vS@P-Y^?RQW^hZ^D@H4(@n4sR6ZVEZ<kI_{@nS-Ub$H1cg(zi*T z{W^_V7aJLVa(S$OdnQ^y*7$Oy2IK@j7VgFclLU<<g7#ItytMS-toh%B6Ic|KRw#ka z#_MVTp8+W8sIVfXg>h2sgrleBO}UMX5^ay~=a|4d@j+;i>1s`gK^jbwjtVbQS`N9X zyqRg3?B;fC_45`<rX%&;0s9a8O_ohNeEA{NP8S9yM|I_dL*L?pB!gpvbc+OU?62Q{ zWo>jgpNxgT&dC=hYD3Iyk!hSTVWPj?&m$@hzh5q&@5I-@r|_5~pz_Pw?e~JFYCjfu zH52MaF(yStWrg^iMX5iZ&CY)^D|_8VZo!2ddw$iwT0LpXlqIeL%3prH+#m`%DUm~* z#mUXB;PM2gnm<22K5T03JM7M)QSBEiwYLAEazWJ&u&=uuxIoJO{d%ph8NF?d&n%OZ zA~iwWa!k!^yptN4+1b`R%U37mFthP|_^4wWBN)IU!sx0HB*-Bt$++R+;dbtw?sflu zKCkyUs(-q#`un@PX|u~}YHFq(bx>aiI_|MS>47|plb72Jr@z0xKAjpKcX5yMzn$|O zv!DKczkm99`~NYWDHjB;_koX5?1*D=@^e%3nUT=-Jd^X+iC>cfe@u{ae&yG>=Jm40 zp<t_J1UW1v8AU$z-l_Zjw&;HC_f};$E46xa4wIZ40rx7O%Vyr(wDi$ph}{b~Qn(s7 zC@61EKR@mF`~C6T^6t)BdGf`{gN_M@+jxuKZoSS`sQhn}{l6d1RbO5xZi!vsz*ui8 zE%x?K?f1K&LkvN?zG{BI-CidjoRqZaPRV88P07dkDnA|-fBLXp-tXe^v*!169#yZX z=YD$5LcX<IHt*lIoyE_k%I}58*FFusoPK_usi&ZM?yV<RKUPgpTEOAL&Xnlr)-XwT z5$Nc<kIGpOdk<V#=$!id+gs2%gga$l%&-5aS^N9j)c=3q*QYJNvbf(aOUrC|s?HM7 zR)ErOy`4`cH82?D-LX)tt$luO?&RC{<?rUy+k8ACys6@2l4bF;o|w|Bq03?#_xC2e z<rdfTm~U5mDMu=aA?@|Gwcd7lS5^eJWq=L<%bdr3OrWpo>#L;}c~4GEytKfPIrGwz z&fA|o<J@Z(^4tHpaR0K|E6FF5X7k=IdwWY&z{KdsqOQ6R2iZ@~UmLUYlFB7z_KCBU z7I37<veX}H>v?l?vwPOHHJW!kA2J1S$FqP=EIiUv^5Vk5#|q!y-Oc7Waj2F1aGKKg zdsVA%UV0O=#=S>E5p*IWw}P9{_CG&A|E@g)YV(3d&0p4SS9Jd)e?v<#`hlXy<>mg< z7rAyXvs@RoHOn(6XH87i%cXX`@}{j0pWfZw{kvpdy*a!6j|a_{*2mkgjM%uy^3Ru- zmoII}3~o&LSnv1AIb^YtYuAkPiajfo_f~!Vw5-zBO)!9E5liC+Mdb%4=Spfm`uQ-F z+n=T2Y)s)%(V`z89-6RwBz(HG)SFdQ)pl{y=VxbU^X`1;cgyVEwfhy1c@rC-eY{(K zf2M11a;JXM#k<?<^XCU%R<Qfh`sw43$NjU_vaYO9e0_cWbmw+H&+U14Q%+7&Jr>*e z&?-UE#dAf#LZ>e4a=ZK6a%Tr-?x^nX?w<U7etjHhtNP}I%V`^vjy}1uF?kt(mwlDg zWZUVtwq~Dxb#=9B^|v=CKPU5mTh=}YzFhXVH=S>&_v^R^*N&|Et6GvqDILcR3uU}S z-$`yeb=$1+Q%dFkzu(X9nd$uSQ0rv-#Q~~b-rI6+`h2j+n>b^}j4ygq&(GhSD{nqA z_i!7pam)F0#fQJnuqa%#lTG4@sLy5PI6Hn9{{R+|#tm)?Yff-@DBdn&|9wKazs0m5 zvb11_w1OmP9pWs32g@?=*6-RnVd+)r<cG^&%=`RK=1}4F*z%cWJ0`o`Yp-yKDqOk1 zWWsZnrEI0l-@X6;``hcC^!QlsrzeyBPp#koFKbu&#-p;$-iI>x)SuSfF7c@F`P}kZ z{h;&Q&(1bC&(ru-_n?ve$-V0Lrmq}#m%UASc4p?Y;yVF*+~;&JH@qmfO1}J8{hV~^ zbqdc|G*8SnU$3ZK{OpWj%fhUytJ3UNC{6KhnDTH}k-3_6qCy@oM`w1-iIu_2dxRJl zZsc9$QL7QcA;Njb{PZ;4&lNML>Br~A<oY!yEa>~06&_c)^rZfg?@9OeRDzFkR62f6 z2UPW(*u~KzEBVlUWA5#3&)Dq*%CqVtzX$zJf2Pwhfg$})>+Wfh4|Ztl1ukj{m))Lu z`524kH2rwHpA~mBj}$#QIr;bzfd{)3E(jg^^k(yUuf=Y?XEw^TzY5q}Rk}E;VEg}@ z>GLJ89*Hxk<SlG0*&+4h@yg}%CN;5gOQ|w$XKi6%O4@$D$!CJ@DOs&E*W>GRIgH=Q z{;U6HqIOO_L-hN}2M3!c?_O5hA#`3~$@6*De%IDSCSQ@eV{(T1=+Swbmm5AkG4b%0 zPOU>be%vpV%JVO9Z9Vw!-|u{31+NPh*V(SJgoi3TN{K0S6KvEF3O-WWu%I!~V&|tl zzc#&c>}pVYP|k9wugAc-Zp9D5XXku%YXlC|w(V|Gs6V`=XLsQvnQQA}UtfI?$e<v! zf^nOpK;E8@Zj0>_Sn3`f`+0r;Kh+&J5jzxRCy3rL-ccTL2h_6fD_^Cxr!7Ljr1R3l za}i4l8Q<R7d09huie|z_x2p$io0}MCD(EqXd#{Vx*&}rD{t?$5(!zPFJX1S1-ZnJb zQC{G^Age(%kF)-fR!%sp7n@6~tWkm=Pxo@eo5hZW4LVOxPuD;CC9eK&>EgOC@9y5- zbN<f(PEoeU#m_Q@^~-NG%q}kH*5a+$@onn8qtAX_`rgFKeP-c`h%bL{B=;{ZUsVUH z!vgypA|?qM<X>GiweY&a+SRS>OgoGt6bhr4q@H+xC$qYGd+n$CC!UFhuDqLbPfgK$ z_U<m9&emoNVIiTO(+x+Z4&7SnExvfxhJ?)-m&}g~bTdrcn9N_m{=lp8i$h(2LV%ao zbiLeNDT?kN9W@{9Zs%@Ip2=(-tzdIKzJ70^|IOzUq}iXgy577yBS67m-*F9T4a*5~ zkK$*UW~bdTTVZ(P=jZ2>AJ=;pG&)o%xNqBi>GF)6Jqku|b}Xz-XVC8AzOgA+O8Hs) zYmW;WwN5`k_X9o7<Jux?Q*mKOi-M}gf)$o4lmx6zT^$5Z+~R1_m2|xJ%zetyqnD>0 zSyTJ6$+}uhUu@%-qV5eJ7Ikb;Zu%i_tzo?PV$pG*Hw=zbcjN?cDTwT7_M200ktmk` zq&7xrg|R@>X}#TNR*2TLU1V#V&oW&oxGDRX8s7wtro=$bg0BvK3i=w_JFG1FbeHY$ zP~co4DN>X&@%g*C#W(&Ql*l=3>!QOJJ;}DWGg*>7SD4>v58K;?vlcKoxphoeuseG1 z>0z^oM@0p^HyPKzJNBgH_W~BjXA+x@>!)*e+wE|8_QpbfcF9F^#{E6a-#ra@idawT zgsz%$u=nFCa05<YTgs7tg3sM&$)|3;pmZQ9d~K9z<-=BSCo6$Fyc0N*!VeuiROs`t zskhG=+|tPx;K(*Q#8SXn@Z5uCUsQ&G!a0Vc4Y}*KMIXF9@qwAbx#k-j%O{o}?yFD! zuuZow`8wx2@usN57=~0EHPy?;TXRo;{mm&~ZN>2vImT^!HoZ>1u)uL<zE3o`Q3X2N zI!1t_+UQV4r~E<%>D$7c(E;3YOe#yNzFrLvezKAy?)|OF&_-LBgM!it4)LZllVW$5 znKG`eXW6!jRgSSKpzyrF_Q_$dz8~m!sfHTck;bCb)>9yOW2U23CP(M?lUo-3e8gIn zr^2Lst$9fVXtfRK)a@>Z1p*x!Mob(z8|T>9?>qU<Q!01s0R@L=enr6>Vz&e=G<j#G z#lXNK;_2cT;?(%kB^uly136oVrCzD8r{JmwtKUBs4o?NGHECb-*><{gTvY65-I{m> z+_(ePBo0af6H{0mJd9ko26A++zwOJ+nXzQMZ&^?W$ILM2xxp%zHeUG%Z5CIwI~<uL zxXgW;-|f`LI{okNUiqp~&hcQDVzcJT%U9t>CMXD8Okt^SSi$RbHTY7??X3<?j4>wP z9A_0=T3P@9@Ar!qwsT>jHbY6^V+u>m&8jXhr<Gs)=32FCUU_J%^J=osY_qd(Lb#T{ zS@y7ZR-Yd@?p+VabGWcdGIEFoWlalMI>oxi^u6GY=kuyl?(eHL%DZC`T;t~pQRyI9 z&!NT?=<LSOJL%J#e+&LtEq!j<r}B3FrG?JzPrlvGH=mlhckMdqGy2QFErqm9CzuL! zXc;BNZ%Ut6>2|DNKA*$$`@6fFk4^njI1O~NprX<P<AMhc^5?G|2Dj!xars|SU}_3W zMcSDeiYWrQH#RKX^Y`2BS9Jw7>238ql1fK9dSomwg}8<VgBr@9cya1<xH3slIwZ-% ztyd~F$+IHm^fcWm742Kz&ooY-bw_bWDyU=(?hJ?fgTs_5(BF-r;_NKbPtRuOpIQ^S znMbkmQK!07Z*{<L`?5DPZsxi)S$Ld~Ex#jp<=<9gXh*ExRY8|!6N}_V#)wTRor<bQ zyais|+MMpcGH9vSl{#m$+*>O1Yd&?>{P}ns)Ml4dnq*!6ZpGa7em;;8R4@`e5tMRd zpNsc&y{Y;8e!3m&kyP%LHaA<cB>VchNyh2tPVDK@TkOWz)bQuu@AsE>m*-c0ILN*< zXsK7Aq50gFdT74*qBueIWXETtK3VH)0>YoBY{|QO%jVXWOy#q)&HGoZ-dpxI%DCNg zvYKa5&?H3#n@#EG<pMunIH(2biKW=GY_gHusQ+_i@bZ*zZ*GDvrvDtVJ#Q|k*OqmC zoo?x?E1q>zbfe95_NjuJ_D>Gi{rUU-e$n6huh(b0AMcYroYZ5*0SyyZ$Pw8Nnu>~! zNy*8R<tGTo&9^K*CLla}$rSzgxTK_{N%GTUiaO1A{4P1OE_OGM<)e*tf2%(G{PA8L zwssPy$KI;1HCe&@VX*i-1iBl*F0Qkgoqw5vM)kKh6L;*e01YR3*00PHo@|tQYR3MR z4YRew^@RHmZGQdu&(F_HA3c41OwNC6zMkUIS|OqlaA1PG(dkcT7T5j#6|^D2QQm!h zJ2b;-Fg<K<m?UT)H+lcRU#A6xXV3Xi^W#I}!KF=$9{qYy`)QttR>+AZ>$b~(%YJpl u!J$h;^U?9ZtYC20R0edie}&?Q`cMXj8}rmHr!p`wFnGH9xvX<aXaWH9vRgs` delta 96130 zcmdlvfunf}`vhgCh`AG0&9!p#Q!>*sQ&UupT~v*Xj0}v7bq!2)4U9t!jZ}>t4NXCW zp`N*gVbsJhS0)3?iE~xL4UO~+RE>;OjSNyt64N0%<DI+=d<}df{W3FsOLW~VBjf#w zA!^D}i;6Sz^B~HM4E2okOdt{^l?AB~(UjD(%;eO`%#5{SMh50a5b2akh@_#Vsln!H zjNwX?3(Qk_o%8cjGD|?_85tN(Zj6zfyuq|!^9>abMkYgp%?4_3STU3t!IVwDp%OmX zfkR?)tZERGvB~6lX0nslXb4X3^KF`3p@(U{F+PoZwZIxT|1dD-oh%zz%WamJTcB#} zl$TUoFuBlMa<YIoKbn~MWPb}mG%*zv{zOO7$s2-LCg(bHV-XiZ6O*0nV3{-7FL?jv z1#V6(D3bJbz`n_SN}QWxeQgKK5u5#jSy%@$1U4^^KgL`?Ys;2d3=9eko-U3d6>)E3 z*(-um#qEr^Ww!*J%8*Q*w)gv_r45@>niq9wXejOHXi{3xv1ma9w+Oq!0|pismdI1f z-teZ1S}oXpA@<q-z02=OUE1P%OU3t6@jP|^TTf=c-@Ehs-gLW94xF7FOic|63LIc+ zf;)$eQi@9dg!<{3tc;Eh0s<^7jE)V00!B*eO)y0Y98WZvoZLQ%)Xj{6C;+Kz={aGk z!11Ts!OM%w($X?gw!i4<sf)kg@Ap@B?=z`<cjt>~rO``>J*@%)MoEeZsS&Rg3x0k| z&APfu)YaWRcw^Gh6;)qfnG`;9sh6@US#hy@hCw1zYkT|UPft(xzDr)$HdQNh5x@PP z3lFNkzrF2V`|HcX{Cz*$?tfKXz3*An{2pbnFO~`l7$s%4q}FsR7ySB?xhj0U94jlU zq2$dCiOhR{JnG(3{yr}2-kzO-pZjI4r{&$-GgCcfN#J5Plk96cuP!cj{}tu9rvAgt zO{u3f^z{1b{(cQ_PB{_~zt~Ktmjx6i8x&budTv}eIAQ4%9>(6DBgc+S+P&MFpXu$1 z?Dc!y6eK(1=9}e8{rzy5Uqn#QQPpco!r7BcJSWd+sHmt&nek(4c-%t$eLs}axs5i8 zn^@%U{VH~KZM6B`^nwC|v-50c->doTn^k{jhhgpSx7*oHb}Zbd=BIxa92+N!Sz3CY z{8XI4`h=yim0R3s;|#l6tCy1|9%|*j{Os)Pn@<?edR$)S`*QvMe~TKK*)N@&YrQgR zYnF(lr04f{cOUOpEPsD5^$}CjrjnOI|JFD(GW|K_WtMx(<-E;jpEk9vSyva`-Ch1X zC7P}NcSTiInwQ%dvrZlrP^db{DJi6=@E@GM($}4VCHc$@!z<fzqkp|xy}p8>w<lw< zrM30tQ&Y89hOdwNbMas^`^wti-`-4TR1Y*a-_9fa{D=>8`}GwoR`4V@3QW}uKKA8o z>LuqB9XA(DX=Gt^Jm3b3vc>Ff)4m!omA|{QP_SOv?ZVa7;ha%|KRPlNt(I)8XFVf( zp>IpxU8}ukzrDR}nRN92{rPPCa%a>8^!3+&d0*`0wx+bFr6+<rbEdn10Lv#XMn&by z);(vkO_+Xvf1m!p@8V+j%Nr688-2O_U9$4~-SW)a+j7}_Rj;p$UHt#=`+5-p0f+Q+ zb3El=f4v^BUjHL=P1M#?FG_Ro?6~-#D(m_>-;O53=^T=V;**T}6cjjaXfZjt<$OJH zVwXhYdHer!>VCaku9N@nSBi?7TANnL3WxeXAK6Ra+?aUQqGL<ur6ruAx=~YPgT-|s z4(wB&b>N9{@iU)mD-IkKm74bJ>+AGN5uMy+p1!`R&!gq#<*%{Te|>Y)*#Fal6Du`9 zq2B;Y(|=@?KfKv|-pKIBo6YAp$s7(a;oYL4piuwsr@AoD6G2WMo|NSw_V)H>H%ne$ z)2+SJ(!x^a<{GVHSN(G7^czzqPiN}oaH=VFHBZvezphry4a)loek?6LGlYF5Pv>xa zd40WKHo(!N-UXc01z2RH85NcLYHQvWm4~hldnyp^a;5`ZR48y**fBY|$^4hNeU(p8 zm04c!E=xk$R>sIR&~oA=2ZyAgq|wU+O_r!_IVby;>PBtZa7Dyu9aNrINg?G38^2dw zOwe+_xi99H-+TB%To6*`G}ixVZJ03ePwAfAqU|e~FE8_zp1kVKttW0NfzZOr(#he3 zM_<BZzh_PieXHvK|25Z*+;k+y`IH4zL0MbF1VL--guX2?Db8;%EM#6@Aub3i%^U<y z?C0Q+Jh}h4-TCskokgkZmPD@%T51#Dtph5@SQs4@-V4+V7)>g_@%;77`PJ_%%fhBE zN&ETBWWrf+cpc#2ken!d@D;~`19!IHuk+5nzHaNUJ4Oqk{(7sZkaFZ(U+3ZV9If~N z{QZ0K_U+pbziUa0KrNSJR8+p1tfG6S<G`B8%^H@LGr6xK3gM(>6N?Y5;;27z<Ve8R zS67Wg7Hxf{lwt%47RK4m4kpTG<sLHSj?HYmN+FMBEeaMq&I>A21E&&&1S2LVH=i~i zt0ydtcXyXx-jsSe<Kv^FmM`^cVeu)!sHn_WQ;?gP9lNV!p=R*1famAt=4M825`)?y z?ci{tqn=lW#XbJe!^7>FXJ#0dytts~et*>)e@Jn!zzzyO#*9lxO;~<?fA8<y#*<ht z2#q>MM+bREMdc#D2$4qb>3V_R-`#!r=xDdtTz58cNC<3*2bG`iH*W5p+An3=6}2V9 zQGd^err0&%VwzBI)Yh}K^hC&it}#x|$<Z;*ywtKTX6K~*Z#vSV5Hk#985Nb6C9B!? zxG+S91qTObK07nh?c0PjXzc$KWK>lC`Ef;b=6TbsD+(e<ov($$9jIW;($aHcXQChr zpNxgW|KIojXZ-*7S4=XVO_ariC9dkFDr3E~fB?%IiN^K&|IKRYx$%*4%hRR%|9m<f zvOdoCoW7D0M}!dLgo%}(pG6jNNk$6@u;{cf$VIjGL~z7NPCIe*XzQWFhfm(T8Tmkf zMW?G_f?)R{?PhK!F;|BZ9j*`0-f#Z@?RNf_q@!F>o6~&%u{o9Hi-J7Nnl{r#U;_t} zlUuzG(`p_rmI=9EUoM}&OnqL36N4a&PM<^EWWfvu?<pFNetv$D@4mjfyL*l9mv7&; zS*RH`DkSK0a7b>PuAG|wdQbg-J5jxu8Sn1yPEXgK{`8hMQ>CZdx%u|~ANqfNK5xHS zRe423?ZZQ?vy9XImIdtpdM&!-@2}Ed58LHmOmvq!S${!KMWy9$^0|4or|+MiXFL1V z_4V`b@h$w~+AX&Ay0hl%bM2rs(9V8WD*Bk~&(r$*pG=4obUYx%@nW)|%I(*8cbotH z@wh)^WsqvO-oxkf>zBpuF1r{WUwicPn>#xvPt%Y0J9zM5$N~q(vPW+7<|?^%UAVC^ zd1dJ8Fq51c8+@+Ue>o<df1#P3|HWi~JHs1kM>+&Uc9-Q={rmZxbJny=OT9na2-IBL zo*)0}=H}(D-*uX~nVz{goanH;IA!A{!HTa}!&j!AomKNtRFGv&V}qUK&)@I&XC7=~ z1yvy3{`QrhQdR{m<=Xq>QTLj)66Sd_zOzg^jrorsKOP(rA+a^*X8oke|G&Pt*!=AL z{PQfT>P64aNXpe8ZsQHvQ}MCAZG#%)>65pX_A5+~WO8zQa%Tev)74d>i}(Ng)x9cg zZPvt(sR5u|P;1mA{qO8-^UPyCl57X9N?r(ns`Qv;46|B4K0Y4&`Ptc>x?6Y^3}>6= zE?Tfa!OYz5^BH57UKW-oN%c23rMB2u&0v1}{M_8rf9rp}RKK;a*1CVK{_#H9#n<Di zdu?oOH5C;bUtL@4oxlID*;K92uGxH2CLMWqb{wof9CCSW^!6``8`v_eFZhSE@klr* z{ExW(^z?N3Uwl(HImWoKFh;vOoak69uV@`3ul4y*rf6BApyPokju(?BKA%@z-}mdw z%ftG$AHRQJt{J>+!?Sh1v(2`Yy^T_ndwqR<`XfePb`EyE2?{(HDjppB_hhoakXAr* zz=Hc99v;@z)a-QYmpiL=GU;fSAjk0*PGL~tzOYZ$+Ro(bBvtQ>`}=B5N?(P1`^xYz z<MuY)OwQW|<sl&=jR_4`URdvJ`jT*mt6o&=!z=|>Zm}mDFNn`lm>}A-`gd&DafW|- z!s>o!F0e>Ww*UJjI9t|kruuwkg%p+f^BJby_?JGv_LzUh4udWZrfW_P(|a7EFRl*P zzZMs=F2?e(kigTc3!T}WOfygHEPg&iAm`?$)_*@9^MCt(fV;9N@9wUn$%;OAj~{Q> z_W%8}{-@v{D+P|&``dD*bz^oI)XM$nc~Ea|Zmwo#@X*1e$hhc<$3OYf*$>>ACikgD zZ_i7;FD#(q%w#VyVeYrLx6^+}J$bQm`8*?!14h<-nwpv-;^NaEW?LLkvhr{d*uci* z<hG`9Q}cO7b=x@}0gCp&UM&7Ir9<64R&;Z-v%>AojP)!fCpk_q%zL%)<ppt<l{|7b zGdx+&7z@k@b(mNG|8My<i!FI~XDx_qJn;R==kxaJPtNWvdn+|NJ8W%~YwD>f58p3r zZD?LoXZ6dv{M`k2`C1o7M#eWT+-uqXxC;Edw4*Tj9d~+g4cppowSp*C#;W&wzZ<QQ z4K#6X=Sy9*XlB`#dN)HuLm#IFwHHtH^U8=tHYp^CFgdyPG<iy;wld69d$@OftAbN( zkGf|}%I>naUQbU?7iVB)>{edj?Y3ZUcVFMK^82;shxfSm%cX8+;pkA?A@JxK-)Bw} z{tpLVTw2Oq&#T1b%Y8<fonJ2Hb6;NkjJ##Ov!}hwUu3PAWpq$IrT%!IY{{=LncwbT zI8^`eM%TU%x)*hoIxlfvxYc0r^7ON_vuEZgRJq4L*w4hoTs(1M!Gkk=44)Vq|GePl zm}2*E;}6FvoS$?-y_B~L&F>#83~Y72_xAR7d8sQ`uTI_jcVXGN%qI;$!!(sGt(bPD zutf3x&}|gSZrWqcQGay{w}Mu^!S(}xKApG!f5H5I&EX@GDGYX!7v3GTXIVDoxLkFQ zuT@n16As7t3Ct}Ul6k%~rY#Ly8?~meQCZ7l;`Hg_la;nGTK;_0tzY5!LH6Jc1MbYk zoj+uLdL=|vJ)Ii<qxi?d45qc7MMXxF)oO2UPUmmuU%Zdq$NocVqjF_f(}#yAPSl^^ z@pVWuSvzs@eDh8Aw;c{Bp5*u=`ay*$zcR+>L;XUh)*IS#CwC-dw`MZhSG3$yWD)I4 z+qZAuw!)A%1)`$bVJSYPtSKzwS3tcbzH$}sZRRW{Mor6WoGr~3G_D9-%$Co6ZefMu z6)_jd=PSSccF)i|7kOvN#M8{rW=vA`URM8m`T>5{G^rV}a`k@-%?>+EX1dJr%klH1 z88c3>$V&0K>};5FSfAzd;pZ$&^CztiU%yGyJ=`I}p=?2K<1%Kxb+Znrr#v{&xF+zT z_ym!R#6EfZbNl2B)DPCXxCm@uXOU^^Il!3v_0`oaB`<@H?Tz1G_a~%5{E+wQX}YKV zB`X@g)+^897iU_M+IC8`EKxwTV&h|Weg99(=I+~&{P@v2&Ut4(q;Og9?odeJW%*>p zyjt};`+eab%?e)Zvjk^k9H~k2Jd*lmkHdj?Cpn534}9cV!sIXa_SV+Zg?Zc-%N<j> zOx0~pPApegt<zaqcp#_LZ^_zYmsRJTbNI0!_mJ?i4uynzT^5<CJqjl!P4pEM3i#gN z*|~YE!qiF@#?^`m+f!KXeOkZ&pOt%lMxe|ym$x4t9?tpSx>@4Qt_#yTQu-K*L`|}< z^%Tn-uu0Yk3HTt)qSM*1c#@!Zl3Fr1)3&CDyAuU(Bz<Jz6XtgMzD2;&n~}?&!=k&j zt?iTbiT;j6mIU$kdN0XsdFkotjh+G<Ks}8$T-$xrIA(}4?p9LP4qx{~hM_?2^}W5j zBO6cT8BCD3Sak6Jk$_px-~4*s{(hmC+r1XIUa6<;Z%@hP@-QkYo0U1FST;3qa@^pR zbUb5wNlw{DPygxe_xqC1@=Ve^IQd?nisn<jyFLC(cPzO*WkylEQ2nHjyVk~)Yc|^N z_CDGzw&=qRm0i{E_j%d=Re1aNU;TU0y>~P;l=`^DDq6Tibw1p$KDg!o=_w`4QcTMV zmpeTP+QYF^Oxx$&tEZpdpAM~k_AY43esMt-A5i;eWrt_A<AE}P4V6YrQ|m7;^Ub`r zCNjq5lo-pyh8-Ui)eo}E*Cf<)dmCl(3U+z898n7{iSfGid#%XVa-*ZG{&Q<EJ&fL` zqPp~+;&P?d6&Vu`Jy~+XedD4E{W;&(*|}Tq;WE1EP%`OP^xo!%2b-(^3r(rkGJ3gB zNvq;``j6lHPGwG5bIjj!@9MbnOaJ}$_U?bLl@!#$<ea=S*K>PP$fg$IsYS&~`t|)u z|M!VM-|x!8=nQJ^e|8es5YLh`t4Bdo;E?k}nUzc9wyCa|7|d{VYuVddsotj_Nmm!R zG*zhvd8F9H_IoYau!3dPqsNZ?ayCwS=Uh*oz1iut!{X!a*_YfzG;^$PbzHG7*VJ>o zdisENU}m;Zu!iGa`%fpBUBmLUc)bfh>D9ko6{i=nv4{KTl(MjtlV#WPgVmkwqIzs6 z3%W2XnRiT{9NebdcFXX@+@$X72Wm>HPKN3BG@P9z_=IPsdA{7+ySuL+5_ppL<L>VA z;#nCgPEL>3@0qaSh03lad6%X;<!PNgX3Mo_onFk+m?>!scT`yhXY!|iDk)FYZQILj zq8qrezEh;scw$nXYS5Nn)=q!lMz3R;UB0Sv`hqOGcOhYdViW(gHmIK9cy(^UG+w9c zyh|h6r-@`T6e(BSaJm+%>$~S)ME<^?YQI-yhE^3DPyZsyk|WAETSa-tMtRGkBkNY} zTNEHBlM@&w)AZ%MeElCo_rEi&*M9nX!i9^YZz=ad^OyBSFLa;Q2hKP(UC%Mq_U@Ez z2YfT_jO=1>zT(VSSE{P<)-xkz&LxJU7fYXRpEO~PSLoimE7M%dSFJN~y607`^ymhM zgp%@kyWcvjY;4Q&WsWeK*Dh%@cMF&)u6cQ4j!*moLDya7HWrdXPaK?%$jj`W=woQ? zC}iBz>b3V*lS|Ku`fXOp$9S5z=J(ue-Xh5IhLLf$vT}jIQU2i5OS1SCva(%nJ=>Uk zyhy^}l7%v(gq~8xu2XC0?2z$2uJ3l~=W4@{m3!D{hn~`Y^ziM{klm-|J3mQec`&=k z_K}$I<SEwMHC1%_{%B2I(stE$W!=>vrs>Q|2^~EP;wJ6iZ~wbYQQDyXl#_(F%cf=y z7v|EL%lzlhTd3LfMbTXF(h2kYdviEF(~K%VrTD4ldwec@c!<@nWZKMYD!WZjeQ#>m ztB??!!lHTi`MJ5?3M${UbfdOBh_cur;h3<?F)ch;_2;)(t2)I=eX%`@io+ML>?-$M zwI(ifk9Xk5Bv&6<z5Cn!Lnb;l)-SyHXV<DZDcQfPj0`g)7Moa#>dkonv5<wq$oR6v zD#qqrMk^i{eSGBl?f8d}9~W{8s|Ec1^;MF~;`HJRvMl_<cXyR~w{t9u{Bl)X#j~Wm z{CWSw0)C~IN0lo&Tib<w4;?ymV@<c#?t%-)qYCaaXEq+-=e<~^5!txMR%6fdOy7Wd zMd`>zg}#h}P5qofya#5=?Ni-RmUnww?|x^olo=BOxtN<?3w8ZEsKe6h-OR=-x2n6@ zG%+V;_XhqM?ORMO5B*fE-jK_Z)7Ik<`t$Gi`@(fO-?Xx?t$Db7#)=gyzUc1Qxl>Rt z?v|_G?!B_XxB6?BAJ(vY$+vU2m~y<U-KrlaCfC=L?U?z=_R71)g@!&p56YVg9$hOv zZD=XDF`mUpS^0;|Nv?Jt$w~WmUS95B92)ndNx@N`gWH2y>$}styStC?Po5DTP<-<C zZR@@I=j5++y}Z3$AJiZEBm2j5LKQ>P?7uq-AOElpx#YSnV@9!p#+}Z}#B;6BSf-X1 zp1rZ7P&vH*`CQv-v+SY^@-7TgvNjhI_yt0g^RBKE1$9bdVqz>V8~Pkku*+b+FEnG> z1XfA$auHV7Iu#DP<9jr=uul?}mYyAFA+U9)-TyzuX1dLL7`R1*T$K*5VX2;LQJB=G zc6D`ly71Hvg#;ZYGe<W8(`MmY*XD+9W)l7BU%+_K)vG?dpz+XAH*M*>jGhG_Dy$0B zdj6ch6QDL@Qpn~_(**>Q&nFmf*)1gG%NVY#Vev-Ibbai!sB4<uqI+V_7;NH6^kw0h zD0t_M;Kc127nQDwWHjB^UiCO@|LL{S+c&j6oE~52ncsYV!NiE&Ww~v+51!f9|Esx{ z@PgZCYw4>i3k{Qx)n5QL@y=wVrH8!v`uVf+k3|k27?^$8P6({4H+a2>g_VQP!~gxg zy^*^cgSam&`ts^(_cZ<Zc?ToqemibW$cQN5Z8|S|ZTahLrleM_LyO&dPdzB%&gJQl zIKk1;vXLpbXW=FO4`&@qCV+#W($8%|o@|b+&z(3{xvx7O98j*8bA2>nQ(X9m#Yb9Q z;`!!rFP}VpQK*TB(9fUKQiXzCeAp_>q!gA|C>S^iPA)i?erdrhb<@w<lha>M?DTa$ zARyT|jq%(Q1N#TtS8~eL6<ogh$HXBl;g`dXeQE_!t(@-Hk1VQfUtafT+1LHe`=#?< z?2vP?W?ZYmRe9*7=wtiOXX=gTI7V?_Zsp{d#k)rKwaeDmn!(FHm>4KNEcVTA+PFc@ z;@B&06E#6W!8`J5Vi_I_Y%?z{@vOSs(7<r*LQ6}_2j)q6V%amg9A-H5y}GvcboPf2 z9}M0ycw{>&h;ux#lze$*rSRJu8<oYQH>_QE;giBDHv23a!-f?OS2>^W)QjC!{~@Vd zhI854_U4sEmi$={p8GBh`H>sPJ!yjC<t(Qlt)(keQcmofwdzk;(3S4{q3?wDC>Lif z;ac8$R?_o~#mt-g*B6~y_^qug<D$^wkGq57AFDNdNa{Jyc{Rju!lGBQ^^c#fsY#d< zGRbuMBiG8B=z<fyVGj=-Ki?iO;nK8{%&5kCE7O$|uD5$UJI}`GoWdfyL(rG`bGw?s zK?NsP^ZK*uOy=nsyd}Pt7jhmLr_^5)Khv5$Jx|H)&V@v-gUr*`HB4y;kg=(lkpJoS z_I&^UQm<#2<w~t*<~Ob|xUALMe83@_X%S1KB<nKeHPP{)p6;{xeX`bR)$<%4GtU<j zFqu(bRl@p&d!LelcCzhF&JRxVwT+!zp>3`#jLCv0f{iY1%?`KwxOMiHjosU(mu=vG z+{6?=WBa{%UhhJ0UHW#|@yeEM=B8gh2UZ%cdEUV_HD4z^+V@fUgq{yCD)<^%JsozH ziMw)55IB4Ka4RR9hjWx!*dFm`<$ETqV_{M5&G_@(sa`Ei_j8t&XX2qrZZSdm_mdYf z2Q3zqogkBv-`nB);7FQ+qlYnn(4(L=D^5*+bSnEpfMlfYoeAbgcl~sJ@PjL;=*yqd zS8F^@3a_mZ4f{5K{n5BO=Vfbxr}s2SG?YAGySpyd`qlmY_Sw7-ZZq;S-coX6<>%_C zYSv^wH@86SDvNo2@(<qyf0)me|0u~YDth8k#=u(WASaX&X)>87@5l`9qCY<h*Xi}K z>t(34@yU7>KRc5c%zG=@Z$`v}7hSI&7;J3FQQ(yN<62W&d((&c;G7F(UtV9=XVxiL z;VN(O@X&MS77n$f8QmX#Gp{^YwK4w3(}OBo6A!cX={5c5`POcFpuV5w3CB{slFpe6 zA2(!srKF^^t#8=4^RncOA~}!f-!2O0PjKXH-0(bWTk!9e^E*QG@6=B%o6oV-t*gN# zXqJHU$;my^CwGK-YHk<3=#j9gadzekuEM>N^XJq#AMcf&#Wg|k&WFOs`XMLEl)T>E z+dF;P(m)r^>PEG;&MUgEORm_}Ke+LFvD2)%Q`MIGc#3}t;=HHh`=;QbZJFJazIogW z-KBp9e4jS8$6DgjsVt5clLTkz3iK}GJXGmu_uw?cet|o4#P#FO#5*T9O55$bJ}2O# zWsR4Ej7c$%(|1$H`V6fC-sYK47x&vG#q+d$c$KBzCu@DIg~LuwLRwJU;Bte`!}>P< zq!zoa+1LI2IUCv~1ej+;EGTu@`k|$TMa`#U+RCuCQEWbfjLh<aKYANtrfP@xJ(%CL zwPDGbIhK=6T?%5Z9_rZI_58vUB_WO@Onl!9zOf1#hjVPX>c=qi#5%zf!bVN<5_JdK z{%E|B^4)v%y=CRxYj1a*VOdgXd;0F41S!t??y!^lu2_3;WF1ss*51NZq4?H%3s;}g zg?D$l`~$1|(hrFWPM#3f;r~{2XZWeAT}4b5-ktwXW|urVRQl@nLM``)9XHsRrkyyD zC2c)9l!K|S-(lVa!ImutU6|AL+@~}wi1?Lxn^kN5ynDAzzL-|u75`zZ@JZPsX782V z%P$p$1l9jj|6t3=S$MWxG9qw4+YuGFO#gLp{R=amio`5jQ+;c7kM-0d^Y!t3XMBG5 zv0v(45pA-?+jmu*qVE0{k9&#=DJt(bOrOcxct<Ir)QIV~ip`0IVkIt-8&w;Gg5Cu` zjuJf)JJb1$>h&wU-zIT6_J$vQ{2+Yc-IR}UH`;u3p54l?&pW<zv5&3Kjen}S>rO3s zyy{Qls<00!kJ9uSr#(AyGoh$l&&7Gy6@%*=jQscn1dJvzUs%$ukf6*FF}LS{CfCN# zZ8leOStiTqGM6UpyIU|TO54|C?y5{l{$lOvO^aR>6>L#b44m_A?<}vnu%%6>4rI9= zzkcW4!LVP4zqf4U>3dY)AwOZ#Dz4L6ukOke{R)zhwGi}JTy^!SQ?1f9(74@K!|9T& zjKzuxp+-#XtIo}}wto_lwyyWdQ;YqY`zL5$fBf>JS)EMjB<aTghi9HnEcxeU5gOwE zAym7{h;ySV`{g@t&WrtW%4SL`iWHo@U_->Jr_pV%LbO-UsVbiSg6C^N{kAvO>$yJf z40^vUG&gbe&n#Xiw@+6ePCIKQ!4#&d{2{`fL#D<}#x+gX+U0BZl;=xQcTSuvzW%c0 z*Am{wG*)x>mQ!63VvH?<&3_oHT`aGz4QqQ9sy~0V=lS@+$FchyC(qBDwYqT0=1dL_ zNkd-!OkIu}LLh@XGTns??tZYWk7hbNWowJ8`o%S=?3o$gkG*l2C45l$*F$5)k{>w} zloXy`>D{1HxiTPh;vPo@CAE18d6uiU1o>u%FIZNpRWQZRPbDaGN8Qb$IrpUQ^_;4( z@KXu)+pr-_UQzj)Q`9p-mNWegS5I(o1u4!o6l%D+dk$yMGSmMt%MNyRJW)yKD5|fQ zu@ZJk*Izw*-mZrakF`HZRNB_a;Ix=ud-;{a=}jy1z82NgOi;ZR;`nI$d~^AwjdNE8 zuJuy&eXIA@*X6*xlN?2j3vyUieR#$FYQKVP(=?}hE)h$1DkO+=MD+G7U@?DXaiiMv zmG<?=8He{}Us)XcRz~*0UM(3Dx7N9b<d-;}t-mvqxo8W+%M>A|<DrX;TLP3#c9b@s zQu}&U>i^EyI$yJ*XV#qF`E14h5+gpwYE|VGHQg%79=p;ydKA1(v(9Femh?C~M{zQJ zYi$TUF+*tYD%e^A4kNBb8p2%mH#h%SeQKBbx+6iypDk-Im={{d`Cn$A&)L^uDY+dT zwe^YxFG?m{nzrK2YTwmCYqge%pTAwF&@k(YhU?j^nfI>mn{aCPRVgLq6{5_?D}5(4 zikjDEr--{cT8mt9ZVL@LbJnNs-_LZXM%$F7*Tk0;x&M{A`)YS(`-U?*91(nst0xGG zq&jsaU5VQeZYd<-`0u&W%4}`>$=ezZ6<7DMYT3`MXR1-2JV!z8V0v(G{_0ix6sCCa z-d`6es+G6sW!mR^#aGtFNoGat-QxT9bf8#rN6(#owX*|uikHR(tP!fqzNVn$ah}ip z?<7_4O=c1;D#kNyDvPSR%Kn;(>%~0a+C4MVSLW%BlXfhOt0zpX`ueKSGk40eZSIz} zs<X=G@)*zTm{T7nDEd#mdByd`rA4x4S^YaKU(I?rXV<&@slm$vQ&$Ba-J-E-t=5<C z7u!--Zd)H>V*35(#F;bG9v*5f`T8n!o%~|Xtiua-$ZXmA0n|Tws8qZnjJt6@+q%dV z8@in;4CWv3X?nFoKxDS_)!W(E*9F$p*wptwDt>x;`OLVF3~Wj*^-{);j*dBtuBqtg z^kiR=u_$QpPD;=%etyo^JTd>)md<PI<Iigf1bDL@{cW<=GwgHH*%h(PyDTGu&(1R4 z`HYY2xuahEzB8>q6U3U1v9^9LF+4bHgSvobd3m{BQr7#l(&G8aM)RHuY_O0#*xB!r zt|5`yFI1}CY8PMIG_UT`mU@G;_EY9NY+Tg3vbg)zw;j2Wn*}*?tP2{0rfz$}Dg3v6 z+P}IEHJ&^dxpl6+GhAm$bUUP_u*_flV|JcsR7#T@qqW5~Yiny^4sMUbpb=ET`X78M ziFbAszFE(Bi0KfcFFQ-?kEpF#M?*_uV`4z-EMDh27Myjq4|#ugb2|Uq`a3%(+x>oH z{Ets9yXWpQU+HUe7F>`sk(V~ld$Q`l=JfMvzI?x$IUE)TZ%lGsXEf*P9tFFM=B<ZJ zp1ykJwPVNj{QL9VdL$0kezbfhYf+#uStjJg+Cb&APkNl{H2!@5cwFANl2L3$M32_~ zNX~Cog^!N#_)a*)sHP?8cp!pB$Iq=kVb;NZ=}_gjfy-*E8>&jz96$Q3N6;le=l5fQ z)gLE54L-6_&|zAPg{uAS)iW<j?_H@SkbkGPEzRcsp%-?S45}gBpTM_e7Z<s%(Y%my zv`aK*>i2H_eGmG#<=s6si#Oy8YbS$c#HN%^$M&EM<EpQ(Tu)EePhYe^KlymyQmgvX zR~Kd&CTDzpc6Lo-<gOA;b7$39d;9%gvYuvNTa)O^cH+Xlz126(Sw2@)S8uiyxVdWK z-HdB%B(npJW{dxJJQ|k~k(8A5;>1Mdn4{s>ud~!?%UYE@cy;K*+>4?a$qq*x+#FPT zz^pH<kCj1_5(Z+7-IE1vY|qR#?~mG6pOg7!R?f1!r`C$E*in^bWVZLWZm4<M%{J#R zHS@(RxmdT(>^<$|<D_6`IJGXKeuCSVOurS4$vty|LhJMI`z<^;w|D;sY2&=QyyT7^ zhtnV4?S8-M=fVa*AxE3DkC&}>UD2@Sz>`l8+vPW1d;IaZe7(oyMcvv#A~l~*s<W_% z);88FYvsLAP`Kc?;B6BtcgELOS8eRNn%6~b)w1;wFWt2u@ZhbD=RmWDQ#6AsB4$kZ zuydK|$*j0FmP$%ZzYiZ_;^o|8<~z&8Gd{_|fgwA?$;l}~x_JSU?*dk)L?+87OWEuX z9}MDom;b4WJ9V$u`M{zS79LsqiW>@Vef{G4mMyLqU%b*+`SGPH<;I)+(tMXc*FMd> zey?xq&TGH#-eNY{lWx3t?v!%*(2JpU^FpqN7fqP)SVBTcIllg{sr%&ve-@tHTm9Vv zsjHH33N!@JerwUD9g2;X%34}ozh86Zy)bBHVQ07hV(G!jar9s_`%g7~dlBCaeVLc* zmwmJ{P(Pz_xQ+MmddGwXri_72DQ5cnn)SKQ*vnd%oe}fOXnb&)sfkT^^11^utnq?B z&LzNlDrGA}G(UEq;<Nwx&z?oc%k6<ctNgrWi?*mgSYH3!-*)QbOwQ?VwBIk{xZTz3 zo*82Q`|`gVmkl?zZ>`%~Wp8_Xv!<nHef`2WETZ-1Z|%G<AB~wT8{$^G-rLQA$HA(g zIq&*9*{|>K&)>@C5yS!MJlF|#e1UczS~HnFPaNx)KQF~2!0)j&>*|l%CnqMZDSdH$ z{e9=xP0LuM1n+bhia+`B@$r{muh(DhleLaeHY|A&Fv~cdZ+2F~p@VY_HXf+ID5{og zbD;4_Lfwz<AHRNWnyI$B@}umW!i(&FJ3jnmP;^?BJ)`@<8m=Jb_Ru%tej5xtb8l>D zT-^P@o_Vd{H3oa335(a5INw^9=+@QKbEY7tq44D;A;YvQp4z>a1UG0%RzB`E|B<;k z{rtQ#)}8-v8d#NShFQ*+n(m)+aaFC!qWbb;t*9jvV&4XfW!JF;=<rKz692v3DC<M6 z)_;%SllDm>VK+@LsVW;pD@eHtw|s7@IWV2EPH~5&e%ziJ``eB&nb*|xOIy?!KkzPk zaY6B0JA>lE8yBopR8$NK9j|n``5CbNd9*;)fn)u;GN!)Y3N8f#M{iU(G|yy9;WV!i zP_F;7GIqCFYg=2<72Y{9jywrTjn@)iU0FHv-0HXdx6*%f9LQ&RvMOe0(Hn5H|3Esw zl#<1|u$4irXM=mC&7Zx~4qvzAe*OQsovpKHOI!bT(oX6Xc=4uhWzGD0fejjxAHRHg zB0qcY%GdY)rOAJNe``Wa&xZg1mpYkBU;i}m!redhpJ$&eTP}F<V~LHz6uIoVwSKd9 z91)4y{#fK}jpLTAn@qEPnXAtpn033>yWa7Dpuh$RNz1}VEVum^F59ARSz<RwuT3Rr zb5&+yRV1g@TestCD|^;tzKRxfbZ}1C{ohq9_>`-tz0P!9jeqLvgWh`OUD?sLV%ug` z?QS+Fx1#2GU+P6!K8Z1QPZV^ya3C);f8#Um>+=?^ntE!&`tob%!Z%E>`*&GR%6v=g zJvm3y-^WV#U$|oKc6o9w`{gs1+@EV~w{2<I@LKW8zQU*N(@fqrvwr#Vd3{95%w==r zr#CNtaqFu`)ynC$YOGr{CaCe~r5s_|uw<n|LNZ50PtO5wnZj=y*4N+awh7>_&Hd+B zRqAm&XZoIa+p|?W#FXvtzsc@Ba{TV2T`GUs?A_(h{Wm*&V{*K-=l;K&ru1pqi;9b1 z?q1njU&!(I=Wnf|Qf)_fy%gD<CRq{wT>AyR_P@87^;WJov*6PW#b2K;e}8#qWwMC0 zu<O#jr-J^voqf?dJ=E;9+u2^p`a_Fyg@hhu-h8yBCAe|A{)JyxwNFT;uza4oSICXy zckaaAX}-#O^Z&mO>`r?4qM2K=@Qbp}@8T2|9XGdKB`*&>%=vY)G)MBx<mroF%ztdY zqWbn5?N54tO7(l++@91WqBrC1v<GX#ZXfTg`Y!KQywAhCZi#mO9-}#R@tY@|YhAXf ze)8;<MNb~Y{d&^8^2*zj%QJp(&%A!%zz*5jp`pbhN)~?4!usTHxu3VWI<w14bg|rC zwh8W@{=1|qCi1<z?P;7=?|HZS>-o1LX>b33zt;QZlJVZPZf-&O_n-bzUd+DbT$#Ld z`P_x;eD|*GThe)6Z1ou_4#|^-C6@vPP874~IJrHjckKIMUQu>?@}GU*xkNRdxR`3E zuYJ2iNXR!mMD*S~*;f*KZ6{1TKChzdS^ZukXZZ}XZTUAHmakKju#NMRo;A7WPyQDJ zGr_fYizd9f;{W+f`;t{_dRRqPZZgfU$(}5j@bS0(@|AI4Kb?9ry;N^varmK?3U`;O zM}?kyxv=y_okw|n--O^4epe@bXQh-Q3;h{y&S_Ro5HxDqVBvC6!L#4CbK^gy-TiS3 zKgH#RTs{7y<h^fpRnX~evM;;ehov?=nfm<q<;4HCPwLfl{_dXWTorI&=jD>uX*{A8 zOBg~|N4ERQ@4D5tJ-&Wo*xEmKd;8r_20f`ayQA<#H*0!en#f_bIrU0fcRqgE>N1)C z>IFO5mp>l<Em1PCi+;4R@~~8tK{}gj+x-Pgj<9G&t}@yB{z~t?8NU|(vgSRWoVDhr zvD(Gf&&#K}o$cK9_095EZ96t`uAabkwx;%z&nxQ;i|u>GDmtI-v2Q&$*UnM!;dRT> zvef&pu9QD8{_>`Kec?ZrTEn&;g?jbFpWg3Z5<k7(y)j&GLicLvt#2<(Roi3Qed>3L z&)>PS86M*D%NAwdGW&FPlO{)ALV-o^yI}p@il3i;KM~g@qi~|Vu^_Z%<EzO+ipoDf zU76r(&A}w5sO<5k`Hs@A)|GD<*WWzdAFx~P>WS4)4o7l+y}mE&H0RWrTaBjb=hnP! zt#_Xtrnvvs*UXEXO6Mdqn5U#am1o+_<HJ5(e_s8G5~j<&(VYCLzcgG811D}teCsb# z{#vc}@8hahH%!m+r=Pcv)_m~B^I!GL?en#JU5b{aq#Whv)>O6Z6st9xt^Y#5Z|;<R zYnxsj`#Wb><7_SC`*QyFb>CTiE6eqID>7@Q-F2*IS>UP}d~G(@>Xra^hka8lm&YAm zwk7Q|<GbIlyWVHKYMH*GUr9tk+k4-<^GjHsJUTFM|I06(hqn|y_x!Xrs`=a7TYl$x zYv!!Fy23ZtswD8Ia9;6j?deP_E+_k6I<z#~^5E2UDei6#8FAHx28|Y`nfKaeZO!t( z?!4~fF7N4@?$Y&TGK+=YEn9kmuXl&*Z~vIkg^zeQ9Z`=FoVNG4-sRbc|9Rifef6>6 z$u|XMj|Wp{%y63(c*k%46b{M4C&munp1t6RXz5vSjp>z!gqBk3>4tq@zuHOts92oy z`c%#SbMx6&*r=>KckHU-!FknXIWDQErY_G9&s%ad{@g-K<K-8=@Y~lfPgFi8utmW< zIr&olsWt<v^&al;&-qS?_pp!J@Z&j;@4Tu#tgUSEXQ%XJ$ezhu#%L*Z>v!O_lr8VS zSlHU9F>TGee)5I$pI6+>FW1}GEw&duxFT$GnU!SZ{<j8KSKXelVYyQ)*X8xn-!DAn ze!immch#47?(vr<q{n-yD?h$pGrd0U-~HP*>LEWLi_W~U?PvL{-%pKRUCm$NnUZoi z-~M9D^!W<|*ZVB#d|xx;p7<<D3rYWqYO%dvUd?uU&(Ay2J>~4R$uZm2v^_n%ma48U zzwp5?bKwCE*Zw1+6Qs@a3M7C3`Lokb^5TXo9-NE5Pq-=a{+Y;?KE`h=-mUh}GvuE% z_h$X%_&JsvCvIe6ELKV=GiuUl0?ngcIsQ#;{=b@-iEVGLKFQuTcS&9BtJz!jD!y74 z>oQYn+PZsQ%vD<!u0F*Qu-cC~YFnB#@B8bmwK~)O{0eU~oIFYB<-AKv6oQud*2=qP z?0LC;{~zNmr@4&|i6=jm|MYi}|J2OK+Fd;yi)U?pRljlBlG5Mj*giH&vK%?Rw#9mF znA)<;$#H(yzh%r4?Cr6!eqhMOzuRwi`TS)wUT9=RF4x)n>zGMh<}ATjqbAPgb#cq| zbhCrn&)v=Z*u~4gR?+(Gi4}?dm-gMYo+0C?y!hnX+bgeZohm<j>X+jt&r}S))l_D` z;^DH{*4ggT=Cih=UV7EaM^oq9vr9TMnx{Bi6~7Yhcq|~r@2a};iPDxci)S@hC|q8( z@t@BLCEi|1uE#IAyB;n4Ad_|C#p!c%t#_`{za;G-90^)1*|chDr}?uz6U~|&>YNU| zNlE$p>ubyP@Av;dKb@f8Ahll7a)pWV*Qsew_DhGARm<4!>G#sD?XG{O8*{EwKkZzs z%jK)R74JI*s#2dYXsz}*w#QYwLgLDmA6fTwu8An{h%-&*JEIf2>ZfM#(N3PrPemm! ziZz*BuK&BS&F=39SuRelCI0(<O{}srRjHl3yia}^tGs`@5Tmi^nc$;c*1=9SGPT=& zh=1cZ^l-cK&-}e*vWeuumfqF%)1RD}_x7K-+#yYCqoyza4_^Q0t+<YV(>hPh-H+GS z=69u~e7z=Y^4j(Br4!QD`xkF9ULxt3mM-$B#cfIM?QL^5#m?_>h;BQzcg5#VxAXUJ z)StM~MaBBf1ZPb<yLrp}=l=`1ygB{+r5%NjGcGOhoO4Mw_t2q3Z#ETGmPwrW-qf(O zUckUwa;8nC(e!z4Pu;60-#pF#|F!X?(slizua;e0*7!;CqtU(elv6*%Z|E-x->>L3 z*<gPD6b04f`1xL|>!X}_rOcPFe7}6<jEClp(#5ykcV7~&Wm{P#_)bJr(yusu?^2KM ze&0!gZzG(oKcyURdsDLeeTc^DZ5!YJyBlrD*qC4MRr<82`ujWCTbl}Xb8l_9^(JM> z%=paEeS2BnT9lkrF}k!e($rNVUy5h{F^6@hW^T>&zC7tp=lPDxbxgZbT;{iA7^gfl z-c<0=X;;-(t=caa-CuSyD!LW!>=xHA`YSFkFRy3Rp0#y?V>8>vN*<<Q-|cyKFa18m z(!1|h(=WYu@9N*h=<u^T1@`#GUl12<2{aVn&pTlXXiLMbt=T*EU)?zH^ZxDIvjhB? zUEc(xr+;{G@EK!}n;=V$2;=6-f=|R9XTCW%mv{BcToLxTX&Wz^RQJU$ESje^YgyCB zizf2>&1dqx`8zv5!*r_h>*)8jPR45ePge-c(3PuSq~BYY%eA_`<#kw*+I+65k;)6Q zm$&VA|M*Cc?Ied%^tm|}i<F`tZEBII6F+(C%jfeC&zLgov~|<(f8l=HZ0&OA=gK!9 z7C-#MpK<R^rsNjYS^92ivjl%Ex)bQXjYHb(nh&#Y&~CS>E2e&mjog)W+By2>?qca) zi7UNo{{JI>Zr?L6arTG1H~-du7gIAla9^g#P-BwPBH<~AH@jSZ!sdTxOW+OOe;KpX zT<Z6nIl*D){?R<Pz3R@B!pH6U9Dn>ABAS|-E}c!h;I}C1eFG!&jF<+QjHde<t6qP5 zdwaU}mq*rr{I<$CdgL-BH9xA}<@))8{KPhc)cPNZv;2j$zAsv3`OKpJpN;Pvi~5QG z<qVTp){Ce4&Zybc?Q`YVt7N9L6ZfVbJa@KIsrIcn<L1c|g;c#Z%oVvR|JL{4jpaF~ zStq6+(LTNOxytzy-@Bh(oRlvXFy)fW0rMr5ulZVLEB_I(3B7iyP<VOpe?{erdu^d! z6Lxnky1A(9!^>MUHY+Kw@0B_e)wcHc`C!%iXX>+`9<YCUq4DmQ?+Z_dY}@0%j!!UX z?dyKV&EDtzdS5K#Qnp*O>EI)#zi+1(zkED%^2@#b`xhNN9PcyV*xG2(?j2`NoZ!~q z?>Kqc*=Ngkc<xzz>5=p5FXz_${Bq{RM*g?&@5~f_!}90Kg7nO9P4A7~)ZSh7)a2#W z=QB<yut?Wg?VS3xUhBLE^VIYuMP`+5=T_S&v&t>GB)oRBn(ofne%tTuV$9~(&m0ku z^z`z2q;sKLOn1>N)9hvCu^W?E-`?Lp|0H9|>uYP*oOE!F_x*4awCXvEyVg-Ou0{N? zO0I{4f<r-pY|A!@sYQw_mUOe5`CeuWVp3{0v;Y6cc&=4x*S*^B^|7xmE@q#->;JFU z`f9E>GiL=)c`v5L^P0ORrNCEAkR?Z!aq}d>7@ZG?_ZK`&jNZGpdBNKEQ%)V&_4r2V ztBZQSQ_{HW?=CmmU>5GTQu1@8#I5(s?uQrOSkZoJ<;M3Z8uynxOux7)&yw%v-+#}2 zbEY*qByTD@sl>;c_xnY1NQ~{ydd0Or^-u2$+^MG}d8H>O=D+se_e&Q(3BEYpIaYbf zV|AsV2M<<i@Bg!7#=V&qZF{ss7M01$FaQ0DQ{c%H^Qk+|+_Zc>A?<Eq!Q=MD8aW>n z!Z?oZm6Z0i-uCBUo!)wl&zG|&rxi?3c<OxV^ToE3_y27B*j7dC)Uy958#*)j`|5%_ z^=C|!cYRKEbGyKnxTE9P&IO+~DTH&HXztIs;d^5KBJCNQi@RTyD4Rq)&6Ygix5^`Z zf7R!@rG-UlMvGg&DJ_v&xOeH^f|?{wJN?;Hl-FuX76s?sU%2!&|7CCHP2ZU(@~qjp z-%CPC`Gfeuv$AP|7L&4=cv(7npQ-R{VhWzHY@<WHwZ+;)EuZgIzfX;j*}_{e!}QDC zYZCkOXS5}hHL|fLo>Y)5aJ$wac*gNU(*Yiq;(*0&y(i9}?-$<F$kHosKM%BO^3}b) z)$bT539>LsyB%1QlJfM_)HzIY{<7b+)}Q-*e8r=3cE7mALhJlOPIufgIq>L6peWy( zMHye_pV}Jop+2fY`_?0+Z6TR~4h9LwJbir=_Y1Dy^z`RS*)+D=gS{meoA^WT_3K*( zN_m|2NbS0C)nx(ymGhtNXRW(*e*FWMlmeyPJ8Mj9w>+rUdYM^sHR8^V>)O6dTMU;^ zt>kcF$$Xgo<LXkz!}I*Ff691mQ~XJzcKe55H9NyV!7dF8&HIb$gF+S-iB>&+%WrYN zV;}Qs!;~eS-P@+D=#W}8d4d9O%Jcf*w4yD2ik7RhUhFacm}*u3jm2{1SF@Z;P0wof znbf|&Aue=sZD5^^_|%ykET=Y?M7kD8t=#2we`bjFi#4Y%NzY%Cb@|HKT})s8{^oyi zI{w?G^vBjWUY|dvb}hG~r{-_{*XuIA%=Wy#AEX`L>P(pO?CfQyb?*IgzOq&&6(^=N z--`NiX2O29XRofT6#hLeMfJkI1N&2s_sQn8G5&0{=bV$Vc=6(!SDIg|`PlBQ`kFM^ zYh%*U8`GC09&YoAOYpj6Dl9pRUrkm(y_S))slT^FA>lR0jHx{hXQyihx5ZT+-Bd3c zsLT24Q0ej&UadwUN7aAJe@NFZmv)WO*?I4eRax4?z1y1Hr4HU$+@+WH{zKQQy^hxx zzc?CqGy6$SYW=nye6dDM?4c9*ezb1MzTWri`}^~1{xe(_taONO`5~qsH)qo1$&rhH zKb;<5G%eg$Krd!zQR=>Vj;om_E#N#AYm#xHp}vh*`dFpMg4DvIA|;EBNj;aAdT(ad zajM_DXU~iu2Woo1-AY$b%-ElM+w9-FtKspr9?iwi&PdL(DqZDhJW=mog+M20*vn%> z_!?*MirX_@ZoA6fp4#Sn!{u!#-~9ds4HH<h=J_rSee?dyQfZNObA%WE65puDw9U_L zo9qsU`lnvI1Lv+v-OPF{KD1$7?8#oK>l38iw<V-rbeP_w!1VOg)c<p~EbL_X*}%{G z$H{>~Oh4|-Vp*Gt33Giv?p-Iz7sYFFI_sH43x9UgzXGjRf37)M5AB&ItDdo0agud1 z&!WTyk&TjUOW2v@MKk&xd*)TW()`BhXkqm1khl3G_u~32)`^0GEHdC?&W5q!>}_l5 z`t*;CuWn0Lx@<bX;n(yciQI_8vrkPqWhHatqQ6S^`}5OIdPIcC%nfqcy86?~lYV)s zOD?>xpTFpPT*l1U;GcHVEGn{l<|s8a{8dUYGh&ifpR<0;jhf%BF1DI;xtA+z39<0a zI(Fjv>hG5~+s>|^6nyc}F_Y<Ld_4|9r*fk<e$?oFl`gaM#-bBB+*8Guu8hAX7{kXi z^X4|Slp{F-ryQCZt_m7ROJ2Ov+cCSGW#-4d_O=)I@$KCGFJ1jyPQ2#IQx8j;yJo$Z zUwfrRIYGJlgiNEW)~T$Pu1#85E>l9!tW~+S=evxr{KV3`QU`Bau++EoNVHGhBE*s- z$hdjp#D4pKGxi=X&7HSxM`H5z5;cRiKj+;8cOMJweN_~0d0IEJz9X*U)DHEe#cWgE z%9<Hu!U7#W?!0$)Wx&DzP9B?Y9eB5R`pQsU|5c$om)w1Gd78LZ%8_aPeQSILPDn9s zo+x<a?e?szU2`|dxYpe&n|L_%b$zJ+>Up!~9#LO*iT^c!QES-sM>l`ZPBEWXlP}M4 zM00=tQfa=6pKGI1qCZC7zS!CDy5zkd7w;>bbLXE~opN$J)4HTbnIl3TWcH741v$Bm zANy36&B)$Ts~@a3JM61=;8!mp*@qs_n-=bk*&*f0?iaiCq3vwRy`hHZC3vTL^47QZ z%wU;rBF2)#1u~=M%tEWBi>IFG>-1jeUvKGl{+rR+b^o8gE7sZ==qMT(cz7A#4xM8) z962}T7ZgR_S^h5f|7qXEGcUZSe9L)s;n<URO1F%YKNxN6ogkPzaZ*`R!&}7!F{7fF zmsI`2drCg^X{4_^cESF5-i73~e|>J<yQy8DxaIqe&{uai>QzoPvAep<bFxSBYGnaA zS^wAn?bd49gd18huitj!Z1uUo>uL9%gkL=T>UG%{&d;-YTH4!-WhGSXg+ZGQFAErO zOFD`je82Cv-sMGs%XCkgMa%D(@T~T0z5F=Wr<%)c#q)QIGfz&J%Z=*o5;I&ktv7ek zg#F>I^-;$ES1>iRhNhj~*3}_mY&fO*^1IDnG8Pww>|gcq>b%e9kCwf)(?7`(v{yM< zTWC3_q~Y5gp4pBJ95Y&b7QADArSw8<W|2z;|KHj5OEypZ>0V-JAur(vn&R#KTN6@t zy{nI--}(K?|5r?{>i$`kW`s@svuWk491f0<;Jm=qCiSK(HGjJ3lrDNPdE$*55wGs< zHWy#%JT1hVOY7acTU)zV|8WY~TK@CX(>c#%S3Y@tb+x;3`neJd<87*jQ#XDVXG&94 zK5z4xCwyAXub0bZ<@T;Nf0S`|UbYsy=UbV#rTe}9%1X}m5IwacSlRx)Ot0eHHSGs3 z7AuMf)dkPI8MAU}QN7o>&OaMp^L)*I?=5=TW7@qr57KkwYmGjAzh8eDG$V9(SLrdn ziI2-BtNSn03SCv8xCf~}b!CaC@VBMTK_{l^s=l#5&fx9d?tVZgg+)|(xz9|eudlC{ zR~*=I@bmSg7th~{XBK=v780;rXXnP*?Ju8wxS3#8`H-#NbZu<otZOS1O7ggppHC>W zbboN}Zgt5I9|QTtZKiuydgjV&y$d<=gz>%B^K0|XWtT3D%?|MWC}=2YA8h?%vf!0& z$Jm*Y#%U5VuP!Wf{_=MF{UToJDH|m0>uRb*<3(;Uci!BRDa^{sT6odcrextc9+oFb zH#eo0JUGBOkNNHMbM<pi{|yXgn_6kOZQq-FduMBN{Qr1dK4uI5V;&ic3EdBO?%rLT zXfN`~d1~C1ZI-paOctK&TX^c=vPa5qSsA0<4(OyDxq5Z#<paBSJdsyF+i@dh*48%) zyfteQ`{o7<b~Z}O<<->fOI_i&byG-K)}1Fe-?N&go{QmI-mqHGN5D~pyI!P1#r<{T z<kz!u)nC4Sc=p6)+uu6-EN8h`h3Ne)gDoboZE3KRJm|Z}f4<$HOXBtQ^>qzW)@3?d zGcUKDWav2l>+9>86%Lmf7d3FF+}%|g`MB}aet)~4DhCtgmoWZq<Ci~o^b=^O80&|C zo8ND2Og2e8#G<$F`o`qr?e)vt7Vf!l;X=t|Govv5*j-aNdH(oKSkGDC{N;h-`+t9b z=X`Pu+_%Q%_3Ha<S6e`P!7PJW7-zdHTu)(9VOixd<>I-1qw1f6t76yAIT<MWD`M`x zn&xvcJjyn{%~P&~UX9}x)tVu%xb@Y%g{+pfnm!XJ7-+5eux|AR^JSOv!n$qhS0Cfr zA>}tY<j1;>uL2iTl`n*W)|hS;pQ;^xZUe`RDS?6IS5^f6xx2IYImh4aIX4eQasQ}( z(8{j!<8a~{QSGn^{r&uVKOEwI^8C4b{+^F)-(xqY@rE<T?I=k6WuqYQ<Nn#Ry`8*1 z76M;fLyLVcGum5ccwAtsQ(x{kS1IJ>+xm-(*=zs*{T_4GTuZBK^}=*j=q9p-oI!dD zhumERHk7gy`MP~rJln3;>hI_C_S^P_cdyOA`%b$=@yE2TEgw%$w2CiJ3^W&h*|W=X zUbz4Br=gs`vP5lGX0--|9O;Ns7rVWnnRiarbG=x;zOeNg-`H0MZ=F*$bz#uEb31R< zX9j)B{gr*cQgqvEzrDA9J<HO0nPUaoDs^2ogJr?X3*t5wjXVu58KTD$!y4;3m6#W@ zX5QUpI@?|0gKcBlqwV+W7H3~yw{d6M)m5P(%X}pN{ad^JUJ`rcnf2P`@9sSOBw?`o zK&P3Z;Y814=J#uyO|zGYK9n)Z5Xg4iRr>na<9dZkm5y^;GA~C&GhY_`^KpWeql-X6 z3dqZ1`f)xR_C8{tHvehS3*D$a4|%o*Of)K;y6lKdkt&P%nT%aa@@Az@`N_6~<;jtq zGhY7VeiqSh+dpx}lv#bLE<R0%jC!Ahe$-5zmOFP(!Cc?G#Z}i9YCV1Gc|~WzEQ=03 z$)9gFpWjg*Cbos=SJ9UjfoAOu4H-?`i7SJaX1uzxQl|0qtJUi_u`x4&H?Zlk!#A)w z$UV?sr2qT-`{mp3*Uc__bK_u|gn;Z9(C)$Y>+|pL+fww@>ziQXH&zy|WpXn*CY+Ue z!a8&9$J#Ka$$TajznFwtYCAcYw)HuzJIPU3f2G3dLddjj`x3p^^Y^XY$XULka4lEJ zCYQp^zTzTcr_C?#Xi4b`HZ7<LG4VUP>D`mXamPYBOm92ANm+2^cDZImrfpOHis@D5 z<)upD;_9oOJ@yn8NqaUaW9dn&BE1<lvE3eXC5szp9WE?6$+1Ps-{vFB{RgIuj1Dt$ zJv=ygW$Ue`baHJGFxc;~xto2}r>Cbc&oE40;nd3YMx5#Ygzxw3=gU>UF*JLbQTzPN z%)_-0KYx5WJ^qu#3+A(4YooSCJZ2I$1Mh@8-)e9_@o?MHdN!e^Zw4P9SPLl3=-A+P zVy1EW3>in~NmFeqJ~SvPD;t|M{&c_m=ZQejOEr!N-p2Zv$$~bnJu;S`7Rv9v8kWCU zI5sG1($r{X3z;px%JD^7K{wWDyXFed5N|r17c`-&a);<*uctOQ&IBx*!acR%WIf+x z^T(4prTSk)cb1r%wB@aQRLU`*?c;&dhtJj=5nwmA{L1&%T5QFkEsrxhx~8psmTT9P zd~LF-JnyoJf*BlD_21siOnlyvw=z(9tqt=Wmyce)zE5Qo*6;bm_4C)Vnb!nnamVxB zd60d5-C6!<Rqts&-}M{ixz3n$ENJ9pO_LDd{L#8V-bCNvq=FP%ou<KI5&d~({|+{a z_N~*H-MDDc2Tsr~9mnRT>3LU+&#t^Wp-f#~Htc4Wog=6K^Jh$`e^=opP$|*)cX|GW zTe0CGSMP7qa(7MbKG=Mscf$*dU2=l%4=-}E%<nML`fKB3T`@Hvs&Ue*v>tWU>gm6~ z%LnAt2pv7T`~vUR_Z(jj&70dLrljwBWRLgs1)Jur^I_3d{oT)gX{PqWE8Cqzvm$q| zS-Naup|6XAzVU`xJ3=z`I5_H=syLp^>Nz0D?Jva2?e)YxE&X~t`}&Lb-JL_vb$z-L zplWs6Gfyb^+#QjxSLD`hVNuZJJQdEQqN=9nndu{<8MsWj{Cd)>w6|+6%@kLh{>b&} z>JDGa6ZgVWv=m>Ot+&;D+IPuLB_JneQ<bLBq!~_f>yDn>?Za6VxX(DwXGhGL`gOIJ zj!P%rIL_qs^2JSosx_6aq492;Ud$@;i(0&Pf?)Ononj8AX^slvDJ&-&Zf(szF853+ zQn^9>m;E=^OW%|aURmH)d2Sz<QiAW!m3c1|R1Gp!mfu;*k(zXXSKhqth0h#O&BD;^ zrm%<ePUSyX^6JHcX?$^htN6J0uNF7aVGXVS$NS^~!^T6qznT9^Twn3<ef9r;e;*vI z_1anMzw+q&72kKW%;(!P>FVkUulPT)s0Xik+8D*nA@rfKjlKA=2x~BR#}rf5xV0~T z{1lkFK(EKj^y|WTYge!M8(qw5G<_pz(W97VraH$BIi{UT${dx>ds!>Gx+VG#*dKSw zIDJszTYYN7vLlym-%mbzaLZyr;fyeWWn7CEOk`6NSXn-EgNvqbtD8~DNq0-pt{P>d zcZx-eCQiE~r{`nRrB&RecT#iN3EpEmtNvt}FeP#G?YwZTp(Q5#_sdILnzRa@C;wrb zHm$O$VZub4##l8`mNQKb>rQZJeLeK@RKTs|&1=pbWVfuTw`=l?=~^Uh=sBlRl=a4v zRr&X1OC}gRDd!Au<vl&MXqnqp+m#zdww>P1ob>37lba8V&n#{xvlft1lfEd3+B|ya zTGZ!|k;3#g_}n`7(9neZrLikkc5r^`?1*4*^mYuKV<;LmVOr^_pl++DCvF>;b6!}p zxoD=VIHRI+ksU`p)3<JrS)16uy}sVhZQD76y)ig^QRe@b23>vLf&T=LuH01ax$04@ z)^XFIGn=)JOR=6?(Wv#u{6kewQJ3$MOR95Ll-?~0+1{nnzUjHLZpx8){qxq??pL0m zZFFf(r184_7q(<x{?oVk=J)#F=W4C$3@7!iy1w&3#;O&cIzqmEt4~Nu$~_*wIbV;T zttY(m5tH-cm#dT%PMi-~U8I>6vUrQp(J5i3nU^N{blxy$s`Pa`bolU2zMjdGC)YA- zt`=wc#LyTsQBZ|xSItkO%8H672QPiTKIQqkV;V(5uFKcCOxzyMIn`vP!2ZWmCx80Z z*C!`a;H;b<nDIk**Wy(h9_`Jm5B1NASSxaMRmi1_+vn?lpFTZ>W#zs2x}T|_(;LpZ zxlNeB+xqT|?lh_EcV18V_(`0}QcZb<z|BRj-0R9TlVVx&T+}ZeeK1>OLELob{GvWy zk*m%o+;Kk*nYE49#r5xSO$;m)S#>Xeb=IEH)4PLvyHr=~I@K5DSL3jzdeXClIrYC% zRLsn#y*{(Rj+^UdWKck1`So?NU#^D7e>Cw>wy*zJv(CL)<g>=~!2NZ#i>H71^Z9)7 zT`A|8DZO$>9Guq(YQ73zA2)Y);*#|W31uurK5l;VY))R)_Mc;s_|5fX!!kty!P#e) zJV`pC>*cZUaD8>9#pLB&%UvfK`%hJqs~6X*&-nkWV|Q1I%c?4az>S@ASa=hU3BG!t zWhbY_8KpRN+TNC5x9588jy9L?%yjpOie_T0HEO!TyyD32yt`K3KHo3Qn5^#qtmK16 zOZme?tn0FyMLw^M+WN?;LV?jkF~28blFLGY_?jA<@F;OXmK@GTnaP486;2uJ7Yg0o zw&mcT9re?$SfA2as8r&lEUc-b)Yx?OzWE}d6-AZ&O`n%_Zx&8ZE)9O4S5dB+^^s@U zG8y)k8%me8w#a_?dZ4=Lmwe~dfbQjUPVIR0cxl|yiT`BZpVMkylfq!en(Usl+TU%# zOoy#^L7js;daHVsbq`L}4&Slh&X13eFKfSF^p3~l%rf8l*&HWj-)jcT%k2wDXKPxu zYeir&tZxA78Z22Ky*<yQ;zL57w1k8QXrrU}!NZ4dzqz^jXTA#m5ep6m2T$IR>qmbW z)V}um|L<?+ogIZNxhAi!#O$e<C?q7bBI#(?pUTI_dUsm>Dtmv=)^@>zW`4T|QWh6Z zfw~*3>)Bs(uY3INT~PQ*!Fv90+m_2r7MybRSU;1Nz2I*Lg;!x+my}diCKYLV?VjNC zLq5>jW9vGhrIXexX?tpF8O}8NE%Mv^vDUr0b1waJZ`$Pk@9eIq4GB@-LhCZ?wa;>& z;7gC&yF4}F@B8<^SMAE^@_OHwlJ#eu2YY(r(=}_#PlPV5FW)aC<(<VfHTP<#*HjI+ zd9P%n`hv6`9X;)wS$So5he84eW33TW(1qpx@^vq}_wTn~t|Ecxda%o_bFf+Pk|FQ_ z>!!TByViVU(5(1$QvD_i^I7&^yz+K)CQX`@@#V$E7>4&>US5vL6n9w`vC-tMjF0Jy z{i&zL)a>gQE?gKBz~8iLzxQ;#r;BO@&hX~)onQ-6cL>O0KEgV+)&Ad)<X;cl<!?-9 z`CInxj_3Zr-=wpxH*7oFExufwndM~V!&dPfj|{YaA3Jhn!%Oxw?fm@rTYOHrP5Ia$ z#(MPsm#1^f?|G`{7rAjU?Q~T*p2Cu|J7#B*N#dcFJL?>O-L7X~^0+FuxP$YIO=p*e z%Bu1|XV)*;@;ynbI%|iLak-;~$ju$A_2!iSSCy@>-~U_vQ?|Lw%;rlST=%$FcFUgN z@^MKOndzVwp6;3#(<L7EWU+ze|KCM{@AY04PY_tHwCL%E#^6Kmrh!gtSo`AYYVmA+ zt?+eo67~J<|C)qfku|N)5~*juZ~lcnvEf?M&reVP6crXKhA<wB&<<OpATKY!@8Dts zjdhI^OtY_D*p_?SVv_)W`o)VE*Brb6n)&$h_xpY0hYt7kVs|ac_I2=b;Bu%lVLa&Q z8ec2a`f8t`px{dvwr2`G>`ujRZWzuo%@$j~x8c`Y#ZBo48W?k=oUSI;bDRmgAU1Q= z*<*DMlfJMr^0ab^Zrc6XMJ#7F$CDX74_w^m3EJp(a4<PAh=<xVc5*OPHa6%<HXdX6 zC)k#^=lc5ibRz~K#?L${zrVd*GqK?&Q&i8jwb7e*y6*0D7Utn)c~x*QW^wi8b~a|d zO*7=huUM77GVr{>BE{nG@BeR&dwsv$m$T;g1-N>g3V2&9S<_@WwumbzdQa0yloyF* z^lbd*eEKyD6H60QFON+6#%=v}zdCj|ENEc(`|I`km(C3Q6SjQ6SFNwl*MCNnBc;=! z?<7ag;`q9ss*2Y;IusZ{=MPm*m=4-G<SfONn`>a{Gsj{gC+L`rL+Q+FVlMR$_WXLa zdd<OxhK>hnd_jdD9ypr4fANjg5wwwQwobw~$0>>&+$_s-EH)jgnKWn485j0iZgIUc zQX(^aA3Wgd<z}(dP~cXO_+gv5Xe;Z%6=7?ovb7SVR>keL0v&Y&I%95C)K;y2{+~}K z``@T(G0kSGu_}Fagylp;<1*K7f%;2tneU4vs3>vF;06_rEB^V-wQ`M%i+dK;-IM$r zbk5Lc=8Wg3ud6Cw$g=r(L^vnzA`1(HTF-<D0#a5b8nc5E@*01#{*$;6p}<pqW`<$N zLMPU5+>P}oe!t&;zE0c#)^k|cc)(fmn1g&qctNbo{1q!S^i;TVIb`Z1nwy(5Z)`}+ zId!m9ScPSlM25wK3@*@N8Q*{YdcFRqu>jWu{w)XR%y@pRS9(ua5C3HWnShJzb!rM; z9|9ZOSZ;|WEIN5&qVh_|X0|<S8SM@!o4hZy8E#~&Ei5Zj+vCE*Sgbff*{ErW<&TAr z*_D(yGC<q>ixu?+FPz}0v8m^I`n=(DMz54<Mdye62e_|qs`~nB<F`ZCuTS4^UHwhx zcdCn<ThQBETW>yR`X@N6p7T#Y!ZJsevyc1j{TLY;*Qh!~w>(|+{^R50meSfj+Pktr zr-4MgW?aO$e*);3AYa{C@$6gFJE|`Jaz5Ntrl6!?XV84;$>n{u)lK)i#W?GmIb<?I z9HwoMpP+SxmwDqQmh0IWl@59dVNL%zYLY<5_c$oHr?9MYpCD)v&<Q#+>WRz-R`6jM zOTY8`^8JY^F}0mGFZh@^%bHGyz7r?<WUX%$M_<p&I_r8mW?N@NhFi|(9Z#l*T53r) zwz08#E<EYzv93emK?lc^jvj^jeX@y3AAX1lvbY#4J#Wxec(5Ynh}edyrW{Pu+&~sb z3EnV!xI|S69BK!|1O$wdxZNGEDR4aDX_T2L*fPa5>&gp7|F?5|_4mqfG8$gCxO8#L z{=FQM8}}!xX^XL#uoStt354_O=UQy+;$T`NIkEVFn7{?z<gKk=Pe`%UGddnHVG+3W zuY*g{*s4@5>1yEp15+m5Sf=Y4bz7Nfk>tkXi`BBlK!<@HC=)Q~>`{0oSNK*=PHx*~ z=19+Z$_gne=lL6<2fFQcJCI>CY0{)a8{cVYs48*1P*O;7ImZq;@2v5TLV}5;V^zu( zRfk8$f{qR+I_5Ap)kBWNeCBi@!)R0Xbv?a(EvsfIH8m`rEO<rO@z>j=qg^Ktf~|KD z*kHiqHhJRw`hPP|7b#0G=umiYBIU`4hlgXl<V2&p#r4lcyH=L}@SbZ^`Dx**RZ@Hg z$!TFVI=lB3nQ<~TH7HEDFK95Ur{>qo<swp2r&L$DvNY~ds8`l#Y3o|85hf-l=NGyv z<YCrIji@xggO>kp=kLFGZ*TSG_SVi%|Nj1tdEgU&ZC&i?sd3FsO+0$atM@&N+5Rs= z<6hgPPYR*Y+w-<Q2{GEH06KSbC&)8Kg^yg;8T>pZo&O}u>E)XWj)=pJqEDDby`{}^ zJS@b$r|X?fy&k=_KI`a`BXgMCb3+_lk0mCvOpQ-YO3HD|mXMb}ufnVPZt>#9nYXrN znv}i@N#3TE%K|zV^Mo)<kD4-Q#d=Em3MEY?j)-XErlL3J&Yfc^Ua06eMZ9Jfs1UKU zvx_l`y*%U3r_=f-)!%Y<6+Pt=)d*<#_5HoS$EN1}ir3f0KCTyH2c3%5(ZQi7$j|F> zeO>I#7c6XSMb=X$xM!C8URxXOUi<r7ZHA>nnnpp`s*sgBzZV7PU7K@Nk|X`|%gf6z zpVr^+GuOIYZOVlF+uPR8+0q1x&>K3SnCg?Y{>1w3qPzUon`ciP>TzaR)(2{gUAN$m zO3bymZem&Y$D-ae`&y4)%npZr`}RqOZK?d6#%Dd>JYR0Vv+{?-{Pr6(*_ncZHmCVs z<4foP9S9V*KF-&+>dOLQbw7b~xnB-{FDxv)vMJTOsgwE1^Lu-%bLOoHSt<0p=S5cH z1bqV?ogVE~^K7f9tqNUz?4Jtgn5)XouV&9Sm9F*(xFW<aU{HTqL^J5fjl8n!enuN^ z-Bp~-%FV>Dm=MGk7IVft=Z1r6))fH>*2Z>$4O?cWJv%dV&B}(zV-tS-{JC+Pv-X3E z7Z((>?(MNuRa5)qE8+QT!O@0k3{AxnN0NVhcz9)rr?8q;x0vpu_xpZ4;*Q!`r26*u zcKuo{i(LO1294|D_s>hLs{gSbQ~~*T@pz`y7H>{V_P3v~3v{C3x3{-@-zH6eyTq(F zR?aMPi^2hp88NeuUA;Or@6HZKJv}|9g$kfeiI*5ApPHoVZKS|2%(X>SQBiTt!iEDn z%uNiFPakY%zv;5j^%!U|yyd~?9a9)J8)hy`I@)zde4_r=?CZ<;?S9lN)aqSH?&|7F zIv!cuxS!*h;TPFkQlKDO9I$jFldc+v#F_9u9Va)LpD#|`laXbde1c=1!NWaW+&eoI z7W6kPHC5hm)8TW&g`(O<O{R-l9BZ#G@t&?1vahD{+s7H!<$7x)H@AIzfB$^E^I@Zc z2M%QxcQ+=p9~5`pu3uxjK=NXJ?*+#h`TOhsMp!S>*Nxh;;9xVm@osaKwqMWE&d%c0 z*uB}n)O6~dyLWHuFOA(@cGGnG>-ViaH@-fceDrxSi;t4>hN+Jq@f^1hbUbh?g+*-| zBQx6ud5?BJSq{#V4m}TlHx>(}O^>htJ9U;>?y0_27lIVRIsSM%m@Rnu<Hh3siktPI zV_|=H=G@s~xcB}1`hO?W<}US~e(Cl4{padbudWXFzn?bSEO&+9Tq~Jte7w9ho+g=h zb{M+<^qFTfQ#M8L?c%3uj?&Jx#hahKIcL`*e}7-??8B2D>NBn7y%xM8VBwoxx88oQ z^mThMJ7kedr_bjz83zs-O68jJ&b!N`$1TosroN-WGlfNboB913<KWqQC9kVG-&ACp zcCCj&Xac9jvPVgLlNtp+i^o+oUIgvi*gt9V<eMtYml&9uq>VSNSaiuG?~IgX!)C2> z=^Tg3AO5I%eopqAqGiz&j<fUa=b!9UQ_$P-P|=Ich5fIoV+nszkHQ?WVyD}Wo;{nE zd;iWF%hmP4M<x^-)nwkQ`<-iTv$-d_C~nfk&(F^nUlHQSP4j%eB~~YH&x}sJzS=aV zO+5Ei1oHo^`@;InW6t`58OOdW+}{;?Wuc&U)Rq;62RyYM53mUsboMx$<#o4lQRv`c z`o$5^+{5vz$srANT%n88*LQbkdul9Nprfg<SYztPtKsqWA5Ao-Z>;%QbnTvFcUz5V z!GSjAuQxU(Tk7*&Qb|!$xY=>(l+Mm49fHa;zS#Z$^I4{;uf{s6!^+Nih2@q;CGasU zLXroCU+ye^ZgI)MML@s^RE;Vw@OOJKxp_&x!TZRlgn7-(rbc(2q7C!zSj;lX6mrjW zjAn~hZ|QPQu5Sz|%DC$gP^6T8ZqCDxf>&2$a~+AerjlS8x%-PCi;?pI6{9AezE*DW zvWoRJma#=`4H<4lZL_}^@iYBOVKJ|9@lT!R&A#yd{kq?}zkfJvHmLjaqhzxT=(s_T zhW0Q2PKY+Ulwa_0aL{`oQ48r=K2+h|EVt!2$Kqo*e$^MfxnXGb{9q18{qkL<uQ$Eq z;kyi4tXH^2iDlWWyt})W@;90~++HZ}z+kWC(wmt3;q_(FmR-#|IzU6%ptQ3_ey4<6 zuT<*m-;=&RWn^-4`@~Q((@2)F*67on;`4<aW^unBy?^f?yv!$YGUuG`z;KQu%oC$_ zymY?Ic&L%PUWJ2sUG0vI_CKFYe)ECHsj=>ZzL%HRqKk{&HyfL*vTiO=c*M2vipP@W z+8o~Q@1&k=Klq-th54Uv)FHDoy&nn%cqT~Is)tXI>xo|I#2P2eGBH$wyLKTbQ{=%N zyY8o!&N^_Pb*bnV)^oR4xT+~}L@<L25SecdE=R;Nd!3W(>x;oHm1iE>*FbYW52{$- zx;{NM)i~$#%jNT*b?Cf3$n`A!i#x{``T9Qx|H)M-&KKe`P6AE*6m&9L_$cf5hRx^R zSK_gCf5F2;Un(CMH~oKjWX;Ti4@=$h-1=lr<~|JJu@{<AV&Z7%{9|5&f~3%ogAH#K zs=VVJ`ao+T>YG_~HYfK^C`*yKCXP5ZP;H{%3WbN}OmD%RhzS}#!ZBag$L*c<Z~xM{ zY)?5jn09fzm?SuX(dMf3DbHpN(I+j8oeh<2Oq;p3aP9y9&pKOXf;ihOQH~n_fX5u7 za|-tzj%m)&dJ@y*z};9JYcFf7v{~S<sDQwMdcQ3fvJS+vOw{LKZ9i~RWWM9;MVxcO z92@Rczu(KlE)i(5f}3s8qM2n|+)m77))m;I|4dIxGkumqLMEv6<B)ZJAvKTBg@w`P z;KA(p)3J@+`ZZk&J3c&m)GMQ))YM=Knp2x!`%N-j%dKPcJ{jApDQAUtz5H0Hc;u|? zGvSPSxd+XSODY8f1T&%%<Q$L1%_uMVeW--F-s-MFL9U<5&1QcNr@j93?auy_j{2c5 z6Ma#9pX#okITO~3M@b8))jH}bPvCWKReHFei3xf>VBf(Biq11&2Lv7~oMQP&ok?w? z;F0r>mrVWf^-tv{iCd;QPj=1p-fCu1@6J=JcY>2?niJ?4$<>W>jCSozaF`<aZ&jwa zLkOsE^K^dwzlEUF$Bn-=@f=WRu2cNL->AvDL`qF|t$tC<0{s(=TaHRF*Di=$8M<05 zJLW+LSJ0EEPmeC)$aV1BlHu~BFCk|}>y`~M3C%UC7mOAiVX!-%?<1VyJHefOiD!L$ zz-G>ehc(RDF7ww!25d3ZcKl)R>DdeJ6FtjJH(mKSsgRX17S!BI_*k&aC?#6N<Fh_f zfb-*(0yphSJ2{jj612qyS=O{RxVe2ekaA)@uN24H1L|{}KRT_@b;>Dxd|k^?+2s3S ze)}i0O^PZH$OLanIa%^w=*PkXKFn|Bje_bA^Dz5z`6$0&Kg;cvu8_nAI`U6}MZ8XN zM!feW_W43HOt-E%Amyi^qhNjEn8Rg8_Hf?^!c9hO7goIB_TbuLup*B)o`1&V2lh%z z95<Mm+$IZpvgY%*8|PnJv0heit;ao?_CI#nacK!#RlXV2AChMYw7Sr9z(x6)u(y(4 z^7DFDkd_<D7bCPyriph?wagV}jD5?|(UIYr^V!1k*DA#6d>o2ytZ9Od2QCU2%<4HH z_Umr>eaSC=<t70s_REwPWV*X(Cs~zUI&8yXp(Sa^Z)Mb_kZ_wr!q?5gWr3*Sl+X*? zwri~3%*HgUjHR#Yk#-XAkyO4~(E6JbmWmuV^g+(BNITg1wVB^;!~MIbPU*HWyt&n3 z=HS9)^V5}uF<V(7<;bm$(=|;EKS2)I^Y7PdnO$vsN`37PL8oGONzV5w^xUp#_>@mo z<#B2B-giMv^<~q$#C2UBZuBhqey?_F)i1|ezyJQfr(Lx(Fu+AdRObVSs8)T%gZ<x| zGXGE4eCcyC>&+v-))PxB1dDXTX3V*I`sw}YtACxjyHulIUx{M|=y>5^!O7p68s36? zGQXaH4*iY%cB^cgs<vT^LyMA-;Kq|8vePGhtJ8M<JJ)C9tN%=04nL;pdwQ-cKe5t7 zQ7dYRfu-iBz%?58xR>u;ef}cf`uZjxGp;3n*WPn<_;7P?J?E*tzrT}wh#uB6^m^2k zJC?iKvYcFz`TMPWbRz@wk^^>W^KTD2SiX8KnQ)?FSH0X+kHkREkfX<&<!mZiV&-&D zn!Q<gYC++na`UCV8bLS8wg|2)e-{+fv}$@|_@YbKRF(xaRn<S4$lkT)PKeaBM^9pJ zh3<`6kt8YpN%QTR6O-Pqx&Q6F!10)cwv#7*_)u_;x9P~FUrkRMPt0Akbbk4n#p=6H zy$7X=39}?POD8DQdmPy&z|rlu$orLAt>%+umvZhbKJfb0q0m#VnPOe5ryEcGR-ooz zE`BZP#%_npLZY)h0?marpM@#8uHLVF<^H~>UK=Wx&no9!_3W`<s%WC%$#{;4rk(}w zc(QK(`EZz@EqIM`W>lVW(Bdq;P3y8UAARk=H9LQwXXxrM-}RSf@9(Q$^~P*JC^}b0 zY*c!CclY$aZZ4n18edQB6cLc|7H`VG^z=gXssD=%rs~HwovPZUncFz~QcX(Du2Z)~ zqwBu0EVbqA?Fp7zV6ki6pD>|G!7Ep7x4ydOh2PbwZYQEM{as|F8J$y}+)SUp^uU1w zUwWG@9xPjbHQ*GVV8}DqQza_W^_Qo|?k+3b_5JGVaA}#eySqwb*6>?8vGGVucp2i6 z)V48TWqABM?N7Zqt54ibUh&Ph?Dh2btc=x;2QrMBN{(sRER9w_7-#m9<F!qp<*dH= zo+Y2dlUD>)@|&%m5`N_HZSR$3Q|;SN9OgJ+{<P|du9})=d0wzbgv`%SEl=Ln)j{=t zS1o1HV|O_qAn7=hslL9xkIChsn@Fk2w2l;Z!45Vhp5@>}@zzcCxZ7v)NkLFSWhST7 z!--yUSDU^*J=DrQ=Y@{(TF<iVDG*m_7&SF{Ys_k?;#RumsDS4TJRz&>SAiZO^%ET% zu^fSy@v7j`JvPA;XMB=Zu<^V)b>L0S$49Q;jytFp{W!AF$TH=^0>_vae9-+2<$J2X z=e=94@4@r(-Me%0=VzIAzczK8EF&Uv#5B!>lbc)l%67-F>?`vRMm;+>clNrUH@0L7 zt54rk^pvY!F-5NRyUE&<({!VEY`C{I`}z!ygU4BR&JTFNzfbi9`&Q7x&vj{MXT@ah z$ho=6#?-57!3y~^P8W8VWL$W#aF<1d@G-WDhwKvMgmQa$=e^+DrLy=0N1e~xTU#H? zH*};5S}fZl|J$!Uu;!9%QMs<$zOI^(j}z4^ix=^2txm|`sTUUhF;`*Ej?%kDK7KB5 z#2Z1o4eGyKbk967LGk5m>)Kx?;ZIxjJ2r1NZrt#|s@=wNLiP7|fgvFxv%>=d_+6b$ z5B_Y9GOYdmZK-_ypNadOr`~R2z3icz_wDhq-pB6)Jj<V-o11g4aRHaXv)s$ec(eUJ zEMPx-{8+Da<ZGMiZ#nhXo-DBMU@);Vn3&8j5TcB9^xckv%%xsaXZ%>eTjQNDtEjZ} zsR_^9+uNTz)e6+~RR(78tEiiqne8ojaKHY)@BI3ImbHIA9`}iBVAW!GR-QLCVLkW+ z=_OTPU*#+k5@hLdJ)mLKq&3AOqx8uM!Q|sp%hVJEMC#@I+0V61{6ACr6ie`=tgvrF zOi4_2J9Dd4Rc0}*a|<ZA;hPn=TW9N<;AvVFJD-$Ayv$F9ocS=n;t^-mmJGpcE|bWO zNv!Ep{ypl}|FIa`!FO{Z2j4Y6H9XcQ>kU2m4s!5ay-w_|DaRd-#@XB3H|cYwoSkL5 z<|Kn;lfPVz^E92vLoDn|mY2W3w+4FX1MK*SrbXH}_}5*&u%SWYVN~zem%nB;L@eww zSoido-}Vc~8af(#8ayPlFC3Ft^`!5kpsb1#heUTnU<!-9*OV=7T>(dGIAm<Z{nYhc z>sMz?Q2F#(_q58Aju|{>*f(@4D=tAl?JoI|#wDBe0tPN_3sN0AnP$jyt*Eh>^&nHu zJoc<Va|-LM_>@`KSo~HSJbW=LV$1svSNMw-{FjvpEOcgPY%F6^o6E{AwqdgNvHE8- z(=)EF3VoTl%Ye~wbK}<Jx%DUFY*J5&%wtnisacolYan)w<#<GG<1rP)`3~x!^BwY~ z1OyI9&6+Sl;My^T{3&V%qKyWPGFR6|Prv@);lqjH?Lp7ZwsT0hx_vl&YeORQ+L)b2 z@=huLbl+PD`9$-x2R|*6yK><CY_F9cq6Kd|DMYn$%iGI4IeOJcUs{^U`s#|yq7yl< zIZ|VK6^%Z9y&hj|!h1%3)&Z7g4$(V*Oy_glnX}OJg6UI-&5h?LTyuyw2w(0u_r`XY z?`?d+9t8y-oX<LXIcV_7*_<%{`S$kq<@NogKC{hwuQz^(n-K_F;e8+1QFQfb)iXX6 zaDvaGgC0fqVeY}IO~Maa?S3%{i>~77=3qLelprE`u%AIEc5}6q^^PsS`5Udv-(NoV zH$3zALxrrU+q0#9{amp};!#J|>*pLY@s3`7^Y;7A71gX<G<CgKZvOwDQ8}{T=dAIc z-t)jyehIUA#*f`!-~X@wwvM@e-OA0?^Vj!T1r+iZJaD<P>-#<Ft?zE0kNSPxD(kge z=<TezTk}?LTeoWK{OIhh?`$`8RV(v32nf0c-1-0A^2;$5l?DM1k8>~k<8zAMThE*Q z#Ln_{=J7b@CuIkm8<GPTHqGfsKR>TfgZ<=O53#E}=E+ZdL06CL)%rEHWpCBjNA)ZL z0_<ND8>hQ+pM2o9=B4pm%i=bLr5nrN$9?lCd3mXI?-`D(4=T=d3UCX>s{711peQzh z*Tm6zU&rj(((RcEGlcK;ZOgsw*38bi)q>q~$BCZ|icWmohg?0vSivXGRqPO)bco+4 zL|n0+?e}4+3GPfqEo#$$9XWI5tAm85MZJZ^E$;&oto%t$2YxFEs2eq1GTpQBqnc=S zJ&S`A-+b-`Mn_{-tohXP;IP)TJpu>B*MAqSx2nC_|7uF<(q*Ba)YtP`9LV~5lH-ur z3b%_qPxmOupGb9x<H$SLEw2A;`ooO}c;(k;d#<REvs6{!i?Uee)+@E^V}V`W5=C{^ zM6nh1Vanpns(XIj<IK;`S93XGc<{!G2*(-F(;?<Oe)!Pw-@kt{-yA1tcQ88Xe6Z?~ zG;TX|`0z}Fg;C229v-^5PE9Lef^yRy!3nGH>?|&><GRK)>C@lu_cyn5bgqt)EZkZ2 zv_ht0Par2t(nP~#H@|PJf}2A)w=nPdn$j)ipiu9Z!m^*|z=p?<wrxHz>rEPmzyx3R z+8GTYTB_#y)jKz+pS!zk^L3^bzHgmkT9wnJIaJ)(!*1W0xyDIgLp{qQ7q<g<USD7D z-{IYLwC})G>xEx_zssKDZmP06?%3I7CGkn|du0U0S>~;_GjKou<I-OC{*~U%Ng*ov zA@!VwuN4(i>W@_2IDMwc!AY<~ShCSVZqs>bvz!?he!ltlC)9d<P{k8zt#BKCOYNtT zhQHkuOx|eD-BmJu&gJDx&hd8L`kt0sQ|{!J^M~o=CzeJDfevBGl>rNx6t!db`}hQy zx-eK7?$6olaCM6M5|@Q5`r4EFnh&%}H<tWaqyP1r&Pk`*B+vT(h6xjw9FIv8VbN)I zm~(<dXkI{H+nKzLFF0}nDizI{=LI}|^=^vV))z-CURASHSy=bS1s<xAToKyq)W_9) z^_y<Wk*o`+k2E<nfqdZjSvn;tdlxt7ysLd|Gj=>usSPpVH`f&wW@$Jub#`OP#piOp zFTxxdJe^otdQ=o|7}ZZzNJwN!QdQQ-bn%qRx_b1UqJYGwDQfFJEYkBm9k}hxr7b@9 zq}w=H?0J^km(5!kXsZ=;?u_B<YL2pLpec;hf+>M4jM)kT+LDfctxRMu{Pfr1YV6*p zxTS7Ce`%15OI6#6-G^kD^6%|gYHIBl++NkXvf`f(Q;3rCggGZUs_GjZPP1z5ImY%^ z{EPI-nZZjqg?{R)9F7)jj5x_*wSLjfb6c~oui4>qd*-h+2Z>zUs@b!ye%UbB^`uvh zRO5cWIHmJatS5BK*=EP37sbaEsVWETbLCezi8Xe0yD(2S%6L|vU(tTQO?8e3M3^>C z66Ek**!<w*<Kwb&2Zg;NzrQ(KAFwLg)5}8k-`NlI7~WXcO+6)k)=RYe^!nT1TlCJg z&sI{t(B-&qcG`;rU9;<gO2k_i$g+IBu$;4N=d+x%vrJhUZ8cb=r4K#6u>NBB{a#~* zq6G>QdIUPA_BcdI<@SGMEK9L2{c1ONhl~&J>Rr}GJe$6=C~SCqB6U@ymikmprCs&1 zoDCP>tXy{DM7Ov;hy98LpEo5QX1kW;$)(ivp{!rdR>OOmj!qN1Q_?xN9*Kq77xQX( zY%U3WG=6UC$s?2M<9I-d<A|4=!Xp-^O+`<=ve%qfd$y;*xP@;Xw}?`baJX`%e$sTU zziWfl#4C4nE?T*C{gRyqXPa2LUtC)oy+(d<z2ufe1-*6ylTU^*v9XaUd|6u!o@6?# zmC@x@Xlehzc}OKnJgeiZ<JWKB-roN5<fL$&?4#n!Pfs3xUf9L0#L_#{y8PXSXFX|+ zjg4ypne&ZH@;6L-d3pJ3k(Q6OyDLAZUAxvejpxX3ll7iHpOemBSl5`xcdaGHKI5H( z1^=_hj}O-$s^Q>1_?YRTO|8!cwKtqDTvI1=@Mo?%Hf>*+(L9BO9)~q4DK9TAUBmEl zg;FKIocpCYrRgi9xiv3O>F-Y!h}id3h4)>^G^6w%7mS!sY4kK$8Z|vv{I_hq6Zg`n zmiZt5PP416VoGGpjM$PPXj}hpPCT=xghheE^X!HV4Fv}-*E2Q?#9v(%8tHod<jKxM zhYm#;@VCvjJ=a|I{^8;Fmj@b|t&SFVJV<==>gwvv&sm(RKh)&h-qsu1sO~@S%siPn z{7MY{e*0>ER^%I=<$lzhydkV*nNi9KhaW}jLsyG^eRFg2`J<m_Y<F(w^C?<zA$m(j z$O%cOx(^NhbFHSH<lfLwpJW(Pmu&P#^zP1HUaeysft{R8g{}%=Moe4X_Y{7eSsTN? zz5VgAe?@a_UQb)(<9BiMowtego7$JSKM1^Ge5>q*Qt$sQMVI{ZjvLQXQr>Y>neqSg z`Sof3jcFf#I87~ocW39HE9+u+-`LFP8b5(!LUZcrX)}E^TFnn%zC3yFQ3bC0W7qcA z*NcgPW@g^r*w}2Vb9%b|^TP|4yngr2&$*4~;s5ykb+*+;4sCrhmWOsK&bWT`XzPWr zg`um%-rQXgu~Dfy@XXonzYZJ4<)*ds%U@a<ygcG)xczmOO6{%L*V9T9pPYLky~j`? zNkLgbsL-?`+2KeJ@8iW*9zFsc+DscK)lUT7d=%Sjap7Xl*LB%b{QhQ5`u47`?5S}5 z{^wI)z3&#Ecx7eXo&7(A>qAdgvjwNH#I@z`|2yq4zkJPy#=Pbqrv0<mg)L;-)HLDr z63@vuUSHf%n9S_oWoOjRC%XvLC77@vE62m-D6cFF%RNpR>+EYfQQPxm`|t0qE|1z> zR=;+g4`_k?8}LD2S5^jJJ~2_bGNNU|hds|sJ2T_f{QUR#ca5Es&W8yHvKQ+=Ed2T^ z^xFl{{FnK%%*)GmJw43La)_lUWK)V~9P_N$E>0%TR);kyPcHl0FP&wY{VJx`bE`r2 z`QmfxEq?L*3ty!!UAukj`qsVY>R)W(4=p-h@1}Zp345pNx{aDfOuo7ymlggjy!rb2 z`kkONy>!)?%+o7)1x{sNUdB89)+}K*R}1Fa=>_}tJ#6a>;5c;a7h4dM)5E7vkD6CL zS(wpQs=(4$n&8(G2-<<C-+bUeHq#;&V{`pDXFcvi_NA|{^@@5`G)}n8)YK+0d7q+8 zYm8w1lXD5S8zny+vOLE2KIqz-N#df?b)SA&gQ|od36GeUspeU7RXnb<b<}-5CE%fC z;Jwl*+4HXaZh7?noAdOHIW5^bOndDl84Vd;9^jR-Uj|ws8^>&-+0xE%e328YrQrE_ zwvPk&CRtC^>AJu+YXYy7Nr$aO)ZycYTDd=4Re!C2bu_MhTkdVCHPZ8hDqISbkHu^- zPCqBG=XCY=cd7j@)AeFAZf(hwS>?#VUaWM4>HX&xPGO59Op}^_PSj_zHE799nkBwo zQBwT50;jm8yn@S%IlTpflR_P{CT!^XtHdd$xN>du_M%e3Pw5X#4}qIsB9qfSZkrtP zIC${j#dY<v2IuC*Y8})0w5)7_W0I<J!Yxq8k;TA$qwsX5lfT_GuCCYl>mu`XR&@6K z-J$;{a$TxFqV(bQWWg5QfZj!trz#!oCY)~A13igX|1jtz-niquGCL(ts9IKjQhDy& zFd-qQM!c=P-MG;4D)>aMNpTNV9XQwTD?fC*{`PE6K_M4IGp#UzWsH%Bc;%09Jg=yz zn6Q7p{qtvvCq<7iD2i3c9_x`zE{n^pU(w*$$MMO{VO`Plb7y~QMsRy@75#sp==4v% z#b0oqR`@!Z`TMHAX5HG9$}Qa8BWe7p+;)$WXCPDVL~i}&8>~zlCrva;JyoD1xaL-1 z&X+}tuhg$glq~X6zslEFbaw65^BX!mZf*H)Q~N(sG+Xyz-ZS5IvJr2eF`aN@X=DM{ z@s;9Um$z)%%5r9^j-PF%YR9_Yt^r4b0#mD!njDgp3m)Ck{d8jf#8aZ8*UOwXh#5^1 z`0^yi@xU%n9ThOI^@sAE^1cLavw6#%eil!f-K`Q|Z+YnJwpQC;cWNEBGdI2bZ{vOI zP|>8fQY}3yx4qT5#aVVFiE}>xA9&IuzxU&T;ErM?##4ek3^kUY8F*jB9M;=jeeSo) z+1ZATdh@<7yU@<BQQa5wCGcj~mz(0NKBwIDVym{>kj~yKdu=*f|16Jrxw~(u%5B@E zS#$2$pSl^(YC`LehfSNJb6qh-B{B{&%Y4McZNkmos2UY_%ci-XD;<8Hzq5Fm=^Ouv z-@lw(eC6-sUtbbuZMkx0vu*0RNxgYL<J8wLX3zNZr1Hv@&2tZ`-urO8Na|y%{w%X{ z%dJ`Bp;;Q!DmZeiD<(>X6)Y6Gq-J+dfm^cEyrgK6LP9A^l1jbu2{-q(wkEtX2e--W z^ps!X<9w9s#{5mM!fSV3+U8q-;n>``kVlJG=v3V(zUugq`RQMyb92OvCT)5D<Ft9R z2J7Ah&qBFX-X1xbVz$NF{iNou_C*V)YYH1p^3hwS6D(lR=+Kk$<ju{^cQOT@X7nX| zo1=eeX=$w0=ktbEuYao?n^eDds`s;t<%{>3RPSW(pXc%4JYmPXuf_M`Oo~rge6p+T z2@z&r6|mEaSH^0d*HptRpLQ0070SK&!FsMu*@QoT^DZBrknry31lwvoDf3FbtLyH& zm@hlO^|VR)ukw;NAL6<?IMlZ{xvq52S3P|1Y4Gk^>3d(e74PqMyt2GhbNAh3r+Txt zcS~O|Hq}XJgu6<vHq;a}nk3Nj6q05<+%BwOpZn{JHCt5q&Q9y!Po^$kk@oY@6y@&3 z@Iy!Dnr6EFulu6#>+SWOCZBG<yz==!+swINKD8Q`e0d;eRsT)o@7AC7R~GrIYXz=a z^X^AiX!fg5-UTu5^K?Vsx0!_OFZ8~4ss6_1WbVB+UnhyJz2kK?>)PeH>_v_Hn_Ta# zEZOO`$~u{^>hCktyL+EH$MgN4)+O4%i*vqZ=^VSv>m5NW(tOTFl?JR`yZOR9S+9d% zj)h8nJDc)rP5hK)?Rz5wP6%5)dh2|6_q6TX*G8t;D=23?5ET?W_}KBzxfxS9BsX$g zG3roAs262PQc(t-Hk#KYZI-j))T2pNmjb!_gW8X!YS!Lb@vie*N@Bl!;PkN47q_Nz zhpdiE{<Y<O0`sPXJ=bp>GRe4gWyPx9SyMzjUYE-(U-T|;s={>rc}u^YT@~0jr!wRE zM(sHayq8a$_`gkdnbA|<?^hfam2AExe{pfU;+DMQhDB%VqZ`imhWO5jGGFC!(DD0= z7nb!?zbDl%YJKQG|MI5N>Xq($@9atUxVX4b^v%7!)jG-VPn?&YEco{Cs)>{4*Zu0W zp8e?JB(}E_3H+t;6`y)|&z|dXeXe7<zeknBf{V#;lHdyWMaMbpI{FffugzIF&1>QH zINqO%-gWaFwWpt1{@{0g<D1@w<x8U0{<^qLMq1L*DQEe#tt(=m&--%NKX9&&skG$_ z<EZ^<k}rk(cT5m$skvpk*6sh_Ki<E#^e;4ce8@65^XjFaTk?Jzu4CBbE^V4-^Rp`d z`Pzlf%hVpRnTGzc{PbBtS)jm~JtVn#AD30zqT*GCl8sYXt@^Xr9yR!_F06m6$5k3z z@kd~tnOVmp#|l0vqhoFFeOLTiq|4;wz0_;!BfS+-vn#4={-2u1(%7OPpe)&VOU3R) z$9b!!o14>J{{LF2`sIH8y5*9S_bKkT-uLD4pZhDG9-qsrSD2HM@>pIw<Hz**mtMS2 zzo5RCU24wmnvXfNew@8-lKL$u>+(YD{q<tvMw>F<dP$i**l)P+s@U2)PNh;Nn|E(l zwo!gvHg{>~{P3;2_eLf;FSAh=NOImPZ=+<Ib>+ddx5rXgI@brhYdyzpv*$umr?y~c z?p@=wGYi!H=WU2-+ZVg5q?3(L#sjoUYT7#sH3NeQt3oE~?cSKEwcc6}6fp*scXlk8 zUjKl@tn#V-|7SfZ1ubtkl`nC<YxLz{z1@Pv&;Rn?xxlHY>@9w7iRa_C3s)>puPFVU zSE!~eb@1h{`};z?^%sWzPf{>6i!S@Ux@^*%i8Jhrex)3l(UOrq>$GI#h6KjDyGpy4 z`OI82n<de$<8<u)y18HDVZDqEeX`a?6+HEA0lt&f-V3eam$f?bTuS;&?3}rCBX#&I z%LEo1o6X^7P1Ja%^oDuTCD4s}9x~6Jj<Z#n>gnlC(Tz3}+t_^0Z@J%GnMc;1W-oVe z9Pw~_u*l_(lzfo8LG`+2hUI_vy|_88EdRcb`g)dNwdZGc9sYM~(=@i)do$I}{%O5c z_A7r&{pG!(seZ@BOn;inEYANhqak9=-`5>pVQXd5jhdcZ<vW`lFuTt-<J20}z7Nwb zzYScKnY8cDj!fqpyqiR3sUP{lQ=Gzb-uVx&Q`xMCYW>poP8UvCGbZjVe%_}i!*(=& z#n)~5_vf8tJ<*uPaeD!~u*lho!R^-_bhiA_=y?3lTKG|Y^{+*h7V=Cr0!L<>WD4=K zKaI<%PwdkUUw7fcLg%0NZL7aM`Kr{<r8wWR_}LMT31#a(I)0Ej*sQF^al;A}jaR;e zuZx*^RbKYsKbuuar!_C6tF+(EH8(iWU-Y!l^hWrP$p%@G>vhz7{uS%Gd{6Ca4rsc) z)z|jbmB+=u@?QO^&!~8Cde=g)guU`23p|boYQ-sed3=1d^YWF2uDqw_s_VbCshaut z>4qIGnIDec-m#1Q?%vwT&-5bQd1qDJx?5_neWCWM^C#Hz=Ldhz%H!Mo{QF&vJrX;1 z&N2>8dGhk|@->@&e?D&yx^TN>`MRjBU463F$DS~3%f7DnEydwtxUo&WdHuhdZ&4D` zAr*rA?(M1EeDZ07L&F0Hx8x%of@`L5A1=>qX5%fH$2UPpKvHIN+F2>9`hPaHzu#`Z zvL@0vYEug5-@o7Q`*gMO*N6M%XC3mG%FouCnC#rgqhfmmboZo!n-SA@#X89c=LO&F zd39jdb?b&%S9KD@Zy(j)$rjpGU$|#a(AHa1RI|&fM5LUm%l~;ge*d%b{`KS+rxPFZ ze&aDO`+LfjLuFaqVzDW=RpnV%{8M<>Rl*arbjOCp?cajluhZ2CJr%Ob-y^tc^*`$k z)z*8f{&v+x?(JQ5ZN+M7W4#m>c4fnD;m@Cm2>xqXo!2GudBGH~e}AW)H(eFCT(P$P zUj2;NSm|8jOO2)vr(YG3blk_0_l~1G<A{XuL1xCJ#j2dmYZOG5RO%G)ObQb`WGK3< zZ9609X2aGEf{)xXI1<Gx0s^M-OlnL?>6K8FVbSL}<iNo`RX2Ltox68;9+dXDu)wi- zMd9OPSGHtcZednY=3p}Gap*~5k!RW=Ep3`rpK>^Q!<$QNf40nLD_fa)({ZhhlnvkP z(4rUL*1mqx^h%ydscG8>jpMoZzXq@CFid@Z{*UwI{jzT2S1tA9_bFVj$y=rJBm32j zw{w@DS@3eE)DowuQYHx}c4Ua^7pf{p9M8Qk{pItTlO<0c<Z*HGDJ}1rx#Ns@sM)d3 zZDDQKlrHbDulzji+26vJH2zh&6F<t73IwOH9E$ch<UX%0?Zd0B85f&8y_R|f&hn}K z^=09$t=ZBoMZdniJ$-)Wsp|(~zP`Hp_|BvmJom((Oka>L?4+q+e?r|+NH@hy;8o<_ zD%18V8(Uk;C6ml@qt2;n1~228EZ#Ed%VC#g&zwEjkDR>W&@`bw8J?3;>K89!TPWqe zk#+XD;DdWCS1wpx(;)kFR&@3JfVX>vKQ!2V-=g0i6ZCkMzuSYG%r70{JkHKCT^YN( zEGDdb0*8&Lg_!ETmzS0<PG8l}>ty-)+1bZ>>t`*D+2PR0bY*?K{kK&ODjC;ShwE#_ z?lLKTdu!_N2?tKsPjdUZ-%&{W*ok?z*6IfqeKB|Mlkuquc-H-R>vIREf<N!>?*6%z zk(o`RqChWhk4N&c9z#jBOUK3LoqzD)K}Lalsl~Z@SEf5Y6J~kT3XWH;9b6C1N<@A? zKUKTymAKzjuczfxbWhu@?K#j{y#0i7y_;5eNS+MmPLW?JETS6q7p`66na44K|4D#? zJ_}32$44vvS;WP~&B^JyzEvf}hJQWVq|~hiJg3@C5C8Pga`oeylr_=5$w1?f&2s<w z&nED!N_-IMD8aUby-j9n?(J=chQEG1?zdEDd3s_7cl|F>`=}u8j-D9`3GYG0^Mw`c zo-sR%o^C3a;raIc?4!q5>X-d<pQ8A;oo{RY&74chA1rJpZF^(wf4^4ERzs+3-<D>7 zji#b~3JJELX3~os2@#PaOY{3u;-)vhn6gUG@zU}c3KM2<yb00$ti3yZIoG>y;RmPA z*fCQo`h-W2vfgJ-rfJRxbR->Rg!H^s?5!5{#uqisx~}DTPV(N-PFX?roAt$y6hD0U z7<{YniBa!s$*C@y(+pTzdQ^DqEu#fCs4=CT<S^PS$nCo4is0Kt@13m*pECLjIxK)K zCb+5-enN1&ezhMH3*X#t3s)W89Qctba#DiX@A*zAI{wBd-(2`tDPdZV!tUKwUtiss zdLi)b_q*43vTO^PsPQ$#(D6Wh5XYRoQV#3m-GYw)dN#WvGE2{0()~oo;+V{v%WXkg z9o}%BJr@x7?1b7wDffN9IyaYdo>$>p=j0~D9xfRw;K9XmX41sR$NPojTz1Y>zI}Lu z$E=U-=CzMB3v1o$<RgmSpEj$$ctP*!iJP9Qw(4}Qo*?MGNHdv}$+Np5(r8nC@pHet z_8zMW_wC)=<u28|ufDQk*5fbHg<rDgMf|>B_`}F_%?qb@Kks!4sa=cdGh4UyB!?FN zLgwaY=}Aou3Lok?W~eH^zP>*F>xt6W*Y@7;D69H1^Yy`!A2kz%imI<Kyy&-G>$aB) z%QpF4E~TfQE$in>^<E+=Qyq~#NpMS*`|<iP6F*_2M>pO(->m&3VBqbxpuOP51;wyF zk#464Pxk+Hf93sOu+n>vI@9h8{!1##wBmh!b?#9wDYUyYqo<{<EogPv+K455QyV)o z1Mb*Xd{9{Z=g@*%yNjNB<$dl8`;?&ox|OZ?d8nbIgFr`LL!=RtuM~&R!m}H1ShM8a z<z8Ix+n&E#PDPHXTR}jb<)2N(#9P;j7S~uBA9-0}sZqxvF?nL`?{6EoU0dcm`;qyB z>N%!KM>w=1HYjjERQy^0;6S5E&J6>t_<c6Em7iK#-!J&83c4YEnV^BUo0`uIhx7C8 z)6MkLIu1;|&7XO8-s>wXGcCOq)_v{ZWLhRr@%0yP{o0##vv!&2cpX)7SGjm!<yZ=f z{gMmnnSXwKRC{h$`AOyPr_=gt5}6%$IySSt45?Av!Y;JA_IFucKEuVm@9ys2e2$0b zNz&0S(H58bGmVdz`_Di3TzL;~YFtll&IdDR#~<hI|EFBE>$$wpnf)3=aambfqCLk? z$ED)8Kvz^Kyr_ROBZ$wh#37Z*QGpZW>I1JnGRj(&WC-c0|MV%f|03xd^UzgRYU)Cn z$T^eFA4*;7+2jy#LO5#wZ<*eAffF}bdW+v*!f<y+&jYo4yGpbF8E+F-_qzbv5L5sE z-yMI>6ow<opP!xev3UU6B`2P8a+2!J$Ba+!i|IyP=#@6FpJDP~kDP7QhKRWNcC`@< z{HONayLZo|;zNSi{ngRi*B!hfaO(5-@9B=VH~M6)Gk$)0>XR^`yy<=PAL%8_i=Usn z!zisN-|^{a%B`Kpjyw2P9P5$vWZZv7kwb-padQfbe8`G#j}EJ)$u*wT_Mhnf@J`4b z-Q@>VI1HGk*D$?&wN{~CYT2psqm%eRoBZ-ymoHbZ*5?w@5Xcq0yQ?(W_3pjB)t|Gc z>&L6j>DO|q(4FwU(f&p8LTS@uQCqV_ckbGC33L;**E7&SY4OB2{G#_+xy4>QV{AO| zKmE*%iJiPo76x-}FK}d@yPSCmi=2>5^Pb6{pPv^FshVw?ee7q|inRKg4-Xi5<?U?T z6qJ>fHFb1!ZW#t>y0!Mt03Q{msvNt!Z0WPJvxBGW#pcxVhsEDt=4}4**4^W)E*+bD zY3W23)79|;+PV*3ZWjOY{i61&oa-}fvUfygiuPHrwUcC&Y;b3o$Cu2_!I5CZAjJ4y zpC?iD$#l?-)O!`Kt_pqpp`L?hr}_t<oEsY&^X~6E`*7CQ?CU}2<^<Y1xb;dM<=iwQ z{(juP8qeTmJ`Xo=Ps&hMQks#X6SbwIOSD_);hP&9m8%_RnPeV%JViHpTIabN8<THF zH(v;Re5`k6=H+F5)rqOk`5GG{jhb}6#O<y6sL!<VhTw;f^*-I(<CdIS`YF(}{;8&5 zu@1|*SndV&dUu!J)AbHGX!FVYid1;~{GK!OY-c+;Io&v&kOQi03OG1A(taqNnPsZo zD{0)OUBJd8aX^koqJzUmmt%KDWo2Q7`~R4hJO{Z6`bjw%QkGR;GH&fI*KbdBC@IKW z6|%CTHuu(+g;!UH7x!`O%XVBK@XE2iV};L5BcHf{n;qAajao_`95ghWpzy}q2XyYq znMo6WeSQ78eMQ{fs%`t&XGh5kMLQpk58C;tQqy}`iP=`O$eyQy9F-!D%k}Or&5;c1 zKG*Z~VeNXsuO~V7NPT$o>i-NTMu(Ye=g*g~u5k=`(CY9b_5Z)WZ#JHotj_=S?d|EG zp4Fc{U8#72-_fXkv0JajlErhab8ng0iCfBles=b;VF90wHb?&9$3^e&*)nOKnyAcv zzSStcVh*@zVB=&{!OOy-sI*3qO_Ohea)n2dk;WQ!c6N7bmWT3ZKYxyMICZe8K@?P~ zYK5;mv!BCfW3BcY<4E61=St78_q$dJMH|-#eBG2cMXhvI%GSEboHrac)&C~-Rs9Ys zcp?01&8k$c-4@5|Cdo`(!I6=|BG)ucH`;AB_f=ijZn3A&C#rPWhwv<7_t;<e_rzIU ztu1YrVxAN|V0-`Y;lYP9bRsvs_~bpIy~%)AD?`A^QG(5dsZ!B`f1Y?tN&s*39+8&B zOG~?d)h9NwgsJRWbpOP0`T8@KiuQlM2%pY-z@I34cFU5m;CpjjScBNaS=Kaw5)r>* z(yD}?Ngtgb+-R-d^6`Q1(qFT?SJi|l)HR=oG;2Sw(Rg3U$25VhD`PuWRXo|W^Q+~n zrZqPkuLc@UujOW%=5#<u^5k@Vd0ES%me~FzPUi>t>+5fCdB4Ses^+xzQ#*Eh<WFij zm^pQG{OWm%_rm|a{Gw`~6{0!+()5$*Q%g@Qc_t`er1YN8QQ;@3UOu4v^6_Kmna14< zrmO0`pKYH1^8Dg`Tb^Hu)rvLYpBlR4i00fC+oG6mACY-{^78YNXI=7hcZ+#^)!Gqs zI*T<_GcD<yM)Gm-dPYU%kFI{_gjv?~gS<FH?a@4sUqYL8n11j5o3Z@5?5WwOXWFXX zoU=4@R;r-ikBoy0ANn{kH632z*t*B-!8y~1&8IjzyBa1;bZHG|6K4?;Wt^PC;u)JU zrP<9Ysk8lnefYb}H>LSs+&jGd$+}s~c8Z^HlwamIvqJdP2}31;mGzT?*5BFXA70z6 zQGV@9$Tkl%E%ifXC!fVCr>NYF(TZH5AixVU@$37HQ_bh<lREnw>I*DnLr<=Y^Af$G zTJ5H_ti$u+9wp=XKTf!xEqHv6`P~dIeUGU^J69BS-gz=fw>HRE|8oQfqoT4HQ&5{A zC?-9Pn)I4AJa?DAo+es9?a7VcdHW@uj_a`mc|@pKrhB-v#%v03oW5q&_XZWMh*xtP zQ$phZw?C2oFv01tl1BA1laRG3d0LSHvz#NjlCyi1Q;tZjI6bGSAyvS@(`|uBXJ6d~ zPl3&RO!{&A78+k~e!1n>?l;m-Ka0}Cj;I(53wzeo{?GoT@@S`$f?|nxz2Jo1jr}Vs z8rOtu%P14=I_bAEsO!_G-^y9l=@GMgSXfyLE3AEInQUY4TjL?Hp^(LAlHiG?$E+p~ z1vm4u=-sbcapEMiRsCniolj0InWeV$iTj^UIlnL2EORCPKREtG{>zLwEzvNWX*yGS zPl=rKI{G<%V{f<C>J1u9*Hn}<zW%E}Djt6!zW#5jp;6NvTaLStw}i4|yw?XGE7k#7 z#o-Lv$FiHJ>!uvjcPFJ6zwWx1g#P{c!~PN9`pS@Xp6}}a8(CV;OZofwg9q1+|1+56 zTPE(Ws`>cJRP@wiAJ@;Ri<DMbXXTw_+_=|#Q)_sNkDHUTb7VT(FCBqR-agHb-qnL{ zgQ*s7Gy7zmelEpUYRioFL+{<?Yek-`f_4h7iB4WMIcE;LVWGmcj<PSWudVeyVNkSA zApsl^8nI4uGA}Rl6`LQxw#A0&yYqZQ&7JSQ&p0%H@00rHC+5uClv<WlJ16H{;D5iF zN<Z6`<1{A;uKAK6*W$LKrhoY>!#VHjSJ#V|o%Qj{3|_VE&CDzN=W6cW{8-TF^#0A0 zu6KYML#q}XnJscZS=p`ULD>g^L%Yk~O1-|d;AjnK&X$8;MPZ%&JE6%gjven$PF6R` zyR+j-X<_Qtvi=57qb7d-FTx7{RHpqAsQ4Gusv6STS6{&L^Dh7L-LLL{mum@bw3*3S ze{#0p%gX_3%T1;P+NK>@e7W6h>HKD)+Z#GOnzl3Vl&jzg|KG22&syuga(S3~(b<Ln zHd(KHrEIfo`IVJHD*L%rwYO<79ZO-E$GPHdpS=COCpPN-^Hg43y|brsGV4<|Ua2LQ zA2orFTKM+%_RDi~tzQ*?p0%s|{W&XRgB7ow7PHkW=E9n7&P&#NPFB0JGFaX2+V$(x z?S4KH7CQ*q1MEA`X6AohMQd;)PV8{=F1=&!n>}l@udkEkm9dxrnzSx>|Lf~(5ji=( z7n6FQpPs6Hy#4W=WxlgNRcw&2`_X7x@L;NC$qNDQ`BKs?zvj$!4L`Xk-$ha<j$=l> zigLhuo6=VzpyQ+BnooId>pakWeB!C@4^y13B!5_(-tyo5s$a$p;~?IolfDzDE}y6$ zvVL;Nly8Sa?tEtH&%EUFw?69Y|Nnt43avq+z7rqacmG?jeV+Tox!2~e-haKl!hLW3 z{=ip@CQj6Ud9yn_u)nLsCj9@g<R{(JrzqxTJ*~gWsVc&kdTvJBrZg|_n^%<g$V7%7 z_3k@!>hxl{qb!WU91@cSCp2AK8~u6y#<>=SO>TWMo_~LTU;gUqYGX-;j}74xN0{gF z39!~UPSc5;WGkn@svEWCfdG$<yv2I=ez`ASUIxFqyqy1kgYzf*DP@i+mzMQ@I;R-Y z{JH$yos&<F*WbTCpY>}(z~9(?HJ07q4jP#6u~d*=?AH6}dC(G%gGX3T9SUCVm%84x z$g|*HBQtx(;WpkkZx7rO(~nyt%*>(*I>alYP~y}+etEkm7x)i_>FMd&s2%G&`@psF z$NY`8pU;{rEs>J0_*6AV5L7%Vae8L&_;N&sx$%Vf`j-op>eV<tyJ##DSSa@~|6jG# z*BRdqPZ3#i;*7QcpQ~BiO8s-;|0nfbc;HYaRWh?kZ2jUxj)5+${hC*&%XV-(xmb$M z><D?HyjRKG?`4qYr-@yc{{McyL~ibt&kBl}LC62GzLW@eE&FK)+I?1`ZDVVDvpR5r zLu1C_lGoRIZ;O9<cej3a{O+2cMh|NQ*eZ0x*2SEx_w(~(5l!e}_20O9wf6l7ckkZ4 z`Iw=3!HaiycV`}KVx809Xy^OOYxnN5w~JK0rx`pvu#;EH<U%Fv^abXFjf>VBb{_iv z>F@9Fr7mm_L8mV~e*4x}Sl#c**7^l@)hpg)-rr~2-nPJs$N5G**F7bHb>9u&u&bQB zp&&WQXsJnNjl9m&h|e4|RFs9)d=fI>zTf{pZi6t(qZWpwM?aRf#AhWnf%f&ND_6)r zIzDBkbiRWF!(_$@94+Yy)!*JE_Ol!k`;Zi}I;{7w?k(S%b8{@;G&=CBwPb(E|MVe2 z!BOn<WA3dkokBiBCWoKbD@AY1`4h7_?JUQ<bd#wqrEhLbJgm*}`oM#|44jeMawMNG zcp>e<wMRZmf{lfPg;h>c<y=xOs5u<$5a7`8@B9A$FWVU86f*DEexGZq2Ren}NJoRG z5z}|oS5Lp*-1R_%<4DVahlks5zU{rSWrjimFUyyc9h&^D+tgZQ+W2JaPqDZd*9a=5 zGb@UPJm8TqXt0$q5D>g`#8GR{o;^Qm*VXHB90KhJOljQU|Mb+<nHvsFxZmg!*5W_U zw)&V0`*Wwy+;Z$Kz7rO+_jB`DYAA3kNPL))u}qe`DR4(Y;<pe5laM7If>LHV5}@Nq zxJ0#%JU(3a=c7BHeB#+zjPvWOzP>VK>{@;B@}f|Vm-CzNh$N_}aYS%|>im2ogYudU zM_3wnC=@(7A^6XUMN?3iWldv)on&M9;>yp@Wa2LJurMg{*xTE`y0nzLJxCzW@dxWq zi4PGAc(&)?-#5iPKkgj2V;zUh(G$BJJlw6zZ*9q}(X$bNHg5N5XVi;z7Aq`gd~dWz z(Idh2#qR9u>ts%yoT$t`S)d~6!9#~dnmqI6=QP*Y+ORt@erilMP=B_w{Jq?I(Z|Xr zEi55(nr(yyRG+Lk;LMcIZE$f-`1-hzT_u@u%zK;_j5eBP9G(%;ym6`j{COYLVZJhb zXPSNON@`zpmXYM~x_Uu}<~;|S*)LyQ?EbcV@+RZiZVt-ICq5cnozHx7F{qtut5DBe z&*45@E3|8`cwS!KpIb}4r(5VNTUgAnv9Ymu&h%3-s*dwhfWR_GR^P|RdSAY}y84ak zfsGockM2xAHz)DEk(2hX?3vc(deycLCKJR#CyN%Za6SFH;X6yHe*LYVA2vL&5D*lQ zS6QU=BzSe0uG78lFwSN!nF`3U46Aq~jSMzk;%Q-vlbgWZGIfG|(;Nvy#{*)Z=FWp- z*|)c?)icZF=ALSL!;I<D*6Z8W_babCH%m4`SUSF<Xu|VF?_YYpu}rOTRL}&KKo9O6 z(P!NueiRg|4G~uLb+U_6f_wxvurYn}a(l4wV83%kQNSc^HI5s~Oll`R=313n%<H;j zZs@3>$FZPMghR)p6P$|QOznwa_MRCm;E~1RGihR%sCH3ONGq4<q$*pZcq3N^-%ka` zKc3I8pY)P1<>pCQMn&b5f4NTml9gnfoU)|m=chR@*IqqjZB*~D>H7}Fh9XauAB|Hw zIGL_FIGpG(4VZ4p%6M8KVN#F6WvRbP3u+bAIF7I~ru<)ADQxA~1y;7d=c?3aP`giI zf&ojwrGN6FM$4{vF5-#hyeKEBnv&Gy5F^mQAW@~+05Z1;v@M^5>7(O;hMom8d5v~l zUg|AAf8&#S8Fm+j-gy^%Uf$VR%(tWZ<|gnF22BmB0tO1o8P02-YivC7!>DbpGQ-wO z=jK`$fA<6pB?*8Igix^KcyWTmiot2|!4U2TKX`PWTd*;`h~k`jp<7(v>R4Oqbf~Rj z?hfv53MX2$q+ZB%fYx_0Sh{7LVF^-F<EZBV9nGM?afF>wR<dzM@Yh#YLCu7ZlJ4gU z16UTEXWSaxQPK%MzX5c#!be93cef|YgyerbnFBiZQbFRLJ!l*bB-i9nBXD7&;Fr#Z zD<-od!0X5uf?oU1He34o`ugKvMJ)XUz|M9MFmP~ick7d}bUNH#&%gX|J3qHelfxXr zh8D{wR~)^LfDc&^U}0(ep|C(%8C1|`US4+b++6F&#(i@hFW~s`>sQd<UteE7J3E`N zt0Cw1Ee;R%u<UronehT15=?Be5$3tKTux8dU*0Qiu9g#Gd3&M2j?&j+pvCeIAk(uI z6mFSZbBKK=!lF~(>|l~B#qlKf_O{-zwNa@vB~wJ&9T={Ln`U2Ia(jEe^^v;N$=li+ zCJ5f%t+q+YQDGg2i?Z_mKcBckjR7(JIGO8)Pb?f8mZ-$sW4Y$$aH3=D`i3+597p6E zGg6*hkFQs?x3`xv&x`rvKGj&3kFmbKepSp)qy6PaK&w_0a_fzRL_}O>8l^5W$-H!A z$KUYvae{rNg@qg0e2kVWBuoa`7QD=7;e`tUvur9i`RYZ8rOxJHVPOe4+9k@l#08vl zKu!5?-=QseQEkb_>yovV0U8PC+<K*4fB5Le?wYbdG%ZKrFQ|80ZgcGQkA44MEbcF9 zx2>=LH^=VJ2j}b<wwb{K9pX$EPn`Ji@$t{Iy7MMhJbbx9ot2F(=;o%>m-qHo^L01o z+}Q<c5B*u;6#n3T-S6H{&(F_a(H*@xjTdwx`m^)%&wmEp;0mh8AD-s7`_bSvRm=5$ z{eRn~esgDm&b<g-9hN#ZyVxw{gn*d#-;c-T>wV=eZBF<9^x?w-fBU~v9%P=JXKQ_) z`EYUWtt}7LT9TR^iX0WBBpv$&IiB)Lo9XO)<9IETnd89Qm|Z2AOwjtkQ1a&XeEGAp zOuGXVXWTG@j*!;=`}zFMTF_$4`u1u1@$=@{R34h&trfaTz((xsEYrm^jnj=B>pS}$ zdxRe6-`zF!(o*kq1D7D74L{bzo)cs_qbShZ^T0O_RC^gDG%Qf#I3n5j;6Z{`;3Ag0 z`|It`JwMUd)SxP8pr*Xzp?%etjt6f|Q$dYyl{bsc`E0nG*?1RuP1X9i@Pk>yk(~Sc z=9cb?+h6B<cXzpZg-U{gxOUi@1&@!{_kWhLsQdNhWzE0$`~Uk@e|z(AV=u4ly8VAX zd7ql5E8W`O9(;0=YUY<07ymE|T-%uJKDS(O;Vd=ZSuffSNIiIapz;^n)|{I7<lGFq z75Q&(ZB2fp1fH6`c*5iTy}g!#A2*-3+x&Iw>0db<j4N!!_2cG1^FV@++rQuU>;DJ6 zzP9$~`5OU-7DZ1y_+~mth}2GXurbTOcLv<B<*RXQGC1(KQM$TYOn1j=0}j@6uP-iU zx2^p(<=2;&hjj%nE%WtOkZES;Uk2JFT>bvV?M<ntU%a@u*d*<Y1Ydh|!Ic$(&0E@X zXGqUi1?^UUtODAtKHEG$E>2j-wZ2QlM(kXxI!6lY<5&B|E`N2{clyFY=f$#3@=J}= z&TKfgbc&^{Kx0M<OW_&lFr<tC-_4>L!HORr6sxZ|IXOMDZkeMF8gH#NVnQB5y_0u$ zSK!-QTRH9bB}~_kPjhNGce(!GPxa^356+)F*(qmVcP4pZ{r5?$S8M+dC@wEoe^Pqj z+WL6^-R19>frn8Y&vE>-Pd?V;lOu4bG4l!AulNm|!fFL_oplj1u^T2<C$0Oa@+rZg zEbHnj(cE|iclr818$FrQ`0kuI;laht-Tlo-C?QZ*MU6v+mFeOMj(aBx+?5!;d;~f; z8C7m9tYYi`@yWgZvhg3SBmb3Wp1b1fARx*j#0?q=Hfo6f`k_QZdR2&3>8m3uOb;a` z4rzVR0*#y6@?BjOs$3D4aKd(`aXKI9GSJz-9{w(Tdu!_y<8;3|**FfB6H?n3*Z=&a zy3k6{>zc=+)^O&ZN-JtKL96q+Q-W>S*LSCRM6ojddbj(1{i3z9p(Z~Tax7ZZTDGP6 zLo-91LPfmEr^yi!r*||pEEVi<b6c>7OMFS)noE}^pQ`xQcmQ+~K>V@-;pT=1r_EDO zP5F~=({ALxW5H3D#w898kWtgUCHL!o=WbcK(4%hy3wYG@$XVHk!WD88nlCPJWUiBR zVM&pGD5$Srug~}X#r*CIXK!pwF8!(YOIXgjtf$!N+q=8opewBZ@UHzM-nrI7e5dLM zt*mR?^W(qWYA}$z-6v~3BWFR?@f#ENGch$6Ok7!%P<(ws@$+*&bv?W+r)GRmIa)f! zQbxEjBV~!-T&q8f$J!lz1Uh&bi{q<2E=>M2@y3P8*L6D^?CK>O!<iqZwS=2^Pt_8w zeq-{UY2R~DGZHjVx+UYH(y7Vn{Ea*c>df}1CdfAhctM9ryX6!u#GfSG+f!-7cCIm< zb!YI09ShFLF41uBlle2ZE7`&C*}1u=y`A219CM5C&^~?i^NVn%^-25d{{8?hm1SFh za&!9m877kT(o?Pl+VN~OY^j@YI%r41LkY!W{OrN=gKO5AuAiv7eqxU*#|&ps3HV8? zjx!_jDbrj90bwQ$)^=+dy^OO0>c^)qQ*k_9t*$9};RHwB)7h^!GV;4zT;y8m>A`nY z-YYjSsatyg?Ag-$+b-SloUHcIS8A_~K;Owps@;0~St>Q^IW9l2y}zR{neXs{$xRnH z&NV+*RZ?owPW!N!FH}$Cplck*v+H)^Co~%ms5n{vVmrjNi8-IgM)ub|*C#C{FD^9N zY8_*n#H4>_rg6H(b>@dEEq9NyG(Kr?FfnS<t4sLsac!eN-|T6oQDTfODfjkNE|xYa zes-qi$JQAN6Q*!PY_4a{PI@Q&(7$HhgnrgZ{Q|u${zAfqlDDNm6<@(?(Bg`a6#<Ib zYuumtv~fJRF0oJLqqgvVhL8DEtU!yMI~(>+5;U=Fe$L9mGJo~2udmfr*BDJ#n4kjE zzarb+SmB5tsI95gP+@cDnxs*RL8O|*^};6>jtcuYZfHx^ck0U(%~O~#N1(&aO+eaJ z+<wO`i25UzDvy2VT1^%He}tuRiJJprLKhSpdu>EiT|ZtdQm{Vc!Ey0HT7!z?l<y!1 z^@8@(xWzmZX3=Q}1;Qk~Db<~pVod(Z@fR1XpVxfzlDn&;#`EF%58s{s?p;)0Q1?!B z>2Cv7)>gZv;p^|OxOO>zvE2PV)n}$FE8pEI@1|+@gMX>d$Ai0%iv<3kZ|q%Fwd|PR zbTw7WrQW`hmzFL1^6LD{`}=ubS*9=N|5<A2_@Gu$!06Myj*}n3`{W(mKD-uFP0Zle zNvr3$6Ylb;?u9WIXLnCkjm*k_e|wzjul}!Jz|j&tPv^^*pWa@RtyF7gScxtTe4_le zy~0QI>xy~D3x9YYOnKbAqJFizfPl^SU-3<!qC&6l0gVGx@cpk_?D5XnwC>60@K^7b zzPo=u{=xnAB8Hxx`~EGg$(h!Zsp-AUWQu9B)TxP<Z}<1V{Bb|2sX>#arRT(NCjpOr z9Q6_t1g|_-_G$iAw(&_fL*AS6_wB3qb9~~ib7JII()i$LAzE^F&7Qdbip#&q2Oay8 zsWCZNs_x8+J%THPZ}yo#`guBBL~Pv`qYvT>_m~P!6j>+t|MUFqU2AND<ffH8nPl7i zt9Vh3<=hjd)m5(3a?<~v{62T`p742dPI?3c2>ciDsh_OoyQRB9zWqnuwOi-a->+6# zEzaVjoZ#U0p;04tm&xkTE>(FJCg*vVcuObmd-&a>(kyOre#5Uvr(P|w;eL4~zUtIG zOWV}qvLt=CBJ+wUxfZtz&F+F<J`}RFuBg8s{=e+>+|I++t+7UdiQ(y|mU48@NLHL6 zk;1ae_`;^hT_yD^Pl~<%pr&rJpKsO97rRz%?KIz)m7&uS#y!hxeR6E*&9#da5**wV zm|FW9UvO!p?dD)%aldeN_rj95@034%tY7m<KPYhCo0%Hn+j>q#PYA5ETl9a|rn`3| z(o!Fus(vIdv&_aJQDniVutW20mpkd53(=WxEE*`MDE`z|aC1IONIkdyBG_UApNSLw z?S8h@)Yg_tvlkxlm0$Xz&`{&EQaj(?;-0q;4mRI;S9^C?sapH3Et$gQ>TdlLzP-IY zdoG{v83VB?RlU4tSuW<5{`v9olBl(wxKE$wHV%mif?G`6JVa*fnvttma814CTnzs* z?d@_w|7>Pju9@U6$X`EQ=G4~JpDd5?2s-3>9r%0P$44oCpThnF21V!Rx1JI?=_W6F zN~_?eA`@hb(u*UV!e2lKlfBZ@+WW}MC8?Rig*mDG@v+|SG7Xns7k0_66;fEs2-&W5 zaP1S{O1prY9d7B*_NZ-K8yF|fGKrgs4ZI?`C0^))nLzz!J*M+<dlngAZ#wz=7xS(f z?G~<GDGQlitzY0B<~7}HYpM8jp7j2JsgC>pDgG(<Ry|p@BQ-fGXjw*?*JLeMqdOCI zZb~o~CrSlN8Dv~&KX>r@`uOKHJmKNtQZ^L^j(p6ZCY#u<X2p=j>yzqUTu`*TenVl> z^EYqK`1{YXnCMX7qA;)g`8nBZGcNKZ7QDFakShMOGUD`?mzPWD^}oHn{qnQ3vq2r5 zH7&dkZO>+JDt_)KcCA@l+J(bXO=G$HWUnXF{``8qeoOWDyt=g!8<W<U%+U_ln<w>m zvBIl%`Pm<KD5$Jo-`#AQm?NP1hWVl5MUBblBZCw{>HFaUVS%iAq0M|u_fGvT{Nkiw zTls6!9OEUb=B{>JyH4p>ddKnZxLf;I-pJ7L9_Ojf)l<)$ed40?Qz@aZ=RxI)iRJI^ zL~h^7Ev{EkZ1<#TiU0h04iOGg3+`}N|NUiZTk)acu(rSz=k%<zAO1Cl`OG%UeX;$1 z-Q(*QgczlK4eq>Q=aV_H-m%{E`+-JgnRAVftP-EY*2m4YRS&q<`aJpew%j%6tSdjI ze0%bsMu4%R<kQpB$8Bp80+iQ9ZPhZ(zSc8m&YT^$4ZHXpY6^IYygxJx&7JTuHg0v8 zuGp*Qhm~iz7urskbKye3+=2)DYJW#4i28Cn#x<}`X;yqMFgbC(b30#ena4z>dRGe< zRW*(yqKwQ&Op>`Cv5$K-r<HKr;jD5xuFrO<v-^7phZ?u2_5^1Rk4p#r&Hp;Rc(wL- ziTMY?&`&2^L%p6X+G9CqQUR;cR8;{3SGR)A`S<o%{{8d${2R>+JV^_FTr@3z7gLvY zeO>IE#2=6Q?Mse7u&huq%ey0yTlu4Jj%9tZTD3vX+WP<hLKeAjzPi0#AG8bV<e5)T zPyaN~__k~JZsDT91keH(f3_1BCMvsIn5W#_l<HI9P$gj2UcNZ#XxAIpk6*qlnW`Ob z<ap8i4SUwX4;vaZK-cSCUp_yZDXDeNfqQ$a-Mx3UnzOm^q_DUstz*mQ;h4Bl(VYFN zV?Ah`LeM}#`NxAZvrM}`924=bVcDa{esQO?;wq^pnmcztO%j?E<;2#>zh|aoKv2!q z@B5V09PgZ8Qt~h-<f85JtJjo;_scUaJHfGs=SO4fhr{fv<onXV+oGl|XWZ0u;rJ5I z$tA}XGDQ_-{t9$|Q>y#gEF-bM%_Zc{1xJSm^>ZCO*zd3OC_4J%?(XvCTeGjfI#nXb z)RfMgecUQ|xu37Hd*72x0jEQsXI*DWPW1KYF*U33d3S&R`RB?<nD{yOs6RbD{km+| z9EXaGKY#w*kiNVnGx%;chbU-!{8XLDN!Jx7DZKywZ}MW{wgyJYgR^DwJ%abM2$}WH zS=)c$UT}SR=5_n>l@9ODgxtE@x@py?Xv5o13NBN*=kI*vUOr{}635m(A?s`6ZbvOx zqb3C^gbuZG@0`W<kpHYelVdAa-oGe6mb`Nxq+YZaJ-B(Gc&=5ck!ASas;?H?U5xKs z5ailf{e9iRj|!>^B5`qXHIwgePVawLx+eL}jg5QwrhHeb@42)!JG@Sog)L54Kvm+9 z28V3o-DB5wm1gt(zp^&k{8~Aijopb%Mn~rr?)M$`P0((-Be_Q1j5*HY_zi(YO{X@@ zP!I@b;W@$Kb>=}C%WCn>I!yZUdl%*&&$~FU{#^hozqr=pcdLFSc_^()4czu8!=r{( z)3R2z;gxpKr9G==XVvG<|MPomgq-H<{uTai4m=K41<mUsH>+*UzTUT&?Zn##%zad= zB=e4)nEm4Vdim<m36~c}u8-Sm!6<TsvDV{3>P07`9fHYv5?ze@{{6}ZEq<t0*;Vq= z>GCq)#{s;3$LDZtRJbVWG?`;#L(YQd!k=90@7%d#;lq4*&WExsxwp-vZg{LXYUy#v z^&C5gU{QpCp3^2R58i9ts5_}P*so!%R8es6l{Pn1NL#i}53-pmj_KIV&FS0!Njrs2 zSOXe9>j+i4$>kZFG|ibo<;jPg3|hfwT2E>DbJ(p(I?2sg^RX)aWBu~W)BmpUiww_- zQmy}VtATk<*!&)aoW?AXvjOM0KQ+d%J!yULY){3<q&i7C?mHho?wZ!p^?YJ5)1w8g z$yrxcER^PdemJ;sjz~*nz|^J~o+%$*RjcpWyLYC5;&GPb#YwD*QWI3~d~ob3=xc9h z|M~lO@eOv*bqZ`88xOoTNIN4@&&AK5eyAmD=Al+@_uaFw?5_&h`cTp7(W)@Fm~}Ch za_L`RU2XAF5CCniD%zyqF=MIM0@(*^)uXpCFtMeuymOfHU1_G5&O?rdh8(LGhgp^c zY3{64l?l8p@$ksb+a=$k7(lBNRu>$}VQxI~;y_ltwDsgr4yJGY4UCeEui3iYf~H3N z5!_LwKBew{ZSSWQZ7Ekz@3=YTo%f$|)$~`&A7Z{XT2>vK{?4|-Css;iu~OyYU)~*& z{EUjq*AB;}iLnTEH84s#P7=S<^h@$7=iCDq&&6;3tkvqiZ(-5V&yzL>%@f_6&*F4= z-ldh^<tHs`z3N}xS+Jru|Jt!~^^_yW^e5=}3v@6tG8;7=(L8c2aJJsJIt~Z*XVce; zubB0zWr~K#)sF#Z3I%o)C{O5{|8?oTd8&~s{B>Rm3K&iLGUIelQ^QhFymOpiz~j5R zc>5KVZ+7R{rivFCKj1W7_s%afsN1h+Z+w37?`FAk=N5-gF^I2c%L-jQVez4at+m{e z8>PM&ZB&?$0M1VrCLHZmKl-Tcz!6dPmx~uw?#P@uLxF*1-5s7K-FDwIT()yDc?c;f zq^NKnoVJpMksH)z4Dj#Y^<{_2?u{R;CfzM)RP@h$#HIi0(iWN2(>lJVC*5WBdBVz$ zvXi~u@O8Dyry!ZM3PokU;+3h3%Q`>-7;EJ+<3f!485<_?TIY<vb@H#4baZ^-@!VB@ zs>Lw=;;;MDFWrz&3!dF)J1Or`#knuf&PGRVer!^@B78>I^YcRI1D|Z!p#<9CzDi=@ zQzp^4<mP|eT--&^L^7Lp>=S>o<4Jo1qvXqLYo+U7i)Os-n0&uXsQ#!q^P!B_7jIu# zv#i}!?e&|;*?ISNIQ7f7eyWJvl(yXKYF6-kefJ5w-KWgi86m<H>iult1orPM9fkd8 z?ay)E)cACL^Yjy&-585b&sDs+#?n&HWalJ7jr)hBdzWP|JHc^lvvaoF<%^zu*WIq^ za2x@Z#*M3#>$iwqu!_{NVp5;7>D|rQ3q!U~dg^82{mkG0=BDRM%H~!VsZPFsH+oC$ z>8O9-KW(*M8FMtNNb{fTgYQqdGf%hO&%E#<f8{2noRcTN$?W%f+MTwv>*&+#xk<6+ z!6__JhA(VZNm^}qv~0e}UDt(QSsiUlB94}?wru8MXZf~3ASWlI{wd#IsoJl1j~wzp zCd#s=vw=~v(Z)1NYJ1MjOS7^!o!sdkyVqY`k3IPCs&`_s@+UlIehSTa+E)H3a{9UI z;N8nYUoPG>HRJ5HX0f^cY@6kntove?&01O%G*fBO?THfM2Sp?+KR#l0+$nCQFKw2S zpgV1ef>Or$dyC!qPfb$g?tW0;IAO+SL1niWQCp<ti{IWd4ZC5Aw6$Jh!bG=TDZwRM zrOk3Odbi$qGe<nH?ZUz0Mlp4z8yhr@nx5O|#msc@(z{c6Dt^HRW%jbm@7~US`NjUY zhQ*6{TyJecnquUG@}}2psk!TSYTn~m*YnRxS7*FDG_&OKE!EI<bL%@_ndf;jxA!iW zv0S`e|Nge0^;^oWuD6N*G1=hM1VdrbLVeHszH|Lqxy3KXPXD`m`{nhYmtVGgKUrtZ z*T9=yCJ8SrRt5Z=o5s$!ui%@=*;!V7{nbL>W?ZsPU2v!JQ_y+4_`unGcS|2T&QCsg zMn2>AGW#3KEq|n+pNX`zF?~M$e|_Mr`qq<DA)0RGc|TvA%f9m{x$f?b589t*E7rca z)M_m9puYXk)jNJ!`d72AEmvGzuP}X2o9@r|+C1t0zo&hB`)9IT8%yx6FMd<jJ1A<! zt`q8=ZK59dsj+P3rTbQ?MHAa+Ys`xJ#hkC_!(7=Ny*+PZT~AuYd&ih}zrMbn)Bo^Q z{i{<-#R02Z75$l;76#mC6_7im&N10RR-A34<%)av_SMb?4I8g_uF><KXY<D@q|mV? zU~UDd!9M4rj)B1h)2u#u`*XX@Ax(C!L$|l*rymT;c<tcL_NaE&b(Lk68`DZIw&n3% z3t1Ckc<oMOf!vwn$J<+f3Wyy_XFXI||7%8q?+xLODVsMNul}C3>KN?Y0Z>AWF=LsU zb#;}h$KP(yq6m}pyOFQ1DHWbFIXiLUxwWq@tQ2ma*^|Mw;I7>|fAxOZCl`DLzYBR? zITguS>N?@RY<$#@+i7>t7T@dP?BeNBzrKfcMb+Fkk&^TC`QF_0vn<|yVb|OG<0UOD z3l}_OuD-NvtM*KTD*?x!1s-8*d9p}J?ZY*T)DtH>*8E82Q*0D5fBj1<{qfXalkV)v zQ?(8L{C`>B<wKs1jf__o`DNNIuYBES6TahD(w@4nOs1dTBuuEVdi#6gmWq2Ot0K0F zg{}#j>=~ZWApi5u4zK<B_tsbQuZ!K=aww$U@9gR6`WeTT<e!j{JUD$<d$!c6Ii}L4 zIhVS=mqZFqe(e6uSn%iT1C?H@L%b9Do0?bTPSz?p|6lLD^pn>oAG>e)yuMdtnVkMl z*H<13mfG{2-aco^!MUe`p7F>>Rb{lxotKe3_*tO7`Oe<7fK{yxvM!F7m;0Zuic#S0 z7Smny{CxfV&)F6Bpk*wdO&uZ}EFQdUaO~he`7hvNX;IOl4I2!^&NM$v>;JsqUiFU; ziDL3|ZL7`pvkBVt#O|#s{WixTgMVIs?(J<GwG4J89G=|#*^%YJ#go(Z<yZ3@H_`9l z?>iVJILm3jM$OL0yZ5A@pI4(d@Aw{BtCECC%&qqpxh{69KPT|1`NRDyD}!h5Vc^yf zbAD>Bb~x@M^R*ibl6nNDLR)tpQ?)`fuC0j-*;({-$GrUS$9w-iwWz$N>pFAJqV%27 zNuQUU@OW`pU{(B1j?}Z$n#*K&FPhDk^5o3Q$0ZNTT5hhatz8#*dDbfKj6nWhwWk@s z6&<*?Cc-7Tz1FdQ?bP(7S8p^zpMA}qcJYMc{J^bIUo($2g=$rr%|2Q9aBD`)-R8rd z``6uD_BrB5%zme}Qd6G)>5Z@d-+i8Sqomn`{U)X7jI!?Ti|!G)x;|zKr^q*n>8qA> zbQEYspRf!w3fh`xdv5#1H@gGlFP&2T{Nnh}{eh?Z7w380F#q=d?2(!ESN4Y2-`zNW zOTNo-zPG+1MxQj^Nak9XOgvVvHQ(5~rfrL%&qTpHZx)tu3kFnhnM7~R5?%f2++6GF z^KWg<7Oys2@Pb>2v4}ymIGA(khctyHdyAfWsc{_xohp$x@xu1}_*)y3*{dU_>BpaI zUzl|9?%la<Jd#3RC1du_vz1hEu6OT~d3Z<Q%<D&w4pk<vjoO;@-tB0Y=*{k=ytp^) zU3))lu&Mbm!EdhBQLTNycB-9-KFIIa@@S&<;`|TLW5$kLebCD&Xl&Ng|4P9SG(RXY zVWLs$sSoXJ@2m|~*Y15itLW}>|100#DJZ{_TY9y(sI`dKUirTC^^A*mR?5`B`cZbu z=G7n1EBZ<iF(*!(->kOr`+*re54w4O>M5R5F8K6{`QO!kuX&f%`!D^g&s!DXAGdkB z%suW3!!*5Lb5Dh?$SR1r|G&=1TvXHYwe;84FXulxUs+ljtETr@{k&p-Q0m#f-=4eM zN`hqj1-z#J|8{kvOtJcr={*N#U)kzw`>TFG<KfH?FFL>c`kK9J!6Z-P)N@YNd-DoT zcy3;zpgiOCo}j<AAC)FqUG4uZzQ0B-+3kRcWaD&3BXJJ<7xC$TW*zqpUmd_0>3D*5 zSImbhle{}O4oqHT|9PQv`-|i9^(rbWq@;x)=b)7{9e>1rZ&&H-ia+n}?!J6$Yj$bs zt{3%Hy!~9)`brORuPQy@#=&_$J8trfXGdqfNA&Q_Ks`L&kDz4@3qOAToSe_2rEc0R z&T;>*g1f5sv?r!1=jKG-D{69>1RB;5n0;qQp|Wwg`}Sfx#gboD9HIKNcjWGMbc@s2 zzG0uD$)|ee_RnX7?>};KbE~=8U2pbh;~ca1maa#=B_^HHuiEx>j_`zjn{_`|1bvj5 za?-N9@%zy$rSe}x^P`sksy>pEo@W=PcX!3J{MFO+7I;2x|FF+%>PnBdyT63QO?r3n z1lu8Z=IU~#{He^${C=0Gy<PEodAv*XcC-ANlP7vtPhS!FwtvO#<$pu^Cq>H4S{Zw< zxBiuBmZSZ8gFOpXL?sW-<D2_!q1U^cE0)auqOkGE_g+n-O$85~)-7}V;cPr1Fl5Dp zXX~H3Jb1>lp3%uN_tut&LD9uKi#gIkmkXZ1ZVvBneunoq-Q~K{B97nKm|Q74LpV`n z?N%lZo;_*`UIxXVADKScq)`7SczfR6h=ncU9G1EY861W$r4I$35OsX#6EiEGNq<gq z&Ii5Jvs#sb8{>E^9YC!{&4>*O^#OP8+>w!6RJecMf@!5*D?a!<(t6+2^W=1V2iq!_ z3sY7Gt-Rn<R%)!5e93!4fYGJzlg+j~f4{cm!4&;!CkM9)2D?7Lcq*}eyIVp1gOw+z z9>4lzqv>livlAODBtA8mtuQ!otvA~upZTZO=V@ymF9}b!E~}82-(7uNtc#=GDR%1g zlrJsw7d_26GQCG(PRoVR>+|AQ9Jz9{B>d@(E9*mAq)hXji|b>vH_Tb0q8#w&Zrux^ z-pK{MuKV)8oaE?W^kC1sV48jHNg3-0DTR6&4t~Zy*H*3~CD4MVg)%DTkJu%hq%)k% zGA<~5OK7M#z$LDCrayy=bG`HJZMnSHc>OtTly(SaSH`8Eo1=Il=gr;S(`|)fca<#6 zyu9oqzg<g#fx459N6B1+M5gu#hav;}Pp%(gdklPiK0h*5dHhI5<)PAZ<`xatE)f|$ zr+QHT*5=*~{YeRelWy%Q)viA_!Jc`&;I#%Dp$Us;*f`%>_Ar;1kFT%nZA0O=H$jGJ z6V10W^CmgC9XR!H+2u{^WjWZJ*UsA^;&IR1DfC|3(_fu44qu94TD|h|V<)$|dA2jt z{us0^Td_yr?<vt5#f4g`A)&n)iL?52vo77dte#PS-*djiVH57>J`3g5`<9*DT(`8} zy6)4x{BGypWtXnk?p~$8FQnv0&Xws)G^<5^O;D@*Jk_fwR#bO7^YO>enpmzzeVujT zQE2pH-i4|gq@MRkOrGdb`dKqv#aL7$V#+_OigQ^hEW+=@{<;eoP5P(&{_nP~vL-u8 z#~VynHD)cGBVPZ6c_+^a?t^D5KR!D8$H+!($HT{^d(0=!Zj6~US>3<Lj)jBsSle|) z8=E<u+^fP&ikb{3eBsh(^s4x}SNPt&dp;c#6uLGBZfr8(y|;SD!)A$xGW`7fpT2*8 zzDinUXOo1&5vKKrXBZ}*Ik);Pzo@|pLC1R>Cqm!d*=cFdn$76xSf9vb<Khu>wBN5~ z`KhVe$Cran8Q+$3^Ux8c<YPT^-f*w?2%6%c{%6%`j&Ds3jFKm(=}OmI$lTp)R&_Bj z>-q*B%cb6bve>;RPrSX)e1&JP(37wg66zb$iu$^KuZyvozfan7!buhnRv+&ySJTsT zj@Ac=J)LTpTAqLZ2}@W>du;vA!u7j4XP+;2Q1yGofB8k7WY*)a?`}=fuG*t_Km6W| z6&sEmW<PlGnTw@C)f$DBA}5y~3tQ@v_f1OjdDVj*J0=Orbk~Shq&(A&_2-?v?NR0j zZ~xPaJ<nuG{k3g%b-A=`@AH?x&#$_1y1eV^w}~;<8=`m(W=05XPy}^xBMfg?)+f#B z%Tiy@a<Ozj$Et`^8`NEs9wufQHI<(GpQ&_qj!~bp)(0U4^T#g^+Wz_fx?JY^SLH<W zZ_npuJm1zk=Y6BumlLXvH{Wg57SB8|VPnYVJmq^<Ue)S#pG|j{8ZPVBlCE2Mic>${ z#(VMp`3qm0Zpyi+<CJMwRsCQ_$SKo0SJ}<=`Pa?#{$HK7<KeORo65_zM8kIJs(OE( z^+59G)>`59<tJyojVa2`dEEA0xg<$<wNPfan7-!;_LrZZdaR1wf3kYw#NvB#EuUU) zKb3rC#n0nY3@2~)+Gl&FHZo!Q-}$q@K8X0Ww*d7}7*WKbFcK34>vtGj)N$`Fk1Ef+ zHnaBNvCknI^?pUgp0l2;KBA!PaBjy-$w{XBLvpY5&YssOlJo0+{uaNdISnQY@;N4X za*Jy_#;<&=z2cwztcCH%C$Sn^Y!O~pWc@%>Gh&5CuY|p?V3$$NjO!Pfw>-MOGlsV% zPEJC8ulIytrlQG~-XiN>bQ{!vKmPvZgL|?f50*>0FLa#gb;tGaW`Dc?lfI_=NZRN> zcK`DH&h+s0aY2Ds<x{Sd+Xwyhx_ZC6Cm~1WoYE53e4nhZ_w<)Nb2~4##(LSOOz-{1 zweRc}+-5xZrGB2OuI(1*UiFkC3-z|=TssFE81b+<boOu{ul4_;XN*!8Zf;n-qJHtC zhZ(=!Hg9&j+7*274{PSzc=t&;zki%L`}42C*`KTYCY{af7v_}Qczm^1b&&{5Ns7zg zpxSP~mLEr7ttdP9obj!FasRAq8+w%1FMc#b<{R(%i|=G-@AS2edS%bWyO8;mcX52_ zgxC!=%G3X<EzLzfh-L%mAe#CT$VoIMDK4$)_mr5W6Q$g@>bmD$V%NMJu#suenymNl z8+BiY3xA3hnC95QVX3TP{qE-T?5XdA^iOWD|CuJRbb<JlJz0$^^Q|p?I^;byEM30` zn0zrxb#j|@c<K~WE~aII7bXgZN_`WP<qTAMwqn|qpGtjQo8MSlF`55$sz32PPvC22 ztk(m>MuuI}7qN5vN?Z^ln!4uL5|<MldrDavpL93$^=PD?+P)&Y-D&CKTgZpNIJqBn z{x-LSgQeAGq4GUDlRd9@f4l2xzWeQY7NaJ%I6=X{T|OL|(vyW0mABQ)rnWXUSb{>( zGiSf6b&5x>^{U?bsER38CVJj4FE1+#;Yhh3=A`iFWpUKDJpHdLrq2#%(5!0lP5l1u z?&ZH81y`Q;p6n=_X%h75vcG*{dazB@r3JFh@)-f&O;w+Dq@^EQR6K1?{4pUG8CFJF z$(?0yZ$+1gh4lAr`kwK7&c<gvTSK_^CV`eNOF+*lS$R>hK3KT0d6w2cnV*#t1UYsr zWL~w=F`iY{`J(0389ff#Y;)aBnF}43U)@nA-nvU~)m(+1^c;bs%^ze;QhMGVXk=dV zs(afT4&A9*p<TNdSQXu7$*x(Ja_&iULtoDv`}%*nw<K2|f3mrXdwqzFvKU)PTemUO zhn_XJOQ)&T<*n$Fd0x->=8r@0Ji(GKw|UYSXN#O}<CWf#86VT>ku%}k48vyOf5&^J z(+gfK^`4&b;6Nj2?`z)6zGNn5=9ONeFE>d`FZ;CXvC;x%<>h{JtGazkzrNUz;S=}s z{C-R2zT1u067}~@HP^FLHjHPeHA?bv+G2dR{%Ma+#D<2$=YyB|T=Zpn$$;aWkT%dU zA-*Ng<*Z6N&YPOO*ll5Ly*WaT#a+=YJ;y?v>rlWM*Bk6AOP$;K)=cXwUYN}6$tNx@ z-ekk>@@B#2%PWJ`wf8%xRyisZ3SO8vaj|><zN77dwrcO{d(3Qhg<QVz;poQCOFd0} z&xlKy=W^_RdVYTVb529M!_e;Q^Kj!kPYNHq>v4SYbBK6%XXj$>c1JD7ti5k<Z%;2` zELtFW$SmMG=zwYMFON(GxP2Lf9##C%YyB~AUxwVdb_RJBb2Z;tM-DsgEdTlGsm<}J z+Tj^5E-d`>zJ8u<^`|Pug3bx&=U5gev3OWt|DjOP_u!zQFiVasqpal3{q_H&cSv7d zymr0i#cSW62Z~1fHQFg#3gz-iMhF<R9uU2n{-k;P`IVKX=8PwJm_8&uc$Rg}XX3== z{_{7TdUxLbzt7Pw(aUppWnI;>DtjX_nfV6jJiy6}uJLC--1$@g^YhK@#GHVKu9Y93 zot^Eg$IimU!d?}x?ADX8G)7`diL^<^g3l!lQyNY@m|>cItzgEV+xh#Krk$O2agwU{ zi}(Bgrv;hR{P-YoUwq=kiE9jUa!yP<IYo2wp5-izvq8&V%ii7jDYh~EYsTkpzcsA$ z!et}ASFs0do~0_3%_SKwP;b!LP?F&LL;5iM=nI93A;uL74mn#?5+{hZG@10X_BmhD za<aHz`#pBc(Sx@eS|WqjXR_}PX`0NztN4bApX<~lN23WnYXp^Bnjf$_N^m?}uqpNQ zq}{u%%`2EWrA#seO!Mx{m}QnbtDqr;#qmHJXuhqZ^4K%JEf4GWMx<mi*WL1G-n~b- zee;P0MjZ+Q%;4inE9+$z-fYg|_TzLoAR-yLqd+nIvVy;}aZ5tfmW+ccOM{kr{VADm zSIe=M_lvV-?eA|-pZh$`PFPp<^;Kb>rw`kE?oZy|-rYT&C^13)$z+92F<v#nSLnx5 z)T5qDad?;GoE}~k4okD7riQi33zU_$F8|TJx8sCGc}nz#mo}njW>4nYb?ZO7#g96L z(Elf<+T1Q%`0{g@#i!KmuO6=5Hxag$;NHSp)#?uzYu{<g?QDtRs5NS037x?Ar1i?0 z$jP_1W*<-8%F%fsxG}jt@X>6&SgWdUZzS7`o}Hb2JT}hMd1pZ*6YGlj{dI3b89gt+ z7S+GHwN?AOV&imG-&rOt@+A_=)!*LCVd%beU&gY?;G^SA{l4q-m^lxA++F_u*>yG^ ziG&9ScUF8<>XkG;mNLUbUj4}i7It>`X1?m9R!2Zflp6YaR#enKjo!g3xV<FhH{!gC z?bQ-3JvWZ2+7-SNt9)|a<7wBaUS-8_m-#&ln4Ug6JA2o|2b~N*9r##3IXN(h>BpT} zUtL*QxJfha`lNu5HhZKvVzVDgOySRN`~1+=4SHb3ro@&?zxj4&6(vIsAK2Vvz~jNs zW2tp}TYYYF(wC3N<%|6|PE9lv|Mx|^Zp)G|0ZBv01NT5Bg{XGe9@j(Ds<wQ$J-%yy zzL@-Sv#<7xcwXA~e7*6creT8M>-fFLA5PqodcX45JL8v*6ECb*eEUGSYr!0SjtG86 zS;@eePx23*@x6L1I=}MT%$u)^1m^A%bK+gK=k&hq=e&gK%ddS`Ri1r)?V_dJ>6d!5 zr)xO3`GAflld{OMd3r+f>b9883l1>+%DiO0rT%;TEAdCdTCd_JRsFlKkah7{?0S|d zI(@%Zna5gGcl~*PZ_)Gf%9^{SmOD-kyYhbZ#VM+@lPfsO<aRqvy}LP>wS46RuNS*x zPk1;9PWjBr_*!v+vU2?@p0{srZcg7R<J*(*XOi%hJz<<h=RWB9<>c?!!!J40Jele2 zEUS4jpT!ouDwPVlU|VmsA>I1vnYT`_x5-}?ob=oA`-<4htNv8YWji|~LFV56$xMIV zU0Cq&{w49Qi~|CfzZdvA&)l4{R89A`=J!geT?Ow<{ucic*!iq@acRBm*6MnvPk2t> zure~rzP4t&ot@nhxwSjxX3zW$J%a;u?nc_Rl$WhXruO{!6|;Dmsdv!BMRH$$Ph*~< zm>hDy$y8hH;*G@kfY*F?Ghe+~`sdDtfAa-wG=$T27JZAgd-`0?o@=S!ysandzaI;| zRr$r}sdj*eyW6U3Yury?->0n}Z&I%1JKvzos^qP!a9vEsOWoU7D%$;i2V8x0b45j? zj@j0APj*QtDxds%>f|m~MkQIvz|N@r=B6f#L~k9g#{1>*%lR)aSsLWZb6DV8lYh)f z(7@6~9?`OyR_T&k^X~g@uTA-AzUGx*z2ZT~l25Og#Y9#Im}oyeF}2;Zec!2<$Nv9y zJIwxV$-%|ym;Uog<W1{Yz_iG5>LQ;6zc@}a4~;G2cQ*EK4rhMx^|Z&Ui2F6d`qj7c zgWkBF@CX85Sl<b10B9(#+%8bkv|!2&YnC^bF~Z?SMvqR%ZF$)LbW7!VO|7^^TMpi? zf80GUH}m_qvs?bppY7xJDon?Pch<H=KfSL@%as3o6qyye-)8IEFY&WXK8tToVNw73 z^5U#-@BU2YoAYVu9lgr8@7`)WoOxvD5~SluN>Wn({P_4P?$fj@$w6mk=RB*B5c4$t z_hFg8&0GC-`JC|K2p^dVQ|hz&dSa~J`>vG^1I;#F;<wlBo7nT^_ZHc=zp{njTEBGG zt9-luNY%|WeW_bZWh||4mTwIUsz1@%qhk8VXud*%C8$BSRjH2qpvD#zF=LkpkJh$t zx&K?vbk(!G@C!$#_WZG_Hahzwk;gZ%QZYBAt|LuBeuV`WFXuA@UY^8R^=obxUwZdA zocEB)hcyQd8>O7#|5Eus(QuMrOC8hupBG=gUMM!XdizxF?T<gCW<^|Vz4JMB+f>z? zXRX%wx(PgM<Kvk%AGAH=z;94)a&F_PoNb)$7u5gWys25H_F0vItew0|@9O=pFU+{J zT`t_H==OIu)0Kfuceq3!vt08zS08A8X{z`0%jL@KmyXNYFFd)q?&<Tkm3t=mYS};8 zz4G(Q;QsSJcV1{$yb`IpAxY-Rq$g+N1Ki!brmyz-f4eNgJ!Zn6E|nW@XK!D)6MtQ; zyo2}J{=XMgR|T7y*M?}!j(vMN%q-d0s449IiyK|XGM(IVp2{k|_VD9)aiSw@_MdBK z>(BPyR_~ta203fxw&#Dr-X0PCl_myJTl=?VhMZq8og?R;zi{TWDTPzC`?VJ`u`S*0 z&i@W{EbwEC)bkU&`w!2^ogK390E7Lig<cJtS3WPiQ+u`g$!fv-f%hE)=b5kFBK}`5 zVu!@kwE>}-*)5miL_ZatnlSNnE4Rp&`A^Q($G%Vxvaz13ulCnP==JZTGms8-i479I zd|~IW2M3$ieBy4-dVgxF_Rh1`Q71uLvG)D{SN(2}7U-~*i=h66D%V#rd5I3^&YA8H zuI)9rRq|uoE5}C@-y~i-(Xq4FB>QS(nqJJ>>YF=WM(%afec^r8`bf;>&9%Ghw+FgZ zgk0Y2|GOsj!WSQ9WsT2g*K+U*#RPf=?~KZovPu&Y)ylG$TVc;1w`AMaI~wulcuxMa zO+GDcpr-u$+uO%sth3E>y}qROOBgnBiRqkhs+g`9yQq<w{nCcS!z*fjerh?yz;Qox zrBZB}@L#7BEGNZ4mBJza{MBJ=y#yNSg}NmhwYSS(nq_-^W$@*qDNg>=VhXygnWQ8Q z-x&*i7tHy8B7FV*3$xxXU+H~#QHW2Gi_qUD$;pB*jyi-NiwXL7a<cl)$0jB@3L54a z7Zm1p>ED~LV0e3b{&MT`cLss)?(MaHedd6Jp2FP1KR*iRF(3DzZ#VaR`!b#>mA<8$ z?Mmuj2xxQs|M`5r%&a38potMfoAmVbZ$8c^__g+4*tWCaA(LbJ@hL?Gi<q^9IhZ^< zK(#jit=nz9(x2G<bjn!Xwc9Q3)ZVkgd9l$H<?i4&>L&!*IBr-=a&lEVy)K`>_@b>= zkXicgw~w;D^RttUnr2r(_B;5^v2av&@2g+(@9%HWuBVwi4HY@}_RQ>Lw~<xg`q88l zxk;tkX2GA^i(I*P6+UL0%r@uxVt0PA)2}Zt=jVRlc=P)0+q2pD<<2~+E`5E?bcU2u z<-dRbbXKt6-k8j;8^3Q3C(kFpHa^)?|Jh!?ytC6de1+l@=D>Y3e5|kkkXXTaeum-U zX?l~_)Vm58bb>mV&;E*i3{8CS{=47IJ)cA>Mb7yiy<4>JekWhu7Td`az3m!HzJ>|# z20z`M<k=3|0J;4T=mc$XRxXi_Z5?@ccWqph_x|4ApIc8)*B3r_e`m3JHTbj`b#?Wr zr@zcNj&xp(+q-w~cBTCP`uh52t_}73rm%6a{DW_EczB16SL(&SbVsiTiU$o(SX<Wr zvvFLyJ#ur}mXwo1VP9+U9T)TBL`TxM{MqLD=g!2x`73av<b_)7?%xriw{`^YGMTjC zTiuJB=P&xt-@9Oce_UYd?sHEf57(cqGn?j9w#eVjf$f1{!}7y7n3$Mm@HDt6*f2Xs zu>Mqy-j<W7@3A%Os)Y@c^E*x(VQI6R1-G~7Z~k8K_SV*xSI!c*6hV7xU*A4GT|e{G z6it~jN1<F77naFAuH9mR8<PT+=Ez!?rRaN1R`acxvnlno(M3t?GM(yd0jsP#JL(Nh zb8nf<jA4yjrXXO?Qj)SH_4Ks-kJ^s5$MRL!?N1;7Z>W2(YNFQkQ|_u$m%E(VC}2^p z+wgB^$@Hr2I*zQLPv{3`$JEvu8C8GF;o{^>)NkH6*RFQfVMPUnSr5AnvahWHZG%~N zK++(Aq1qrpLFvO5g*T6$K0W$S_HXe=X1@9-j6ao*v8jH!xY+&jy}i|zJj{!li-VVX z9etgBc^U88xV^KAT^`gjHae|fS6pP28ZvdZlD_wJy{DL`#gwGD7=GT~(cHAK`=~sl z%C@$){Y#3Deh!-E^YW4XggG;H1UM>1KK_3*m%Sn+^w<CRrK~~<U+O0{eBlccbqNq; zeZ#s^Z{4GJ@gkA+TD!~w4tDKbnA8|sAbPZ|<B~{#z!asu%?=uxEKW{NbN~OGQ*r!c z$|d!gGoRGXPoL+R_RjMAo%eHR{@$Da`&3tN^^&Tin^qYMKQMmg>GmP(!ON#i?<H6o zjBf9({(fqLqO(rmL2kz%Pmjyj*Kd*Q-c|bgR@b4budi<I5%}bMtY2P#dVJkZ-j^21 z7e6HFSjy+``?+jxvtdP8)V7>VTfN`k--~+$o}Fd-cAv&)S*wy2zfC<jZVNwpXv-m> zsC2DkZT$Xwlay^#Zc5JG{P@u$qg<yS$3OmI`EljNJJCiN!Gg(x#o`Z?^j_ZKePR(* zZ+=3kG547Fr;B&as%_l5sO0^HfJ3LI1#an*WLkOL|J0;VYgN6ED|pPV8u>0#>b@!1 zcJHA@3JZUuKEM7|-LtbyH?zA<(&&GDy#KbGLiyd&=@-&D7n@9v;nVxDHfrmphci}% zuHN<8`-HQikMliYMUg`W0-T?mB-)?1PT;v}@MLMdK-<ay#lOc|Si*#2Uz!&k<~6@D zXTtROy2uX;J}9htJY({eSEqLiSEaj$ui;4PZ<yUPL%+A1-!@*(^uNM}lDl<3lP>Zt z{uLd)Yg_h%`JScI8k9GbeD#am|9C-6ePHs-TUvW>&W?H@$dST+?STB=bq<0Yxh!{5 zj;Q49EU=xlV`=@fc$R(f|Jwg-Fmk(PzFzxnv`c2b(EmfxDx2q;**b^i_D!5B{q5A# z=U2^t8GY?e*}rS@9pwcnD&HesPU~{mp!h&dId)e`q2O<m^9Q#bOWHWkI6dam*-3Ma z>W|7<ZjX$8nc(5+!Wx>(`)zXdmm7tVdo$Osxmn~;RoXXgwSGp5SG{3#?N!y{S}!-R zXO-6%>&bEKIN5RXPT9n*zHHm09x8o!ylSse`p>+T;ntU`yjHnqUEXrY)#m-?<Em=W zLYwsUJgdsrrL(+S^x3YnPa}wBN{@)<on6MR2i9}!IO*~F+S=IpHJ>_Xr0;*{pnh7a z@xIy7Q}1s-O8vhu`O+a1-|UME>X%K?j*e2~7GTO$(=5HbF5>2%&kL@s6w{NP6*j$3 zD@T06=850$YDu27PP1|n<PZaeUCPYeY3Js=JZHu~jdfZ}>5(I<r-dfJ*>z_Hll#>b zDrb2OGlTq7pLM^wlYd(_-+I|2)|a<-ZJTp{SD#BLzy7Mbuj~G_G)xk-XIyz%gQ=d; z;efB3PvorZcXxKa+jf*OqWj$?g$-ryO**mV4nmVIsccGO%@ha?m2wR=-pg(hcradG zU{YZDF_}qofAe`J1$A9nA)_X~Wu<biRA#Y8eWFZ9<&+*4rqa91l^)20Tx;^C5VRh7 zTVa3H13`<zPd#hyNvUg}O@4fiWo7*G`YuJaP#wdIN3QRgKYy|2ab8Bx39)SrZ!8NU zqRdvP$<DfJuyBS{(6W-M$(ma)xG7vndGg?3a~Qjtj*iZj#w%B~nZO>%y1wqMQ|=`j z_5{gYr{@UV*ztOTqWZ^U#X|alrrNWYc`kix%zyRp$sRc?L&HLMm1hqGEi*$RD)TPa z@7T2^Kgc&~Ua+b9j<0_OTofjxw1mAczgM}u=J(s}b;`;nDb6p>-4BcS{HuDdRs2b( z1HNuOb&cJAO{<ax{>V4{<<#6%dOq=Hj@<2JzT153OMTaQ&b61Hs_Zo{<?qBNCO5^a zUHFcud-hb?6~5YHvQAp_?5pr;%a&PZ$)088{8!Ik#u)y>*G))Rc<ni!UpgE&MVBqy zu{-0UQswKl+u!76DE~jDy*|QLD(cMr`u~3~t&80qqyKQ*?YzyqO#fZuO77jV;{Th? z=Zp4=-3<`b-~t7>N9^tk?hc=#bhij}`1)*JlJfeV@}xOcm;S|W_SzA$UMTTv;VSoK z|6_NByl7Xh_mi9?nEoZ<k#MljzT<|U=jd#F_FnB`sLG<6%*)gEZu)5*l;twb#z`z{ ze$@Z<*Jk%D*w!udetLY}%l~sL&;EE{|Nr*0+lnpQQ%{Sn&UWtpnRj<rq@s-ngYJ{! z<W|o`0*|b#zO3kxwKg;NzL+c0sN@U^zMv)CqMjnkSDmCA>mRH8MjQ%xapcI-6ZdYU z+)Vy=Pkz1*@2fDuN#@Fj4lgNknGs@ieriRZhIq&?%PBY1mM-DE$5&)q;b-=L@{!%Q zpHyF2DW-VQ^z34{mX&soEMrbh(-gg>?i(JTz_RBg$2`s}W%BiZ3g;L9|Mhx(Q{34; zS?e_m?%006^Em9DYdM=xy>a!ooSS>AxBt91XWIV1Z}Y>_*_=bgduPSh{XF`v`E7i~ zLso0KiFPdR?7UJb+wblyUVf+Ov~C#7`|tPbr>D=az4otng6wA=34;S&4<AlV`v)2j zjmZE1?{Dgr6@incOi5w=zAf*r*2$A6gZ9_e{;oRSC;RqW&)e(k=g;M@{`Inc`C2x< zxS2=0#iPF_<U6m>Hwr49%Jt{rWlpZ1hS@z+^kQ#Koi$7A+0Fx#U$GqQboevT<gu%T z8s{_1cLl3H*oRtodag{8I^yN*9M-pVuEAcvQyxEyQghA=&pUkR(trK@fA*&Znu6Ry zw}h;;pKtr$Y@Yaub1#jb72cZq@6E^Wl8fv0W=$i6-hRd2TB@s6LfpKlRXUz+rD z)3Mc)HAMJASBj`z)tWy2)iX(bchlgHL7TfiewvYP>Uuz&>0Sy;P{G~O>o?oC>qc+$ zk*j*4C}~-=q~!g*yOS6@j)%wBrgpN=<Lh9upOAZN%SJhVkAtD%v8m1m6?PKlIX4U} z%ihemQ(t&oHjE+v?yl0XOmU^hcWwx-O8hg&vRLP5XJ_ZerYeb5`-7MHY+O_;@%z|p z=9?8i9=5;DUg|Y<(-GFxhtKEN$7T3=>Ty06(~HrVU;l6C*Rl=UJSVH|ylw2@mAC(I z*=mcPP45>vx97ZQ-w+xSGG*@MoyA6bG&=rQ-cIhfeWsRQZ+Uj%du=95P(?C5rl>Rf zU=yol?)vxQ!7P{j=1g;Ln<4Pb)6hlnsLki?wKCrIdhzSF$e5%&6KOd-p*Q$h`{yh3 zmna*)Yml+t5ty}g&yAMmOPyTjm!9pHJ<=)=sOzkDiRUMW`4hE8PLj@cr#ybl@8|d| zlNi_D(lYhzPxehS>gTc9u3=Jm;OX`uET;IZ>9y*M3mlt$Hs7oHd^Swvi~Ro|?X~%j zkM$m^;9!pHUKzanmb;5f%L1k|F_)M5PJZzsqq;Hw;-c2C774~_XC_pBes=Omr*N(v zn_Qaz(%2}qnhyurrxh58rIsAeX3}gFaj27Nez4%hYu`ly-+Oy|ONHwt4>_J;U%2qc zgO$tYZOXR4UGsg#o1HJ0&Cbc-xSH6rLG+#yM|fP%<3^QAX|H2dpJ$1yl-_<LP%v5W z@PybMQddP9|0p>4%&}H|cjbymqXWnzKV&A!KYV>?cm91lZ#D(Nmh=rjKR=J|XG!GV zBf-)3D6&xR(fa-Wc71jD1KNLY7W24r;_+t3CY|5U*{AAVo4|7XM_kDIxV!txj*5n# z*!_N=_SxCy+w=KlEH1Q#bG%Nd&{t5LtnPpA%4g0=P2Uf59(HI@(5Oi9n3I}&-uC;P zx$I3$jI-=&cU8+iVDzm1bW)wUu&QK!m%}zt&EBcIOXFuyFw3Xr1OE1ZQ|{iYKeFs9 z)ZI0e8gonqizIBTwx}xfeRTFX$EGNzRq$@#@4VLs4=5<b98nZKJzanM{NnQ)1zHS~ zkKLHSnmGUSdHef9Vm*KOgd#cT3$V4nFxciZ)96~W(~TCEa2q`dON|Mv9BgvJ$LHiK zO8Cw)**K5O$=P?d*;ID93J1BG`VWqocXn)?D_{NbsCe#=4pVD3yS-IkH?e4JZ(DwJ zrNAc{=R7Wnm6}ZdKoyTx$chJEo}M4C@3HddbZ%&?{G;IH1q=5NSuvGQr<(0~B*D@k zaO~dR>eLex6wRhM?P&eL{ZpbsN8_-pVNy%(KaV($pNfx<_iwKhZz-vtvr$;hXG8Kw zhXrNlWO@$n-c<HB%396NF78;bboyRJl?_2_ElOSlNS|66xp|qYVnx!2f(aR2AO9wv zv3|cNIlei-!R|&|?WXyEe}BKd)yRIKqELXO^E^(MP8m@fm5S&CM>ak@+<toJ^Lg3V z8_GG9CI>g0Jxk%eCnhJSXLCh_vA!OZ^F+17N+zydyNu%rd*f2?>8EPH-&OaXrgQRq zf$U-q5edfjo(Yd1uC`}Cx!A4w^t<*yymA%Ix3}eH?-l2jHrw((_r`{U&r**ZJ?gnS zY;Ddt)}MlYb)1zNEtwqI-(OyKe{sHLdPA<}=~U1qR=MV3PTKRbD<Aiouer{=sNON| z#P|F4`Odn2aqRbmSH;cwSP^l9Pl@THqTtjC{^#e}w(b#MF4V>)W1}@ewU0e0weGU+ z#v&f4=IQK3juD-I1dEcch%_dET2ZS)Rz6aSTM6<7<DJtrGZa*_x*QY)KuzC6c5ybA zPj*&RRdH3%x7x21Fa?wv6L0I)zhk)q&O<tLcRPEjfzx6@%t?;@r_JwGEdKf=VAASk z93t*4Mj4j{x<fz-mw|UlL8&t&)&pWrdMIqTQme(}+S@R@N8;F9rF$zt_BV=Zhuuio zdB5(ruKnTC9;JW;P}5YxX|97HhXhleQIgQCnB8S>xo*@ul^kE#%kXrP$`40~nF~@> z1XjGZ6J`9b@IX!3?a9ffYdaszkA0z|%E-9sf95?Gbq`Zl1p$tVX&c#+{_pa4br95$ zV4AXJeyZ2Km?<k(YBK$FWMDL?(sb~;BEsnEAQ->`@?b&O>1n#LSFW!H$v3$9n*>K~ zPV=p+Z+k5PQN!r!aL%Z=D5gsBqQ6s}pu^gd4-XD*`@LkA(gF?*rmhC13GyuGvhMHO z>;3re&qlxF4GeLnAx_uV$FFYyOM_JXbb7Gn++6G3FGLrDLV;1`M&_B2IZm}1ofoQh z*ZlnSv(e=^RPhtR#?3vKmehAoyO-7FP$!`9Q*vvt%dW2Gi~6jRl~<yAJC@k0EV;YV zLV3>1l`CG!<VQtCO*@oq*{jPp<E0_kkbnS|b4It=^+XiG#o&h2(_-2jVK+o0I~FY5 z))jE`U^DyCDNCZ4hOnIelj*Uc{@>5%O;Q_^Pb`~nS$wQ(cI9pH_?r5}(75vV_udvu z-t7JQeAnEaese4mS#K}%o2zALXc%V0yEHr``YJdaIl@@Z?fP(tJGNU~pYPh&m%Ex| z7?)hz`5^D^uB{isxPCgUz4FBT<co`om%8;zg%$0M-kvvY%8$zeDvz1|T?zJoniUoG zP}!uj&ci@r39o2sNc8I~E0gPYKbEtt3aR_^@pwYSymgMC*kYW|F(<X|Ni4UxUPy&V zV~wK252p7lOO|ZlIqzLjv7_eC$K!V8hUw>ID&K58p5y9YQnF>Lce#xksH<c1`_1M} z$;bIDf4|whwEq7;r)JrI$Nv3z%r9wQx97|}+vud^<h3?7auZJL?LH$kcW%9P`K`AT z`rWVH-Kf9+Ptdyk|9<hjR%~hB5xF(%>L%IK3!2tg>RZ_V`EdB!>BaXy?pd(xwiZ*D zL!ffOHCOT2Czp0FThwN|;kWXgk3SywvzH0pd^2}zudMa8JV)cLda=7W9xiC(l}?lT zdbj+3WaZcC@pU)t_Wyh~`_sdA`C9>eY?}3%_x9|x`SoIPS>I{>{V{gG-x%+F)TQ0h zmJ4bP$R7Xg>FEjDA?NAiv*pS2FE1~fT}f0C&N<hyT>RM6qLYox>}zIeo!kv7*ng^k z+9kiw=H)nEn=^f~o08s*UTO1l+urD}TP7RP{d(rr$6A^kH~7|w$JcCp-7a6Jp?Q2w z{qdLSEEh~4HNC44_+zto?}SGy6?7h-j@puuxL3Bq+(7H_IqUZ}o}Qi)H>IBTnyMAb zqJM1Z^ti11=CbX&A0^CkW|X|Tax!iW=(vmD_oVapD9YD<nWz)F>BwH~L;5YY-`?Jy zp85UB`a8AX?@pWYW7C>rVku{L9u?0&(ZI-@a$!Ne<EKO1`agX3oP8T}aNpdl%PhYo zFMZWyid24ZZJD9C(Df!(ZV_!)g?Nq$J8mv^=a+t`!P9Ia5H!=KvS`^$MTZLQfVsz< z8wIB|DhL!s?yK23V~$37s!vhTCRO!4eoJ|lG?ZRnAAi4Z>8GWktHVBhx$M9DyX!mG znwmYnbH18=a;?A7zyAA;Yu9fyt=Z|^&S&Y=bDS;d+pX;NC!_QCrdA&iDGxRZx^sSm zTzsM10bjSOudklYvahczv<tJ{=H${4;PCz3-OX#%G+(USdEr6jk5{YL8~L`n_dkjB z%9ee7clY)yYoo6}t9T$d!!Wr`CwAABeZB3h`HY;)1qzA+ZH`ZRUEi2~WV2JdQT(qJ z4`e@@1&ig|^4H9)nYn%=Yvg8Orc+$O9Vc?P-%WFlj<5f_^~&n-^$R|<AE{7PRxF%V zGO??{AJpUeQ?dKmxw)$iG?{)nHO%4CJ5{01b(mvgLAk$N$H{!56Z~>~MfM)ERNolY z{wgs%`#WcmRvcGV>x6iwoAn1Sf4ozC{^rt&XFTVfSQEMVPV)Z0-=d|j%`{5wx>Nmr zui5$~nU|Nnb8gJons+x!<L6OlXT8p3S?jVbp?3fOeczwkq;u8IFJEZeN7HQz+2=U# zf4^6KzHLF+x){yS)nQv_J13l)qB;5Ug$Z@`7gAdOEIBn*JN;(%F~;dnGPafOsi;@v z-K7^N_(2#{DXe0PN%;mTpkuf`=_#nTrcU4#3jV3so^q%D|KFnDZ@1sR>pR2Xpj}XK zaIOd2B?iWY`W&$#|9{{Ae`;!YT;}#xnF(utJ!s~?^<VS8>c_87PEIy!PdVBp`stMR z`iP=O5=UCQWkZbwWRF?9mGaxVY0RxponH~H6}oE5;~PzDEUkMKohRt}OIsE#S*xdY zPRzr|Y4d*T_j`gBwk9Sf-aKTJc}c~)%<_MvWXsI=B2R9IH`{vH&ySq6tWuuo-nv-p z?<L3k<);@tJtg|{<+EzXiYZ!K_Cz@zdsZ61tM&t^q4A9G^3&BbgFom-hKuxY2skO$ zPfz^+@9)7KHnG8+4hLrU1n|0sCv<2`4Oq2FkpZ@tpfywAl54lv%|9|dLRnJh9v(bA z+3md4qz#_S+9WPXvrm1!hG&uQ4&I=*r}OrH4fC$yJpM3q;q$)WW#_V|%ziAW<E8wb z%gCSE;kfegf0lfQ=2hq^{t1sQovNBQuYTIq8;fH0zti3TY7lhx@76Gd)bjVZc2%c^ z22Pr9S=ysCK^)YXt+Xt8u6iW=hFeFU%B%W+pXXnTHq5%BQTd>eJ!h$yobf*KDcz4Z zE|?>8UYbSKzD+{)^Z7ZJ#?BTKc6Lo_s9Z0*M|1k|>X(@n1t;PU343OUF8X?qy<Yx? zQN{61QnTf2N_;Yu9;hkT{{B{a@q?$Y;0b=F7X1?|7p!ve735%Hx|h<zZFkEl^6RCA ze`f!Y`c(5l=T7l?+jkda?y-6B?C%Wex~SilR$ifEaDw&Z#TS(u`M0SD&blDC^o|I# z!S?M7d;C25FDQyXGt0eoLb=~2N%~15Gdo{>NwqlV)fx9wzl*G4%x8aW(;K7Zq`aor z&SIY1PU|B}HF)|tc6!cJ^eLI6ARlsYVnCmu-c!>kMvG_JIe}{;zYE%c!Y%Q&-lIq0 zYJ9<)t*NJ{nJssMy7|fb`v1FiFF$*jdwQDg<aW8L6Ky^x6dxsVd|VLK-EB9oo{xjw zeu4m-ja<wPPQwW`JPQ+K5<8QR_nnk2zmq7uD6pcfAfVLMJmEaIUiO1UKL4eJdQN_A zD46j0iAhfK{hH|t5)-7}tAu;-e-2VSI?I5Aq1aH&Um&Hm()Rx8cQq5<H|=TKKJmcc zOWyjTFG8HQczkQinW+Bx&*$^pQ}dqGSJppE5zSDy+7SV2Y_+Z3weRP%*-3=~RRXU4 z45^#`RH&+`i2OZQ+TYdScwlnRgS8L%WZ%0sv+)`|EdG4f{PrrH<;ri5^-903nDpbP ztjhgnaPRQ*;TVoiC1Zz6CVMk?>^`m`J>NWkp3fYMi+kmZ+<we=NmFk*JezN)q+QL9 z`n%KO44zCBxK!A)%B{#>!DC;Kjl>B)(U^N|mb)IdN!JK%SYxL$W$WeA8@D|gmRf1- zXDd`vIciws^JKDP)dz!zrJv4dpL}mu67$jVfUg_R<8r~~kYJXgZiddxkn<nT^xONM z-=Y1ae$vaDSe7E|?Rm>No`^E~8!<^fSa&ZxUaEdo$O~@fP6eB0!LWm?9pc4o_`b9q z+}t$ryo0Kc);X1^BEzMNR)wvN`c}I~K;=Z$_QmRv!u$+g*HkWAiKlDDYp@^pFnik6 zd;O^4q%cJXf4iS4Y8)#T8FIazw!&heY`StD#|Nf|wI8<^q{N+Py=@&)`N(G-$KSdY z8bQG<Hi8B9lLdvlOFp_n+#Kuo)9|P-597n*+e=<v`j#KJ_>7?VmRU*xA{-Ty1g*|L zTIyVX^7f1Uhh%r9Pj;{4*ttX4?%-z!KDLJ}JW5PA)oh%u#~resm&|#$N7DFM`i(|z z{e@ho_Fi8bo&MQ;&Zl^xXi4w9&UAlit8b6CHX2k)yVkQ!;Ehk3_+-690*CldE%tM- zWO(FkZcLKTZ+55@p0{U?yMMm$({nBT3PmkjY^1rKrTX37_5+kpkMX|zR4N`=!yns! zMxG<(>tgq&dC&TPFelDc-VSo@9w`;CDz)HCUwHfHK8|fzA&{r|r^(~R?)Uq$C+jFO zKS`M&?o`K7pU5`rwcw?~W0#a$Hcnt(<vyd?@Xm#a)~&}|H?S;Ok#=_0G?9(GPgve6 z_uLVDrl#{`%F|C)sp~65CrUmj{S+W`INM2I)?@Pag|}UP8YCsR)SXEExF+IbtFk%Y zzJ1GU*2}IB%=`HLUf(G>uO80ei&F&73ol_g9}ssUsP6mv$4=b!jOLPtJ$H|`yZQ>A z;AWcg`XS$O*0&OcMRg`~+fpiL`fm?q`PALu?6%|d_p8aw{4C<GJx>DUrrZijI^B9d zuz8uu_nGPQHi~CfRSNVe?mu`^Uy;AnfOmf7yAPa)A9yO79I~*{=P+?P@v$J_sgrx$ zC#M_xKkrpo&eG_)+;~Iroq7SW6MXk1Y-H!%b1ib3V02*dqudE1dkm&rob<X;yy?$G z2Puim$t_Q!4h6OxQ1Hs?YA|PdC3*3@OQWEIiu3Z|;{pqn>((yg5K(1nd~+ab#&ORd zliv&fjF?d4WWvfhU*uU-X`ZXXevXWD9(5Bh7v{0-kbdkwX}8ZihM%){Y?!4~A25YO zB;3epvayZ6fWW@+>2Xz=frnQ84r1wIVO+aRQ)ou_f4`37kGHLh*tqDt(ZNUk4RVPK z1U1&Bs9f0b>Yr%iA_s@xJtC~9R1@S?&Q0ub4^|fXe?_Emk(<Lwk3i*hzk^x+v^UJ| z`SSYudDoLOXMuWA92W}=6+9<=iZgXxP`^Sjz$oZS$LoJ0jQ<rE+%oxh*l0<*)wD7% zK6zU`&*Od`Ubj3w{hk&cvhnlWRQq;Hh(>r6pPJm{;N|CMyr~HP^?Bz0d9SapQQ4h5 zdC8TV>7Flx(*J*r(fa#8D)g}G)ibe*Yqq$AXlRR`o<6bQbH|U*ffx6PNd}(Vw|*I@ zAW*GWu5|U;9?sIl&KUZZcV2Pe3++x*7bP>pP@zeeF3s;>GIM{h>cv0x+Y|+OUx!Ui zKJM=oar08px*SPP<0p%2Ea#nGVn6%(%|3TcZ?kiL|8LtkJ5;>=GN0w?mX+%)lRLQ1 z?>Tlq-N(N<#JK*}$KYp$m;QeLDEz1Pl)&Umn~c>i=G8}U4XRujW$Cjjlxy;=&{O|^ z#vJ^&b{WSHCx??Ab$TL=7hFN}Bf;|5zu&Ky-{rnYyIlD|Yps9IrphHJ?(JB-N#D+u z?})nh;ul)WtY1Y63C8(pwYGac?J)QqbFxDD)HF`H{39PHFIUmL`6Bg$hvB_hQ%pR~ z&Zp0PYLxPH!^aKvArn?eiJnz<dUd^P!V9T~Z91&ye|=pnA;ID_vq#3J;=(t!g_<+A zFO+qDFS7h^O~Qq%d$pKayFv4C*N*EQ>AB*>-^k2)JZ1JykNn%!H!95hPM@oN*1kHb z<)coBL4U!@K-HL4?rstKZY!kcP5W^~q|<(mQhVPLkEWm2*Z$nyQ16#2eJ@ieG;)@U zyH~Dr#1$_wrdCI{3v(S$KRe8C|K+CXlo(Bxr(8)Zo?R-vnkoF=R=k&0+C1+~*h}3L z`<g0s?iOh#?t8Q8^rt;%ntINDK3C$f5LA^dE4$nJX-U)v<qi6Ju4eB&r|4Gmo&GjM zS?&L#o-1nuBeTNSr|e;!+Ef2r`Sab-5AvZ0!)D~{Q8z5~JjOgl<+sm0ucB9lnKGi9 zXJ5N}1wR(-GP)<c^-*twzERO>-R&pN&9%PE&R6(gk-uhD9#3jq)UwOg$8U@2My1@| zTmS85y4`Z8nbY1KiAl)c^>Ud`xx29OGpCi;Z)d1^Y`=P3zW&bgZ@zhbiXz(_>qGkA zZQ@|4TJ^KZRyJn2|NOkI>klwJdX^d4%iH?+n(4n*Q094c_4HHCe@hft#hLc!`%kzU z{W#_FI_Dz4yIN*zg02hnub6dgYxXD0D@IBVd8hW>P@Xnp$y^)7YJL^Z?&4Kmzn5KI z8v8hlE8NiKfViY(;iDFv=xsjp>V9Re-c|3tG(4}<!%x7`L}0hHby?0bU%{7;ADwFb zy65w`%`Gb0&bwQ~K5g;(zD+lJ+YPt$$V%U$sN@xU`i+d1@XeL?um1UTdTw>~9%qiX zj(matzFxU=GAn0=%<gr@vW(`EJD*JQj?wU5#mdgKQr++5E8W6PS=oDX?o3taU9`%J z`E!OyeW<V2l<?g4J@b}|1WRr)Ry$}{HdEzh81L%nq)YoEc{#O%wboyL`OUGzAuLfU zUdq7a#p2=**Vabo+PRgKY`If@zxL9)SnKK(W_G?UPUR8{XYH%~y{o_C<D;WxYql#+ z5|@<BjIVpOayg4qOU1o+cXzKn)|1T6CnNABH{hmgeOvw3Js%En=bb<P=H_PBi<e}r z%Odg_4psMEPdPWoGAx2WpTVU=M)=fA!5_-opZxuPU)<)-p6h!mi&vY(>@LgQ{rc_g z?YFya6BTXrp3ke!V~dr!cI1wLW*;*X!$d8v!^%x-T9$8kILkC!=cmKc8IKPO*gr8X z-dm)9`udGU5u4u~-d_)DJ_?o;EsR+y7QqrG`TqE%QuZe=Hcl3b+_=ZY<jLZ|E%#3T z{=DYFue*}PCxnDQ`Dv){Ti`3}?RUjVym8G5j`i_1ACDSUd`S3yaBcMVb7s$##Z_!< zVia^HuuZ8pY`OdB@#EYI){Wa&tkC!#VP~Ilbb-h3`~Ux4-`CEiD<0fa-@R8*ZtMGn zOLiALbdo-IFn}>_|J~i?+vf>SDg9V;W|rycRi77SbgQ?26kxQm`uh6%cH0_*D;>K_ zU#Gb~S5i{S+5WiroaJ#L`E82ZTP}#qo$#~p{msqGMPD7RnEO|03;RjO(CdPHM|OYs z^?Lp7Wvvs$H#k^IRJOnANtU&(Dp}@RKg%pP%Q=MAkVF1?o7s<wj)>VObGNXpTHz7o zcx`?1=QdDD!@ss$zc=cl@`m3J0)M9dWsKZbx1aN1$kb=NSz3&mhc0hO&Tq=OC#qe| zCo}DH)YWP0)@FO}oh9hHgX!*6dw~zGZaZ!ioB#cGJ3rdLG5PW`U*Ri-_bVRr{uVm< zyS_pKbkW0ivpsF@P1gDOk01R7brt#Bw)e_d21RYp(^Yosnecw!?{kx8Y6dU6aW>#h z?!`r|dv_mCJ2NBER&v6^`St&9K5Kix+3>)jYTe#%QLpd5xU{tU>!JsiGptHiscOtS z_Hlt&Pdn?PElEeYcH5ng5$+JxI<$hnTK(>$-p2Zl!h`J|Pp*A9=FqXH_vcE!Q|lk< zHWggFI`ebGbgoOyLWVmn=2fcxyxZQ#t;cdFWr=&goZh4rSGxEbpGxzenw87BOzO#u zEg2EFCr#KSWu&o7_`z0nuP*cVJ*G#VEO?t<lsEa+rKPTG8`ip)&oO7InJBo2$KU2- z3$Oj0++PnZj@7sJcCVYfoH0`I%f~au=XY#Z%$zFFm)>!-a`NuF6OG@i|Fji3wl_SO ztFYwrtJUjo)o?s}p7iVG^7*??mvAyZyqWNJ&E}k&n?7A|=D&GY!0A!x@$5q}YdX6( zZhvUl+1tDIG3UkyiVu_StqfNGzHGL6{x-Q;d#k@k<@dbY^|r3R+rGYqv*^k)U+LX! zSGF^!@`bHg?$#?+Ci$exK|$SjmdJ|Kmv!8Npf;MGjd)MY+7E&e8<P6Z{LSq*SrP1d zE>}?Xs?X20Q3Z+<5>B;-=ik!a-Mf8B`SYqvtAqDGh+42tO_*uli4&lig4LNE^%ia% zZL4_n{`Kvi-)$Vnd_I70UOjJ|v`NN<^R+XV)X$E7Bq3hnq*(p!&B+b6OIVyX*8D80 zp7>oyBtbU$SkK1S3%ptkPF+~&{I-IFCr-FS$W5a4g5c|K+g`8ReXgzErdV^+uZ{<q z3d>oW+*TaFtPuaCYl8LT*sYJU?LH*+Y!_Ulv}aQM?Hz@SRg<2am>}-_Nz0|L{_~aP z{`3F%t$y4j!Due|^48YX+}BoyS#Zp;DRoQJy{r1U{<iMiXjjkQVi%X`x2Y`><Gb~5 z_S7Tc9w$%v{(chV?6vOAwF_Ie@&B#U{pYMvk@iVNS%BGtT|DFQ*Qx*h{*GSHxKp-* z<Hj^D(IO?#sEJ1V6TeBWvX7H|?j4COzgwTWSL;cMg;~vyg4qmQg$wvO<HXce4!6#z zO$=!QjsG|mGRSo*I=7u@;S|nU(f0PHx}um+ET^YHQe(~qmfo6)ZM@RA40w;(eJs0E z@wj)UgZlm^LzRb<J~JvRwYL@so?JCS>e}g#MUCnzrp3>EqGMhD@f<%cbwasOrtsi* zmHM=O=Zq4U?AWoxC%<vy<FhB;FK;fLFxh#jV5M%wbOqH3UeCg{>p+WHo}Zf=yZ_&> z?2p!m6fBfHPWWek^ZflR@Y2Bwr!S{PSkzVfy&trfUtJ~<xwCM6%pJw3U!}I{{l+hz zwe~isODg6#nsy~m`RrtOB2_`ZrF-+^cgK?tvd*vnK365=SYa_+VZk9o@wkeG)po}o zuT)TPHQ@G~`Xnjf(Ce3TBerHuRdsnX!@yiryCqY>JLZF;TTkZIRa1`~IdWqIzvnsu zW+$BrS-ILTfv+VS3<7l@M_zlS)4?TC<Z?o~G3M&Z;N#DhZxHj~T6L?U!y~5jREKrh zn~e6$$D6$r>N{MQdQHvQr0;SjUiHFH+xIQIBBYlH3AuTkRjm9uH~2WKK2x62rG?Jy z;_@k`{}mY+e->RV^L99wy;@xVzoPVwnbX$(bgsL<$$#Dc6&u$c3gqTv;abd`T_7`a zos*!(KTycs-j*ACrF6BZOyk+z!cXfzZ}W(~JYh}s?>5J!zw3iOEWRx1@L<PF=FKTz z{cg(FyR?1D)AN13^!xMxZW$&oH>UQz?;-^?G(ZD%NlVx9N}Gw4>=UxDyEW(Rq&a17 zR@>I7PjsscTU((#VMd8hSk4XQi4T{i7Q9b8`a7Zd&x<KNEI&CfJ=J8o32K(9F`jl! zmfZSBvBB?5wej_nto6r)FYPL<I_|u6QuBdbx$IA--!DI9zb-Sm_1BdyIYw1w*Z!UF z0tG*agRI}QEp{Gf{J&d{o->{oPZr;ld_VBz<-M&fQ$y#@m{f4CR#v&nqIg=4(V-gA zr?<GJr-w)|mEG}jV`AI;E<#Yl0Az&Kubmkti>H~h%=>kI{iSu0^|80N`1lGkH2PZe zo%r?k_tX34<zWt80w9|?w!QNb{9p{y*3uC(W!lQ8e4w`Ola>E<rYrun^7^WEYl}|# zim7wfKH^h7tzH~<`tadh9&5F3zOJ4k6T<b~V``6x-af0{N&z!K(QtN_Y3!B7tDp89 z_%)Z)=<)HlMkfRW>)%RFGLBO%?FOwI`&h1>>abqz(x*AAR7KmAtltHwDgXJl=&4h( ze!lbn);i^_Su0H(C)Um=ad+(l&9^;N6z?s*vdKN+_&$}HJD>3HT;#6Z>LqwWl<B_S zErshbHyos{t(>v?ZRz4aiUO-rSe{1D>bY2QR$OwSt#y=fU!UK<`U{-@0y`KkF}&M! zTJQAdByJ<K^VXLcTbn@j?zw8ccq!)AP1gnHmdp&i_P5r3QB2XiX$5UBG<j}pdj5Y? zq?PGvwb-avcXJcxvc#vbtd+3pw{l|-)BELmF;>LUn&s<`1B+(No?83o<ME~ga$E=R zxoMYw$+o##&dt5w;hgurNA(*L53h0Bv|LGlZvV?eR<*xMZrh6K>@h9gBqe>~@x|Kb zpk}1uEY@{XrcBv$KETOs%?%mt(`Ls`rrwR3GC}#;;V<S)_y6t*tlF|x_3i9st0%1b zDb5(5^5jUTa8r@Kl|E=u#?>TEE~TdFGrP6L!(2Wns6<Ns()Q~vd!L)Jy`kPBCM-_) zzmmj`n;Fyl4HTkm=Y9KhT0gp0evk8(Bm4jV`@ObmeY*FbHOJWAWt5!%2^wTNBir14 zV@XsR%eA*26I`?TGz2g5yEh8X$o8t&&x?<aGCi|@QD&ofppW_qEg$2;M=m$FW>3G` zU&xayapdW?yt`SS4Hqq5y!M1l)>eu7{jC{`7i{Pjkb5Y6NM*(2q>i%-t4_!M1YL*n zyW{*!Cx+j4t9A$|nxvJzzn5#8E@NHrpyBF@pHHX9uX)FtUHPz8{0`Tl&FSZ-t>5?S zRMzVUybgQLf1H)FJ^orx8SgI3H7hGWKMN~ne`u5Q``g=ZN&XQW{oIEt=grul*2k$N zDp@a?$=R?tE9Qp0q>WO>cY(aZp1ubY_^&*Xjk);zp{f60`S@h+>b+L`|AQ8ees(PQ zxHRB&2WS~k<=@TspFUf?#V6Qa`k!OMsq6bs)izssOznF5$9UnnB*7D1Js+$d<<HaF zqH$Fz;rqjOd9R9!9S#u+yzlq@UMFK;cW2-6_Ydn=1TN0m$JWAn=wXY1z@yjK*3K3! z=<ev4uw;pf_Kfzzjp;J4_S*mbGFc~PM?hTlThrfiN)>1B?yW9=ZRwc7fByKI$jxiC z3|4KaD3ah8Jg_+Vmfnrz!<%mDA8F5x&|bK*OH`Z7{+(_0w<*#2d$0CAE&XPlaDc(P zDBzf@p!nh44fP-He?D)Yf08?5K}64~{IX*k`{Zn`E~~AO5MHF!;V5Qlesxu-u#L-_ zwdL>cO>+<x_0&4?t2E~xXa&k=#nO*Q15bAxnDM=CVzyqU_Ecq~^qtyapy^zTIa9PY zi>;r2Jm1>h{&1;}7{?DK<(M}+XV?9HyM68Q0~<3hFN+MlXI_7=;_yC`@^>+>Z#rBQ z7h<%0(03=?N%J1hy9dkt=4N#^VYEZ5B9{5gOfq-&-0s>f_U)bAY{TTXuPF{~b^m^* z&z3$^w|LQ_mYUA&^?R>XneQrjnY33U^6m7;jxR*`PcL)^PlkjYy>5KHWzW=Zy<I2j zS8-3aew<m6tdJD*K~ZR@=^jG?!~EpBRn4EW1spr>{rLF!?arI~>-VeL*8jeJza>WI z{GLgF-Z>v<6=#w>WU`_DJ%46_?)HrE&lm6L1|2jg&V3)ZWykcEy=zTZ?N|3(a&m!p z@&Ci|LIs*941XypS4hsQc*H4PXYu>Z=DU}R6Cc+vdL6RDA&g_E&F3@5*M47E?mwSr zp2+!9-MBpwaWx-Zs{_B72?g$4;Oy|hZ^PTw>-S}SR-7@v`{nZab+7a;*Zz3ezSg~U z>*jNtQcs_9=C@5*uG(_sb>DTB-G4VdyKy7JdY%BcoRHqLxmKk``h}mLoxSF~x%_?H z>)w~w*U$fLtM{*7K<z}}Y_r@qQmaB%22HpexL_ls5&A_&yU0~x!eljHtqXUoEGz_1 z1o&=FKQCt(YI<<zC8fBlv)*!UD#~sudA}fcZ@0uRq0PIGYHvSXsk_R1cH6DyBl7BZ z#U(4B&n?d}<$cJ1+;6^J?rNhQKdj%?>7A?K<#-xX|M%;*B<1?mLcxb5KYsjJwr6>v zqq@kU_bZk=dMSv27W#-+ehQFDhBQ4B=C=g4@yTZ0Z#(e7v{555^6m8RCsGyvJf7)2 zQFK{_)QQZ-1%gSRO!7QViYk^L+nsgvdd-8UtGR^V3q73r=hm@aX<b2OceeK;Cszwt zo)dNnT>AqwA9b~Ub$IzlMuYQ5-)np>bV<G+uNEGE=T=L4NTs>_hnEv#6dE4Ae{)ni zWldXlQDfM-*%gxnI~bQVbC>kX*)FO7|L<D1!(=BLmK^U^E=$3cD_5FLaV}Cnxv0e~ z!>R1N?e_?Nwj<2NS{7~m@_Bpt%<oksx4AylJg9sK*1)WPzjsr@L8jLeC5%!!d}bIN zY|G+!xWV5^M`f4LB!wePClmia>6SQaAieD1rd#^&C&nL?m{f4`XM?~*0k0h$DWM*` zoU3K@#VeeGjML9;x$64l`~%Y@-X{|s`Zj&$v1Ip^(A3k@yWGi`e0=uA&yk<^vc7H* z3CeVd<G!cr!MP+zy59Gh_|*q;&()OG++H49>bYjqlG~ej*;B4=bJ_W&=B;|rC427H z+CQIt{!cu2tNw_-LG>iTLt^s|%?C9lIh-epA3A!o@cZM951P*ZjyZ7htip8Ar8irD z3J3^2JNEj3tksd$RifuV1x<?kD1_9QROv8+G$!j2tx1LBj#qh+8j}}wzQ1O0XXUX} zdeZB#?$wo*$)AHGxK&c`>?pkE?DA+;u-l!D$?n#3?BqaG!>VEx$2`9s)eaI-y>N4G zMTcy5bBNPFj+K@w=k?_!=Blg^II-YX_iq8UxJz#*mQVNifBKfU3+vkYGL4t>TMya& zTQbGuXVJkZ$@1#!hwg=LoNpguy+Q1^@M88A98Z`)9k4uS@vpab@Oy9iyX)nGoV&A? zVs9;*{&J-zQ)};mO{Tk-T(z9KB-uE(Elgm|)E*HQTiMkdEMg#yA6>+6UO6J_y~+1a z(pnR{<<m@hPSt-3^*rX^Z~MNUBj>)be*K&7$^XlJXYb*^CYZ-ErRPPvqaa5tXlnb) z#@!yv7R}qcWY%e!AN%7XHOl$-89Y(^@ntdpll|}aYg%v1HIn>1bxHbqyHEB1<<v_T zy?Xll#-qo4r_P$kXg!ZFm}v7c=GeBgKj)e7GvBYt+~YIz%d8sP`lVOaNzZMcc(8u2 zW@p3t|L@f+|NhtGd)LbL^A&1~71Sr_ma6_7lf)Rk**2~&W^eqjSczL*d)Dv&u;fF= z&1HLIN;2&&H{|!9`SnS7Wz^nfHD=W-udJGTZ<E#fZRO|nUS3_=dB^05S>>yx<)5wg z9Jp=&WBu(X-TwcSyTjgT)rY09B&6v^=}#~1n{@4#n%({R!m7$Y+x*zIm>AumD-IUf zoUviA32clyaNYj>gw5XVo}bwku3ob%`*_&SMd{HmFFa+M`RS!h^se@^f4_O}e7)^o z(cOmqCqJ*RouS_`wdV=<wiw=9J035XGD)~7bvxV6Tl<#ex@_f2ofpzkAF%G#=_x%^ zw)nTo<rjk1`1zRIiL0i~i~ZSkx$)Vvh%V=SCsv=g*K0Ap_d8=6@7ao5ytU`1=SG{I z(0|Q8qmKFErz6gbQ*O2A&x{j)y`=E+exd34J6{$>?y6|axiLHK<e6!{ub-^yzMamQ z*zc`3{rms#Yis`>uiTvaY4`dQ+s@WYt9%UDqsgzMz4lh=l-uuq*uT8{U0-mGGv~)k zTT63yKDx#D>6Eqn&gcJfZ~7ZOxz(L|#Fl^dhM-+LZrt6@KjHoUpEjre`p^G5dCPl| zTURx#zp0%#XUqPy@Aa)`&lX2L+O|+x-PYlU%_7d`cb(>%fkr`(?8K@L9OVEdOxZs% zCH4FzA)4J64WIoAm^XKqQ&mZplIiNSH(oPdb2cR$j%NQhRki!s=~r*vm+YB-;wtxJ z`Dx|%4c4yOAEz<*-0OMSMw2Qu9PR#BY<gK)5OJ^Wt7PW&hc++EzsB><U-a61ie32K zg#Ld|(~qAx5<YJlcU7F0Zq$73cA-Zp5C7bplyTyCbA9r>$q&o#rEfpYEg5O>T>9qD z+|4z=zb@ZY_BFrg`G4D_sNDZoHGjO4ZudAFRocYx_mBR=ghPQpE3cMS7QBdmyZf_! z^haq<$;O%`OP1VdUc7oG*q49K?UoBX32LnNOj_JMA;I|}Y%M`wlZMr_km?_ERgD(- zvvbz#&%bADD6%%c->m4yg!cGr@6T`f^WV49{g_?F^gSkpt71z3E{K^dn7-&vOQwv` zr;FkCUe7;Y{@kC$|8BZt`1dV4wTq|aO?pwq`^m*^&R_B8b0$7XKK``X|JjKJaxb6m z<=AlX2iM}+YFevQf0pJOJ*rWY3HtQuwEk_qxi-HqU+Am9xjlb===ZNze@^}oSa|uf zQxCs>W!T#fn-6bSNd8-SuVmc?(2{+h`}N}TcEA2l52=3n_-fJCz!$u!yLS{Tul}~P z;OIG@cE?ZZ;$J@M?^9-O<I^`XI^?wCUFDN|^{1HoZMC=GFWo;$P+oqM`|+O1GroR) zW4--u+3eZ`j+T!aC+pWcy9pF5W?r>j(b)fWnN>&CWWhaqOXNy<9yu((dZ$d>HFo-Y z;X@Vo+BD=26=$5NxP7RVd+jQHmq}r-b8m0k8XM5Ow^_r2U)s#)h2_(gJ=|<1&U5W* zcfEbowXAP@nQvIK^Uv=g*W*e+<Gh)cZw~QVWn5UW=yvslx1P4jFV*XhSf?Mc^!@p@ z$Dr=-tf@tRpFa2ee{lP$%liI7MvuPUJZ}8y=AHXbSJsztZ_0Nq&^bOmouxF2<*owP zT<h{}y0`b9Jkcqv&N7p`B+l;tpW@lYlcJR-U7k33d(oE{fs)o`Ic>h?IX4s*yY)_D zm#a9itt5RmGdrKh^>qj5Uw64(b)sH0SI&kv=Hg997k(!W&t`?{ZAZ>$<@i~=`rX9< za&zJ7ofEDcvkeb=dvoRfzcY=43{w<Oc%M7*!~MVizt8tx8)ZLye`(9lcu}raM>n(F z2<7WvUTK)~>~Wn}`?c1x$RcppmKBP%zVA+EPqr(3{8qd1YUO5&_Dqv>mEY^<s7uy= zR8u^6Voi~on%^9a-DPhlJ)c*7Zm;l`#1(RPc9pKaTHo2%w@s;df^^P<1C5*N>#J5w z4)DFdul8=TxPDyD@ha(GdTV%nMVFWgEdFeEOzB%&l3t>`2iL+v8^Ipe<7|7SOb!X? zx*p-1J9p78&lK5=7Xo>OIcH~?POI^mXA}AATD@;RcY_`O`pQ=yen?4gvR|_6gA%CN z<>@Y0{K+$0e`VOERhb?ps>hQ*eR-dIXk~Kb#EC6GB3@owmbdNc!F@$;Grqr;lt1~m zoOg1yT+Jc11&_Yv7W?hkl70WE+7+v5vXYg5?khiCd@}#^pQq7F=XuW3^;>q;<mP<e zBliT#9{b&?|JYK|5A7;(+_XIAI*;3ar$S4mg3gntdw%Ct{g*j%GvM{!m&<1B?ED}i z@N{$cF1=NoG`@V2JJQr`(Pklcgzcm4`EJwqiU-;i<ZR~}OsRfZbJor*_m;|H_x^45 z_p4s7E!(T{PUutR->=s<v!0NAy;snDMnwTvl7PO!qhIwKQcsIj{`>iSDX4#QXKwku zO4gZC$F-R9K(+6QwAt@xoIaI4J>+*<XQ%G;Mdv-vPG~*w()iN8V)NVSM+!a9&-~ij zBU3jy#=c;N&G)RTe+T)C(@*~YeS7Q7Su;{y-F`IYT~}~=WtyS0S$yr+nHQc<m{`92 z+?4L>@0)*n+RaL-x89x?vD+){-v+N)+kMWTUU;SA^Rca;=4$G$EB90W9aWa{q*wg- z$!y_y6Q<vvrxqS(Zjf?*;`-KnqguCfWwO(?^)=#DPS028eDBuG#=B|GC2>j6zK*@! z^}pY4Ut0P3*}ILPjS5S>r$-evoSkcZy~-%yOKH#bdsVM>UvK?jR_`RV;z`}ttKoLn znHw7(ENJqs7nxFI_-jdlV!4g%1fdR|+o1KPpjGLWk2=-Yc<Clic)$Puy-7-kly62x zMa>G8_-0m=q7}Yw&il&^?44VC<n8YzwQT1&<dXMrdVJkX#q}!ptuHo$*3bC5@!T$* z$iVhmcjdNgB?++`vgXIsUp!nMyfon8(U%8qEef^1oV{ed%#How`~GI_dV9d?@$UFL zvJpF9FRJI*>z?t^&wj#`CyR~BzfIpJa{Z<8qslwq`*uX``}rt2`rhqtF^?X)KPgCR zdYj#3nX+WfPr*G4jxXI7{L0_{+|<3h%THa1em`a7`906(<xPvc{QvKM#h|58rS&_% zd|JIU>8Z!fE&s}IKR&Yge1~zu?`yu>7DoU4B>JQ%*h?l((TU^#osa9=eU{(cf9J)* zqIovgSIS0k*IlcbDEP^B#|~jJ#feu8^;T5yPFQ+eLA#|%rk{2F!$!rH&rc@5{F`UP zn}7J>;r7~n&vV=tso!Hhq*oE3;r;AT-}j@6ZuKqAADRT%lw__fbY|BMvr0Q7;oanM z=I!O>{s(^9H*y|HKGq`{wAig`skjQKl6mH(B~9~9<~j=g5C+xYc@E<7v*z4sJSw|$ zcl^IVH{O?mpW0up^c9v146KTqw9Yr!d{<pt@@@Ie?(?c2F-C5x*rzhnPATTaYnQ9j zuf4T8QCz<)c=dCB3ugYK$4^e~|8rWP?aSNMl1;^t`(LNL+59purM)NS*7K~(I=Opg zYy^}`UtODg@bEv)`v3LcQ)cD=+VVa1llA&j^1HWncHZ?!nVPkY@%Gwfp0`w|$Ig6d zBk->B`PS!ezTF8l%fIO-SNDnaWro$cwpDX2A5Sv3{}~y#`+5DM0Na)Y5!>~RKAp3E ze<MrklZnjX!|tXWDn~hu?-U-FExPC`p33pGN5b&XzGvF;&9xWTN*PU@TX<3J%*KR+ zOww(Qe<t`h9XC=`JlIyXB1rLy^E@_{<uyM)nN43(`}^CpJpx{zc!Yo6l2?@Nn7rMw ziDUhf>t=a(GK8C-pP8wgSf7|^Rw-J<6gNS|)b&6-$BvUHe!t)U{@2gv^N-s6&VDyx zPWq$i*-HcWMqSdd`XB#bf=z+FuGQ)}g7&`gI?ElmmVe(@@$<Rq!nYH-znuK2qTC{` zAp4~C%ZrQ7zrMfEKb@tx;ZDg$UDbV}KFJ5JTnUjrwXms0Z+&0jF0Y6e>vq4}RIeg6 z>A{T;4-c<B^z*_(=UjQAJvuRKJd;xS_HeYAX#8Cpxp|qY-ISl3_I{R9X?gqn?CkXW ziz^OXZr)t?x5_ZI&+f3xya?gA<E!81%B%)WAMEt>@!8VSX%MtI?QD*|c%N|5^VRRY z>b@_2ECNa~@jTbh%rw^Z)<5~}_OghZd+N<2&t+HpdA2FuKfW$S<^2WS=*`|ybAvst z%)HB+S3l)v^fz*1J=G^`eMk6VXG6^dXU8}WmIIu|I`RANsLlSgZ*t2ihdollYCZ++ z$u_PolKtW{=S%@ji9YKOF!Py_{`AyTGZkl3o_V5&3?|6+$V`pgoEDi>0^a8=a4N0- zra1rkE_wBpqDsOZ$+9ux3gs19AKtN4@1NrEXzA~B@}SY@NfTpsFnfAwz5I4#N&J&v z-nTuEekf9v?Xr>IKWX9~$1)X5-+liQ*V<H8fB3%O#O;Zqf3Mx*+xNoox~&#u-wuy+ z`NR#Z!TK+^)jW2L+^FhURsL7|_r4{`i|UWZTX0;E`(JZ8e`#^|g|!c~WKurat)4V- zPx3Nlk;Vj26Vk_6?z%I-t%}LhK;g<i&8bDH=dTGxeq3*{@zElVAHt2!?tLfA?enK> z+@7_bt^UEPlq2#QuVlI$5(Ek+PrP6E`|Q!_H*MI{R2{cn|7y*Da+B}JON)~qD@4!K zTfU=SVME2!vX|F3?b?zPuyuz_kVC)oNe_pI*XL?8v4XlIJ@cAE-@1l7>dH3$KYLs2 z>7i5Jx0fx{^<V$o_=muc+Rt{DwMM>dakor<h>0)K4l)v2r0zF;Er$fC`YJImnfTLp zy7Sik0v8H;>!kklHngSx>CO06<K@Q19zHizP@^8aWi`Wo$Aq13%ua9hS@=|!p7fr- zW>WOJi#zt!>HTRw@MQh8(=QZdRgIihZ~fD}Vv=C}qZP%POpTx|H$Jg@xI42&q^=q% zZK%7h__Oe8-OfKvVqCpS0SYYperGO@xu)tBb!C0fg36MDG!~|}k`LYZWF=-bt<+@d za=0e|TK^aF>BS0nbNj7lI6ym-?bq6B)b9F!bzxv(5X+~g18<H>KY7Ggx+M1Tt4hgb zx1R|$I!qFb+IxB9)MJ?o{oFFV{mpNj*4wQ!{lx#Rk&efnRi;}lh)|r+*t5W(b5H5f zF469*j=x?f)cxK3|HRT;^+)0-Oq)^p?2`YIRNj|YVt&_mid^&juBN=jX#clc*=s#y zV}rOa2i%F>k})y#=h1+-bFE5O<$m_lu5^0v_*gIZuX9T|9`j0@o!Pcvebm?WTKaiG zFAsr6?Hw5ea^+7<U!H2Z&7ko5&Tf7t$rBt&KKuXuT76}0^!6Vz3+MNzT>q!?^V4#B zAO1;Ub@L*ZYp>&|so$Kj_s)&Y3$LtSs<PkU{6=%hpAXyR*G%&YESc)IduiZew{4+T zqMgw8tAkak)6HKiME5E4$h~1pn_v5F=GB)UO`@)?kJ}q1SM$M9ds_Mbzu%+3-c$3P zwZr^$R?Y_b&Fl0n1Wq`(O<4Eu)#~+kK3zMw@yLVdqs#31P9Fcfz5djKI@zUD+I1>F ztPKel@nG?rYo{!KK2FnFFI(-bsr!-({;lScj{46&JUsmA$K(Frcg)S>-tYZB@9GA} zfOqq%-xaR@p|pj)bEa+ewm0|o-hQ=dL0I=W9=4r7&e#6~-ILsXm;Lts4~MwRY@+lu zJ{xV{elbL7q9w~mj&9@g4UEk7Yt)sDj4pBgR(x{2SMiqF>uYO;OUi0A*~QrwtYNN| zJEYq;(VgSx44cYLzuiO=9piRCndE)v_D`v3iR>$u-Rew|Cp?~?o6CAK_r{*6ZyZO| zmYoXzAF0Qzm#=TS+D#*-%YluBPfcd3<mYQAln?Ln`0DZYQM8CWf4<Qn*FU>nudCn8 zpC7#~XXb^%swXEVUfNq-?#-qkcc;hE=0k~t$dAUH%gcJdRxJ4AU6FKqTkbRygT4uD zNtNYy3fn~wINvP4x+=8je(m>2a2qt+L8CvwqN(ae`TbgT(5_jQwmp+CE_T1YU(vRi zoqw8f`neku_!rgOoN0WmTKY;$eAUaP^-Kr<pHS}isHoTxvO3InTh2|T^Y;Jue0g{G zcGoY*eV`qB3KJT8J{*;_E?W~*^YQ4v8M|H1e0+07^wKI%+02_8!a6PDY){M)ys_bL zL(aX@06BxFyR%%|8`LEaMjyOud#{^~S1Kjy$XWCIIijWiKlaz(_ztT6eor|w)A)Fm zZvBbx4+I4T72W$}HWvHNHuGJyc=6M3xAVpKoTy;iAZeTyA^M<GSbf)b=Eev0pFYeH z;LA6f#=Z0L%Z4cr6ceRC`CVHVtG(jOqCRg4mbDvx{HTzYJ9+NXrAsQBnwpo@R)_>Y zoE(4n@!_ar{qp+7&(BT$`}=$Jp_b_!=O?DedDeYjSpQgr5tKTA9Qycl&#zajMd#Wm ze0cguc2nwk!QjlxPFgKf&mQ);yTwAWK<8^q&Hj|hnzwtWWb5Tb%;D2j={q{P$ARqw z$Agz2w$=Xr7HPx)S;?%p|H<q1`?tkS;MUu5pv~Q&Nb!SD&A*?|ohGS?Kk~Q#8<KU^ zYd>S<kB9B`xlKC1zncizev}g^Q|!F9#eakNxAOb7*JZinEeaNVZTj-|_Vsg@7do?h zmmKSnOx|nS()a7jOXa`6zH*<iEa<x~tnG2>(o*l#OG`W%BPYMxQlT`Vv4=;}=!lTu zo#w1c=Y;Arf-_Bu_s+S$ZQmBdc|uz!wH}zI`}@gu-s&gv;oIw{xs`sdSC85h@cI44 zs!0>C$5s0Z2??#4e(-kKx|q!O?-Uh4TVW+0U38b<Drzxh+au6c*or8Q-JlA%t=#6g z(5^<CA6$_Ihz8LM@D|vz+UxQ4d)Edjy0^@B<PcdX=j8JC_V(>ho*w46-|{{^{ZHEC zgCEW6Jp_(VjsN^bB5;$>C#{s84I(O@zM$!5$rBv$X`ftw99r>|pHV1Uc(T9O@o8U| zWIg5jIorj(m4}J5Jvim)G25WMNs(7)a(;;XtfE|D>appI&yf~}fVAD^@8cdG<6hJx z-t^&gzx}@qTd@$KJ_$poS65f3e|9>-ujo?v^Xc^H`t=))%MP`0mg!i^hs-lzPyVoG zOU}(pRWTfy4qaK-)?|Kno%#O4Lg%~d9i48>6X1XE*uWvU(JkliudmZ&9x9$Zr?I=Y zyZiJO&WG~HKTp0N>|ALAZTzhH&JpA!r1yC>Xz#89v!o(h)N()B*)>b2)w67q)IaH7 zY(D$Qwfe40d!3y*wWHPkPkwn~fs4Y|AD)q$vX&oFm798SS=2Jmkd%ORn^kmADJoZR z)U?i8nfI`%Yof}j$3H$k-o}0+w*0Otuk^C^YZ_-&r#ExgtPWe7W6^epLw$dXjmROB zC&3S76?m8@F4!`?up*A*WB{*o99QBDF40T*J{}3G7q{lr-`rFLYYTluI`JiV%JZqE z4s$%-91T8vR_k?mFw37#2R5Ta?Q+xRhHB2SFOLy=7PTvHf6c~>_Q1bubz^UvYH+s4 zDD@S-d^LAd-EqgA{}vs)v^DgtP~n+{1rr+I9FXGr@j^<pF~LoNS+eruQSr6e6RRps z>;Ef$`1{HJN&8g8OZzHgGw&;TRZsrGe@kih%PlV@H)R#~thuuxT+e>}zKhdW#;5$g zCi|3+QB`^8VbASBEKP!qJSi<RUUrFU=d2er{jXH;tjBv_<fh<f>u)GOH(RYO%cI%3 zV!^7l|6FhW-z;le7890#OFMg;%!IhPi=V#YmYNw+zw`h1#l~VXjH=44#{<#>SeRNJ z*o>U+TKwW-&7CB?qM38*-+w!@)}M*o7yasL-<ElkUQN7ZqI=_9Y)sN7RmI<rCV`ea zxjoRcx-o6V>yO}7!z!Rv!=M;9o|BVlCAdn|j%j~x*VOylkDmVLe0)i3=B#Ur9DOyz zx0O76Umx-PfpDYA<SDx{!`I~8s|<J*!abeOceS^>=r-<|{@s%%POuE!72v8M464wr zxc4}OZI8OBC?Gst$J=Mq>!QV6GNFqnnV270;%Pf|rv5794Y}oe-p=R}Uy}X$R#Dw+ zE1^)^JuAxA|Nbb<<mL8<Wr^Qn4i*Mbur0H>GUf7%dN*AIjx~2DDtKR)ozSwxq;pM# zqm)bNRJXvZKJOWQLq1%WRFo`DKcjea=lcmNYD+zOPbC&P&bGR=Eb!lK=bzcRR{sA4 z1B@oszbRRwB#^;kG(m9Jzl(h{x}>%qP%3!zL^5)(sbAQv<HzQ52JQC~b@o{mk+i6D zeWks*dvKpL)1-QZ-8_spiyv&*k`v%-WwJWa$<TC(&&w}r!C4D?9TuECaj=<vEgz5X zLC{`eg?x?_1?3Li(3L^1LBYYF&ARhqUvT8yDLpY^az*5B<+w#zVP?MVUboZbR<%!- ztSd=MderA}=Ad%XC6nw&JAEI&y0p*JG_%t0s*`>EuI5GV3KQy6Sk`}<TYgXTe%<f2 z_fK%}-W1sNdAsPfJ(g>QYo))`z6hHgu6^Rho_F5c`FTK5;N5Wb^1%%T`&b$+k38Cb zoucDkH0$E)S=K9m0yY2K)7fgpTHbJ74!E;a=;PY$_crZb*!xF&{hp++85xHD<*&=$ z-g;Wa2^u=(o%uU@%M{Z~i~emsZ+H4@)Ss=2zNQ~#6#qTX)!@5)pX;r3{M9)(d%s@) zRWk2@(uB^Q3w(7~SA_=c-c~k^=grEKfA1v=2kUCy-1WUfrGELmE%n#e2<cs2?GjNt z=heiQ_0#o4e?9C7Zc8~LX*=V`5s}I73(wf7d$0Mq+p|QpQzQP?meln*|N5p#O;qil z@bsT>u+8=_YRW6#2FZGyZaQSPB|3xMfAtsL=xq_P(otv1Utc@BEvTL4f|H$j*bJ2} z?!OloyQjXnv2kZ)SN!&!^-rfor+`{MOTERv@7Z#;U1H&WX2nElxqHvD*Tjo2pUf)L z=##=SQQc0W!(nBs8q;zASsPkbUg+%fp1618$xX-q?6tRxl)ADu>5J+)50{D=A$=T^ zy`Jq9IxqON$;-Z7==PE+CI@dju46xc@>%_)it^bt{Z?0%RCX<^ywYKC)xF-P-TS7| zQm4-;FIW9-YcQ8QXxw-!FYJ@p{(rw#F9_e9e4I~P<GAeZ#e2Hn@u;+!vF|Q_zipS! zimQu^n<vJ%yYY&4ylH;Bb91_lQf=+;Z&Mwc*>2{Rzq^yEzoFy7-+#Z~ho$uG7o3$m zkCB<}#{HNpr8Db)e|sxw@bdot{XJ5qr|RR@d=$vJyX$I|z^m))^W)#&*tq!ayp4Z< zeck*y(ck{>ma7vEYHzOin6z5%O)ke*S2wZVb(h|5zn>?aHBIa5^>WdNjv<{N6ABHT zmLH$ae)4+6{@Q|)MGG7hge4nKer|kmYio9ZPJsABdzMH2OC<FV8G0I=FKiEP{lD^- zr;nHD<$8rMDXC3GI&WKEmIk?<ny#H(J@12z*tCE3m7o7dwh2rzTD54Y*WaISes2G` z$Dzz`zG466bzjo^_n()zyLii%$&;!ch5XvAD0}?ueVgTTEi^?>PdAO5>$0$5h1XJp zm0>&ggqWYNuv|5>Yx=Cvp6SPJR%<ft6ZoK}JfR7+Xwz;_eeTUoso>SC)?eS<-5qVj z@Da3$SLfq_%Zz~!*f;skHoNwiQFF(uRjYG2*v_**ZsV0!JKiTd+4|j%!(0zQORlxv zI;EVN?*ILqAllI}d*^~yYPkM3_||LAzUkwK!~D0e@2GH&2@tFM_w)JNqq7aH9@mTM z#bkUo_y9dO<|u=rYRr*98Mop$7Zy63eQ~~_rKNT1+)GcMO}|1Ox;p+je_O8ljiGs^ z_6zk%KjmvaIL<C^-nA*}q%$bMBR{(~-&{RahWMjnn(LqYcK=t`t>Q6zxS(Xwq2kQX z&Rm6xE+MBUbecHZ9pc^Dxgy}$gnlETf6tW<*|^WRuBe!pdi8W*kzM6K>mYxlrtObr zWv|-^X=dL#32A1_s>a=tG>|*ga6ReOm6hKF1qBm}U(Dz}a{2}6yqM#~J;xc9cNX2- zQ)yIK|Hx%_|HKIs5^N><&d#+CxBKyc+1o<m_U`ih?blg?m^2&ySg;**ym)=KOW|Y* zY3bK$MlH=V_??_H{;nkAz?h}pzn6O||5y{b`Pe%DwJD&&2(-Oz*BKe{<dZF~{Z{q% z93K{+lASS2Nq~dJ<|Kz4^C#t+*oyCOZ>QJaM>{-5Jf@(Lce{o7$LsO+cdHaYrwT}~ z`?%-C<AVy0)Bb*LzO}0~+gnKHaC5-ks?y)LM8jhgGa5|u@7>vFTkya^I<7G9--kE{ zCpG_hImH1syx`Mg>JK}h93Lag<oJ-uzV=ticM-(#F`MqHKV0TF*XrjPan4;wUo~;D zHu|Kb9O)1&dbjg=)b_=*KpS+Y^gLnJy?gCGXzyLT99MdM3yWL7TrOuv@*jaon;kn^ zXK&p7e&6jX1A`6@@j{QIpPru1tvFs^tizIcW`?0zisOyve?Fhz-omQ9zu6`1kop#% zh8G6Y0$AkO52>l_cvN`sgkz(QgTW6w%V#;<2Qw}$>HHe<LHh2N%;3rgjqEuciwhqg zE34ySEj#4C=BD9?mseL$S9REFYNPAdI^ns5&5ML5{MLn!T2wRF%*^hOI_aVyEcwve zwf^VT+V6M6jRY?)K4;G4<@SkpF5eesrg<qW>yLqUbRX94b7bI1oM2*^aza4bOYx4= zkJcI<8?h-5i=4yO$Jt7+Q?pO`^W&r0`=$wf(3Z4Zhxz@Q%jXVC?9tA?zHV#sqsNay zizdD~c{9D9XIq`};=)3+DZ!i5e7&7M)E{~9P~oD;@0ZKxzmX8Kt>krL{FxyA?POhs z*U6}v^DhW+uIN!b&$7s+k4sKyk8Z-F8y_AXetUGD=>yrO6Hl%z=(UV&-rm~Y&fVX1 zLvpfz*{3I--Qp=+`eLs?hQC;@kbQZhYrlh<k&~OnM>o44SzQis0s%&o#J7}0*DF7m zAXrpyQ}gw?Lgkjo&1q%ZpX7g7z!-6RTkhKLixLmFecQ_`ZFXZ%&yF1ypw-4_cPiK) zg6=OAHL3meW#ZY{=F$3$w}YmgzHxPR`0d$N$S2ESJy@piesTwYVt|vKZS^;iPjPd+ z>Ls)aKCEz<W+CShdbCRv<!Bk6q9mqNl}DdGm^eiyEDQT2aKgo{BUC8=>%R$8Y%;!d zeC}$PG;xp8vfICf8y(6LzCJJfF^k)uuTpQ`ym^Q2EkCy&v@3Dui4$jL8t2vse_x22 zOhv+tn%bY$ACu1CGEc^<=&DHLqYei(qfI3*gJ$b*N;x^{QN^ArpVp3sNfVt|!k3>D zY<%S9R%BCf*FjK&jUz=#Ii-H`4_DsZsHSR2el%ZXHD4*91f)bIAyCO}FFqyp%5P?g z9h?#q$hLeL#}hG7)85r<yEYGt&FKj4<hr@Rf&naC;*4Im&Ks#Fzq>Yb%FMvuzPfv6 zDNTrGnbPw_O!KZE_{b911LC08+1s9#i<jrc`3j!UW%6<>>YkFf9C~<+vgE>re2cto ztG)zm5owG8ogsNW;ALBVR|EKb89RX!4<r{pmY@6of^Pt5|8m2miFcHi-qvISD|qrk z(lKYH_jJ8?-;Q(5RGJ_SvYbuzt{cR11#K3i3bzSI+^#cRR5f)~*v_FLc~UoM*IcmK zT@HQo1dmK9dw*|jQsuA6lc1LPCzk1XYdAERK=waqbznPx;<$W$&eJ&;ov_;u8kI}A zv!ifR!WwD$KG<S{gG{d8f+tKtUge#dw*unT2h5E;^CtF6n@3GO!7FX15z*za4%9@? zUQu$`$-%*TgBa5atEEZ-EgUJY4%DyuW#ZaVXLxJsq=`u-M$R7sLT0P6_|MXd1WobG zzh$x~d|iyCW!0AzQ*@)l4hFFlwKhze7;|umw5Sl1mm3#n#N04J4JMXND$3926!+=G z?TL7GeSJL3=?k$U?4UD;+BZw=I=&!9rR4nFbuoe`CiTpz|M%0W^L^#>xyv;)nd)~s zIh^!ZmvE_<i?h*T^2BC#ey^vermE`b=-gJeDSb6%LvBb&h@#@c%UVne+a0E*JONdA zvJva|{d#r5S}V5DK_I|LNZ>~4@_Ho!52H<)m(_NDK4<;(cK-ge6MKASnRsr?xj89U zUR}!n-R<rEc7HxNKdgS5TmAjrRM25CV)c?AK&@!)x3{(y=a>EYQ7D}i9?asz+Ng7~ zV_OUV)L;pl*C9qiHqRHStUEHhXF^B)+pX76)&Kt;ulOcrhFktJ*KRTJQ6=S@3m!Vn zv?^Wo=H_Pib9TRTGH-8Nn>*cs@7{@t%1fiS=NXl}xS%t=<p1CA-KU>U5|6LB_}py! zz51%vp`rWVZoAFm@7*h9`likx&N<vCUxoW~&+9w?+!VZxjMC0XXa+CqvH5l*`QN?e z=fZtJJ9d5ib2?<EnM*E=zqES&KCSq=pQ#Jw5AOf}H$U^riirin?c0;CtO)#cfSKQ8 zx!>Fz#RHAZ>`St*uWLH~s7rg@gz&h^qe4^u-1t@h_4V67#_8v#aEWTA%vhws8Bup_ zU9w2y8i5m&dJcT}c>#1<PS-r83EH3(&!u*E#RO3EE#?Eiyq!+J{l6XOZU6mxz5dg^ z>h~u>XDg;Z1dYi)Kk+cP;mDbJwzs{K=h)TW(wg~r;sFKm|3A;yKban1xAAwvZ}GT_ zgMG`3>%ac_`T6agd)u<EYW=*v|DWpB)#1~hJW0vCysX#e(+TCEwNa+KUmj>=PW}Jy z@3(v>Q_%4g{s*N#lo_16oVV+x+RoqacE7!~E&u+!hkHT$Z5}OJ#=&CE6gf#SX@2pu zGaGq8g#j-phcr*h3y$EZm^^X+|G)2x?m`YOtdHJyhO1G?=;gh=yK6ok6`xk2(0tHU z-k-gtS>A(#S&m=tO?YhS)STPf&I)lI<CQk^>65iq1C76)nPuvIYP!C@K;Q3oyU$lm z)!+GK63^~=HkF%nA~(5!Hlxgb&G>M~heO;)GjAN*_xSN+)+WZ4AN`72zZm52t@`?A z)`p;^UiCM3N_4ApY&x`O-uu*c%b$9S-TTjN@w~ju_vzB<aawCGFa4~=BngTP(0JRX z+<jZJx*YOAb(r*&lG%rxz=uL8aLPjtg?Rhv+3fsjpmoJ+FOy5=rrDS$+^_lEdlvf` z>vS>QsCte{*&98Q#wp;|;3Jpsbtfbr{`Z=y6`ADB!CrjgEU3Eu{}^;yoJvcH%xvrO zb!TlG6@D6hN>H%2t^RiAi`kPGZPIxkJP&L-WUH&|J89A+qrJXNI#(UDx*P%(Ca6j( zPTuW3T~A0@I9TNMh1wPkrusi0+pC}cdGY?%*3<QW>l4*^+cZ*ky>SA0#({0e>f@Im z=Kk5nD`j$G62~E9iA2#oT%Z+}UoBz^4zf0-vnqdYF=Gd9Ecj5V9`pI-^7&qCqqe^J zU7`46P4)K0_LZNO*nGcJys7eY+H9BZ@a7spt$3@lHyOt#<($f{h?P;!6lMDIWU_x+ zly#^{y>lDSMwg?UZ!M%{tx8Tz64;~9_fu14MQN1lf%hCKo^CgUZ{FPTuV(7BpS7x1 zi6;~f$jU_iUg|x4TlVwg)0d?8$j8;~(ck~)(*$e1*n$kD2cB-ARq~!59uw5(RVZCP zxF%-jr8ZRs&?xGOqjNuS&ST$mXm9oRZTZeFAGQd{)gMgusW@J&vrt;-U+veFs9hy1 z&vH7Jl-%7_YF2+(^S|oGsT-e66A&uQInp6`Et@&<)3*1Z&5*pS%J=mC5#``{xM<Ix zG=8KbBQE?&d9liwu`%Z)$KCMye?QZu=Z6Hd)F?Xi$e6F+{_xS)ue%nl;1CgmwDIa; zjl3y44;Jm=77#t8+Y(>*=c9Y&_q*jw^X~3ql6+v^4>}i{W2wU`&X*R+>OM0Pjyv&r zd`jm#_8>Cz=BA}zcTDKu(p&|aDR#|awgerm_h!-w*~Gj<PJWSk>o2co(SICUem6D# zx%D%NxXD+pgm}-|^LF|Cx=l|H*LU;^{!*O2)N``h%|pIF3u0nomgOoK_g!DH;oS6d zt2ru^Cg@5Y3_aOCe^v$f)X^OacWT=dK5F@$+rc5qbL7-jk;X+%2Nad>JpKJ@V<Y<_ zP(#jArTx=_E7OFc_5Og*2MnC``;77V8{H;*Z8(mHtc|)lH{MB(D^Y4seQo`(FB=mj zY&2WkB-)>=s;V9hJM-Y-f>W(RF`t}n*juVgSgN*|{^YBaYGK{fY|iG-&bh8{qOeiI z0fyIsAI&_t;%0zaelh%qJfHNh&}3TJ;IJ*F#b~p1{+^3<Kdyqx(GTDHR)o9QYd1P5 zOpuM>{#?OXKZ)OIDxZ0KONL2Jeb9`K*LNBn6rzosj+re@{eG`HU*X!a-#mgWHhYy{ ziE>Vy9L6&}GUg=5-+3SQI5=o1?)!4dyZhnI%g$OaIa0jcF4!%YyYbqr>0kOi&mDhX z;eJJXl5yBB*M|zAvjHD2Sh`t@>0m3!Q9CsHUtV6$etlJ`sbhP?V_C&O|6<ps`gdQZ zbg*hlY6>oo<li&{6xxaty8?J4?`L&6lyL}LUv{gZi^b?CD45-lj+6kID8Q@Re=#jU z`yPjkx3y|}zufdC$?7VqZ<nYn|74LUw_N4szA`VZDcZX1!u=;#UQYM<FNtwpL_Mho zMkvG1ivT6?(@XY*+bSO{KE$<YQiQWgl5nu9qNeWOpB}S*T89dq{huz)ba6vosAbuo zB`s5Rb)TM^HqpS@?$q?hJAHm_uAKX}VoLp{l*ezr>_2PF(sJGJzh{8od`snYp1_AQ zumAYn^D=Wn)-!+Wx>GiOyZ+nNHSPD8ObUuBJEn3gcXgPSeyDc4=T@)8pv_)C?dNOX z*nd@oF`h$1^5XecC4pTWPdwZ*_Bm&#=DwA+QlH8Fd)|$d&mI%^7P_a#*L2Br_)l}Y z7<%Msy_L7pq0^pAKNzf(5low}Et&6f)9B#iUW>X<Q&d!?_wvYT9*dqlN$upbpKCV9 zw5^dblbgmR6uNj(rHjYkC_$lE<vSCWK8UP;Q4`d4-OG6<^vnoOZtl}1K^bx$;8P<$ zT4h(6bU9ctSxyoRQ9s@%s|`AWE=g<&hfKYPh1%^iu2r}19X__XFskgGv3<-{rJnSK zO_eR)y;={0Y>f_889Lqlu;qyQGLJtGMJ!8HT!qfu-}vl(TdCIV4}OzZoVui96_h1? zXi?ZE0Sz9brguD1X7Y7E7M>S-ZQ{GQX^lc#mR@Z5T(gh&ifw;9Xuh<gFnPOIzT>y0 z$)b%3^{xu;Mo!-yc5zrO3Orb)pqd%``%zHJ=XowSH$C5w({GvdN&l3`U8$){%f5OY ziw-zhVP|wdR<yikQtx*aHNBG+4U<ylpN#hOv(@WethBW9uSaH<jZ@&U>P2CD1V6aC z<?Vbbc5`R(^4|v(`C`7v@-Cet;5c*ow3jB*pSOXI^r|m=Kfm_d%=4N1{(SPjE!HTf zXnwaOc-rnoosz{1ue{Dp=lQwpww7#fZRY(aTk2}#1vx}nid2-hD7)#LpZ*|Zg-l9e z#Pj#Jg;Ty>v$(nC^@KUW+q-yIUokzRylPqD+gUYyTYESr%-*E`twU8UQ{Df>J!a2K zkLIkpv@f$X^ZJfihw4LlK+c??8L=&Arp@0km&0}l1|Gj7G;xkXQ-(rW`|}&O1zs*L zwa$H_C@3&#O;1oo)XBwSZ*rrz<!tOdx9QWYCtKX$uF^1HbgoOTIqIU~gsMkV*4z_P zU%l<v>0_~+mo!$bGBN0P3%t6-sZw|D+1E2Y45vC;Ckwr)PoC~MDfjAzU8-ttXJ4JL z!D#6xtGRjdEgUJL%RVk;Y+lD>r5C+DZ|hHqix)3$>vh~+_V&$0#n#3PvTiGu<=@}; zPC0H*#YWlJxgAej-P~?XpI`M#bM^I>3c0Htcdl5LJvhMlySnR?-Y?@dPg}*~3aahW z&d<}0ul+jp&5ezRwGx%<_dKugeRgJMa_d*nc#e0=2}8$X_D1_6?|b$CYiFA0&#PSz z+Ci7OqwMXivg^0E=WkzB*V6i7$DHbSJ0(jBBZP~cmss!}a(>mzd5FKtFgB<!g+<jv zW%Exa(7em)p6|&gcQF@zx#8KlCL&Hs>eEGysP|9)cG~29pKI8zV_2{FzNP39_pK`% zpSb9j2!dCFTIM&i^Owor*;V>_jh6mSg@+FxhHc@y<S<``C%>SkW)JUrg%#~OZOJut z7rJ)-`}MkYw&^|Rr`tkSmIR$XT6bkd;5XYkdrby~In4{1&eUbjY<SL+8fzoJIqmGG zS9{Le{a*9+iGiF_gFE}zlD>LI<(R&Lm!PpKK|w*#dEwpM3U)cpd7R+AbGKR!%(JbI zT4>jE{ic3Y@`O1@j<{?uc))M}M*(z(vd#ZLpQr6<U_Hvs@h3H1=Jkt<i;Z(HZOIIN zdq;Lx{L+RsHtt43!oteW=hyF>dM->`hNVp2Mr;2Xdxg{2-kU4VSaqp>kE5@uLM!vu z^O5Cmd?%dUos@dM@o-Vx>RX`Y|0~0d7jc9y*!_u}Y2OJB@!#6(_XORm`<?q*vmocz zmQ1JM<L03Kb1mP+`46kluX%K@?fM4~P&0bwwBtvAPYsXTD94izI?ZoO-rXp-9tp+! zwcqEe8iUp*%wBi{bhS;rqB!eplpS<Nm7h|)3lwax$5pTWnpg7j($T8GbvvI)f%;b1 zPjmQLZ=(LiZ`V<2X2Iqj2bH4iiev?sd&mBSu&>l)+6gLP4!M+EaS^&5zwz1~y>&bC zw}Vz;ZPq;)(kxRyS&TP3S|}*YnT^r<?G%yxdy?55CmnB}e{x6d>Qe9SD8}gicNY1e z-Gdd9C(4!o6l{Ch{#c^?b4<xx8{QLK3;WaORUX^R>$$q6z{XkU-0Uaa4t#s=D+^BL zwqjv<&m#9UJihj-+D@bY_y2t}*WUPW%1a@pLz@rYid5gfwPKNBy_3hDcNM-fx=kla zyw0ugNlH$BTj8Wr;qhS}=wQ#iFQ1*Ay|%qg+Ix@T-(O#g_q(s)IK*O^b$MBDtn*Z@ z&`)JdCE2bE7&xAE^%S@p7C-aZ?!BJj_vM9bjjY<=PTaZw&7;{W^vNBuz@W2J?yq7M zXX0EIQ}K1#-c7eFysOIBsn_qdy2`zd^;MRU;)Cv<J)h56Z=2Qjp*^`JVMV~hNAI7w z6cirIejs`3;O2wb&1}4%cCL@xTXpk}(D5A$gt-<LZ$)gD`}y<p^WFE;WFaRt?3oTZ zso}SP#2z&P)gA+`h05_qS+2*H&*lApp-WNy@MC8`ZkFEqfd1u<`%Z`|mbZO<lJelF zM82|1>!}Uk@tq=9w_jggCQsh2xBt(lJLgv4u2d}ebVYLJ){^OG{^xEl$rDw58|^ZC zpTw*VsW<W>Lh*{K+U9R3d-H1U+!XD6`Ig<6-&<L%-~Ei7uP)gr;b67H;rk27y2!n3 zPs$!BCPZt6)Su||@b%rgP-c>~r&wuEw_&9)=oXc)t0r822pVe4Wzsm(Xs1#UIcEZo zc#`}4%&)Jm7QNs5{Ztbx_Zs)46B87T5)ZLRuS%@`=GoEea{c4u<F^I4Q<~K>o^+e! z+_=z|Y4ELc`{QHM`Da-8Ik)yaET1)ppF_}6r={B?to6!<`nbO7DiKaWcM^h-PG<Nx z`@QFxnz{UkJPHd7XWnCaEM=PY<hjVJ8A3e{>rQZ#^U7M46sQMIP1y6P^u>e;^RK;` z{O#=GCCwjOKBR_n>$3cK7`s)|EiCVjws?8Rw7S^yoNJji7*~H)QEt&);a1oqVEm*x zhV7Hn4vTvKStcj<^&W5HwtH{wxnhr>ALo&SoX2A-9=5*wDbc^YX^zMtofTn|yB#^# zFE3BJx+-*<z{KQ3cS6<(ENj#$JlHN_xGZ#am{XlxqWq*7MYfiR4_2V#bSKT3lfx-| z)yQ|2$;lG4l?{sSEqeq{gwC}p&8g$cZd}qMZGNt*!y~31dK$y?pDz~ow|FVQk7MvT z{7LnJx3Ek53~eJPA@QD@;g-<?vfdYTS(!dPUG#QZ!<_43`f-lsO`moyNX`B?;r{kF zlV9s+<*Y8a(!|_&=*0mk8TVzY16aE3nRY5Er}P9fJ=ZQ=^}~6}cjY^KtONg<=XYN* z<vm%yYkR&#$S(a#dnwt6+>MWy@t>J=)jGBQkD%nG-8)UzPQMrLq+7tDA;~H8ZCASM zf(4+QmUCyv#bcW0IX40>{1yHE@$$2rX-~EoU)q>z+i7xYbJkJm#v@CTHzggG>*Ueb zZLT)gzQ->8#C>^+$_f7odj5hx{=bc7ukro<yYBzidd{W}ucRX#9f2FS-&)-iwszIE zHL+V)Mn+#<b5~2(u<nRh_4yULoqL^?CP{EQzWi^KU-jtOuAAlezyGay_gwA!yw9@d zcRt?vzDmeJ!83)WGofRv(mBm#(u^;T{Mq(YC^XbYQI+d>iH*o+Sr)xm{$;|?^8?M- zPtv)fo>s%s(j!qXUpzBV;DR`lr;_pvp3>JEoX(#nN5rtyOxZJGHvhcf!&&d9818*> z<i@Ml%vBb<`(ndder^i-{Hirqlx_0c=o1|$7fkPDZ46PKkYd!tW_g0^`jrfiuW!N( z4ji%f56m=I&x=x1<6vk!ZQgwH|1oE&YYX=<B)NcW7R;IHC2*nMn8{O3SwZPTpM$Yu zkA}q?R^LCD`)`@+dGlE=$((7qUu~XC%jIjmt2OHz4~1;@b6%`tYGO0#+8Zl(Wvj3S zHkLxyz8jos%1IDXR5mM^nA#oI?J(&i$EoVSfB)|E>|Z<k|5}5n`Uh-VLpwT5zwpd7 z_{1Ky{}sQKZ6@E=oXZzq)$6^#uihW<``P@U^Z%4zv?sp58qB-*V)^@jORrj=3wUki zx}u_SPTRb5&yH_TF=~oaxKPx{wSJr9f7ZWlSHwP<)h}daSnZ;4GKIyr?!pcBO66@i z=JGa+b&tObm@Fmd)|`00@9dS=x6fz3nq$8r_4RuD8Qc9@m8RIb``y}bTHiIhepS$= z&O>tci>i9}Wc)LlUva!bM#@RnZoT)^Ro5031_%3lx%0ntUH!i&(DUjx|JSAqk7}DO z&#=FxKC`Q5#p@e&8V!3^iK|@S6Zv(Myrhdc(=;!)1vTAj$r-zrb@wc=wcc}SRbO9B zz2gBXK@TIz#w8}Vw4C3Z412p|(VFUiX)N_u*Ja!hI=7hpd%$wD-i${*N57>0J|}-5 z{_tjor`iD>d(7YYUtAiwwMH=aTcqpX_P*prg7fe0FQ4sDvw>}m>egkGCi>m~y8O+z zLf4&KB3fR)v$y-b6{*>`@+8N#4d0K5YCWIUttBG<&~|T3h-~u<CFKiUj?V+H28ed( z*Cv;UyVl36alBf1SWBe%@#d?m!-ZMCJ*d5Awxy`Ou6Nn5bqhaCE@Wj4Rstn1*QTw9 zV^`?f=bqw~$bNS@T<+q@0|BezkKT<4K6bA7)_aYupSwP~ZTbCdH~+Kt^u;cj{=d#v z&vv|?reU<{_m5Pq2fq@#vuksY$(-Q$w&`((!c~o_?WaWQMep*}#zvnlESWg*`ucd` zDb))<KYIN5aFot51*MGh_ckWGpPFf`-u<9)!jbgDZM@yN7uVIExw<+$GSS>_eWzl< z6hl_VV1)@fMog(5D{P%=PELDs>8ycOiQ)|v7ZwZlU-OqgD?NR2Md#)huk~u}8um3+ z=dB7_%y%|&Q``LArH|{icwgO}*!1r1!sqAb1uQ!gd17;o=(*`1G@eRU*X=!Z^u@ck z(i{Jq|9Mk9>-X(j{KxiJ{S>PGmN~ORzq0oh?~CuDw<rId6kw~|IdLL$bxr0|`Ohzp zU3K8%=U!TW@1OI!);lYlr{BA>%=x;-=SS0@$aAM(nkBmb;$imhCr(=0i|W0q_v0v) zv`%*sSJ*xE(tZEaH9m6d7G=zOBkrT5enfm(<c0*syZdTqA3n2cVY<*0-F30MkJ)dC ziHV6w;mg`;@Fa6h)YdN1GR2m5`6Q0X4~zxaHd?N@cPRMJpP$8ba*vAr{QUmd*r_|V zEHGqVZ)B1l!p+B*);mjB-EYG?-fKp+zv{n4uqMXG$2(om&Uo$M&Gx8v)peDHl^fGC zt}86*Gj+_Bon<X>uKDhc!sg<C0%C`LvmC0NH6y|IhH%G}y?bp}e_yrg*spzIM)MRF z^g3)h!6Blhc#Y+$XY|J7{);o;?fQJ>q4DLZnfG>AcfShL@j86$pU>RtKMw1s@Xx)z zK0feTPW{cFMipY)maBPrIP=bJVX<FOc=%Vri(`7(X1i)XOXc3)(PossQTe{JxTb$x zy50Nwd)_Z^PUd*Z{ND8J44bY;%F2Qd6Q69Zn)zwr-xjfR^L&=tY6m?|dq34V_S5c9 zJ5O$1GHK$>V7JRnk&{<=eZ3WOX7Ls!mTygExt|r+WS@Dmv;Iry@_8GB`z3G97AVtN zA~50A)>oIC7wj&1azL*u?8xCWjXenqPn*wQ)R=3l>3)spWUEh~KSSe>6C85wKV~oE z(w&kOsK_z1TPJdp00+0n;YUZiUtV1u{zt}xX%+j#^^Av@4l(+(^ElOnt_n#MjkUJ6 z23>W{{p{d})c(&4?p4=6IU#6O@j>D2Oyl<X%^Y&a)`hK=s`gXZ!hZhvw%pqhq7|p6 zXudgl@TUUPhZj%I&$ka-VzRH&v1Wt+8qtL-*F<g>vb&RgZH?z#tI|h1KUzG?y}QeF zvdoL#ojZ@V%u#&8{&0Wh<z<GIjA8*gZKv{`+V=9v+5BjBUXauyFm;B)gphicNv>{c z{`1cCNaqVgZ@jpFuEP3TOEq@iZI66+>=47!m7?9&mljN%yrO!(;+40n&%bzZPj<`S z2dr8_XPUjlP8^b(ym0aT|DP_$-HzY?-|KPxoBs!=oA-5hb)`57%S#3xHwu}N_H)<W zE_UVkIjY%hxgkc6_P%78x?W`Q9H~DK?5@_Yf8|#>_mgJtF{Yn}SDQmt`BeTooxc8) zqf~HFZN`1SSGV@9yg6Z_`uVs^+YbLT*;cHYytgGMNiH^pCH~tF#;pYpoxX{z*jDt^ zE9~jtuh-*$Dylp?yqVE8egemY=G4>EUixUXnjb!Wx;t!5#6cIw+rPfNJbdr*JlpC^ zeX`a!4sWWj`B`Mg<F)JEyLToT7Zm>0|NZs#%}EAHtEw*=&)X8>($mwMf=WI=J9~3? z;6f+XZ;OtY>wjZD8dni<=<wl}UtV5b^Jw+V?4~_aqqb&k+_j->h3q{E0mBJ`0-Z~w z_82N8-D>+7D668zp(5ItGI64A^tKIe%$_XEJ-DYlK6DRfq)+|5d$MnTbX%t{c;DW$ zG=JCE#a^m*&-A1I+)aO=QB>}A{`|w~`?uMK+Q>^9rKIpXE`FuDqWC<cNzR!q7VR@< z^}MLkjpeP=w_VWP^I%S2{FTMR?)(>y-kvzc@#j^m{9`P$Y;$$a#ypW#|9Lg0$j#(? zWnkdn+P_w_Ze8>J#lBy6PyO?t&F--&EOBjxkB=4pc(}OVu1i*K|Fe%vSBI@=SflWy zNj~O-ok`9OgFbcF;@Eb6`DO8SKV6p>Xb1&<Y~^EOde71~*S6YhZS?lO<DfgfUV`T4 za%R5k5LCXgxM^$h+?SV^FMoKr{c{P2;={tL*^@5piTJ$Oz5ho|OT+`k#`^2aVs;j7 z^xj|el&d=9-TnRN?@5?!3gL}Y5Kx=2c#^93C#j+*9kWB`&B|7O&c)QJI6=p#Nh0m< zudkNhGTxRwbd#97Q_StE&Dq6kx2I2(`I7tn{mOueNhXO`_*=N9<Vs(=aLl&eIPhoY zt(vUc8?ED~eK@}G%jcGdTar&(eXBaKsXlf4@^r5mPgncx6?yN`b2`E_c=E)HlhY<U zzuO_3Bc0jO_()m#etEX{zEg7kY%KwOb9QFD_&zuDu$1b%+6OW_cTVrQJKu7Wpw7Dw zGo?c=-fi^S<;5>lTQl#4l5)40?xQTJ7W)w9o$jq%`~F;=!%<^-IJ+Uh@ANd?oma%> zm+s=Jf7o-pU;gvYS#A0yEl%(5?iPPuI6+8&%iiAp-z0aruB?dTFD@>wl${}*DAIB` zQNiHDtOkvPk7kRXSDeoJaQ>~W+3H-XdmK(=GCDeUcwQFhb6Uqyl)ho!5uS>NGP%v= zn&EmUyS;eqEC1Otom5h`;5Bqnu~6KXdDiUN_sLh@T&@@LsyJrzEz<p@q~prhZ=<hI z;h&K6C3gS9D+{s;Z|!-{Q+ZX>`}4GQi+}k>73fHuTxY&Me%UpzB6Y9Q%gcP-r!(tq zcz!_Uq(>6xnvWAF{=2_*`P<poE^#h>VwJAPF7>Te{8QxSIcD$dMAZYY$DHI4sjuZ{ zzaYVR{l(M8E|Ys2B<dSV9&k(Paz<^<IvU4pqS?~UaD0&y>-=>6sWnP1MHB9HrUbT_ zU1(WT|Nq~cgA5-VL^XwiKVDtxE&k2Q;bhF^fK%N49Q!&9#oyf7S!|MUfZ^8meEDjX z3HjeYpSLew!KNs4rc;1hC|2EP#sNjK2{LE;3wEqtt=*m}G)w%vqNI5Ja|KRuOL+yC z%u7oi76?uXb<CQuq3f>_r<kH<#je2EmOqjey&Kq`Ol*)*eIgn3y~iLZBjW}8bv}vb z=X6Drt11I;Ur;!yrkwDhf?2UGb8VTC!i;lq{R?(Y?YZr*qG+=}Bc#<!d#8Z(wSS(M zpZ`C~drIoMj_X&cs*8(u24{L7tzT{nTTJkEnsdlDr_i9&4UR5qKK(ykS%W{@mP{0^ z$*A6u5)!r4e37d5_9MG_Ox&C$3%Qqmyk)YKF*-|kC+C`3mdZ1tXZPsLF>X!sk@6I- zi*#AL|CP{gn_^YvhzD{@|9e!vw4Zcqy3qXfo^Ar$8q~v0r+jXzQJBtHS+Dq`$nAtA zTR-c*4<Bvz9hf+~F=kTma=$`577os1ZPyizj3#w*uL=t(YBHSgg-f5&tHN}zaQgXq zsZK2{fjzxlqAi&Y(>}EFC$$vY*w|=jXmqU3oA87ysX>5y51+DoUr%{lY5kAJ4jI8G zy$vx>&(5CyIe3HEgee~%AK%>0VP7AnP}cP!<mRT-pC$SE`FwkuBT`OJ>z!QtP}I<k zb4#4w9-bso4wczGCGYOctj}B_c`K~3>6%(yOifSJRek5OnP->ZTIhaUti<$#P`Q_D zgwo<iGo4t^ge{Gf>^OFBa`?`wXNPVEUs+WsnssXt@7b6gMrG-o69r{LcDlsL70<t4 z|J7n+sc(iul$ZFH#Wk$l#i4##(GOqG?pffoGtrdaFDrKI&xD+-6D%iBOb@)crSQJ1 z)cviSO!rOg*`@CN_CQw1gcKvk13rQtW|EGNt{raYx6HmR_4dkBfn8<xU0PGRG%p*A zOPjCJUmLTn;gxBg-{=1k=T8T9vU@O2vbe0h|5yEkNv=28_BtwXg)b_xEIYdBIfs+P zE*oVDZ{1E;d!g-*RA2s@%JAyr--1=4+XH1d*uK1Rh&EsI?9;uV`BQ>dt)6#ja>oV{ z)0p6s9@FEPPsU}Yug}f3DtUZ-vedGJKR*clHTvlO?nRWZ=G&uxd$XsXe1F4n^U7rx z?>#@sz5V232eW#u*J|tDUfFZMrDqA7t!21?fiBZYHD&AacS~j(rx)$HER}n(tvh6g zgIrOm+4?7|kM8$d{8HHM!j+re$w%J^Y+f6BZfcyi-Xr0E{K@+4CMja)=eqqa&tE*@ zfkK_l?pnV*hHKwm=UE;7pfulp@kX!wNqeogYv?^OpTl7FXnFjKnEInxg?h%5lIwqc zthTDF&{se5tf*kguioejGc=1Q|1I+Hn9bJwQ*Pf8-qVwu-3soVTWj~__@YRWikC9q z0}kHn-XW?!K`!IojM;a0e4p{ohGXgbJ@?e_&blq7n`rCgrt?tiq!cUTT~Go#pxu;{ zuaXiu`|s+U7r!IfSLW}Y5hqrk)YM=oz_4=8?pGXV|2_;(_DSDb%ptk)mbbR_W=57t zzHSYiMoXEQnNJ=(cyN`%u7bygvKHSbNQIlvQ(7O&s4?5qkm)wx&)~nG&V@MLI<j2C zSy5TD&CgGS#i!4q>jcN91A$K07C16b&Ucv4eWT`!TJ01wWl?R7Q>%U*2|eZ|Q{Q-C zw&IkwxZulLtitv;*!mrI7f+e9s9Hm+>ZOsQve}PA6Lh0_nKYG@Gww8p?GKo}Ma}qn zjbQenh;NIe8)tt%{NmbPfmat7O>tPl%vhrD$}jNcu)|KRQxRJ|J0}QMzT{w<)(vvU zt0#rgyT3WNhM#V2$~e_^Ib?B+V9^=L?e*FVRo{DF%ai12X|Y|tI6eQuh4uHgzu(p~ zRXTmDf^x<KQBl#O!cKSmW>4XeG(5L;LL>{Lv%&-=qo%SC99I`u_M6`9a6P`F)Y<>k zB+X^ji)JV!=&&fo?^%@k`di>}tIA3*kBf^7MR`0QPuRHq>G7>6JE~$sf||JOOU#b$ zwd1{2Z+JlN)xKT7UM;vebK8qKcF(78WMPbURB%mUd7$9aJnis{8|k`c$zLtAmT7$H z;$&LpkZ|P0#*)`-^!<DJX0Gaxz7kTn`RQ>-H-!gnd@F9T)rywzxIPcbHDX#TQ6d-0 zdxUXr*yLNWBCmF>D08Y;&283@Yk9svad9p?zg$Xad`-QZ(w2}_A)4=+R&DDvKl<AH znFz}n$wraMf;&8p_edUI+r0MZ5#uD?@JpY*F`hrM>+bW+r0-hOwpS>xiI|?w;*=9` zuho4?)z?>rw+^oMc6y`@>%j6dO!jgSx_zxj(s;_U`tv`F11>W$F|CN-UuTkXLLl#D zUvg7(^U9+7)R&uPODy}e=dIF&B%`J*sr2-8vBphevo}3@|G&s>Q`G5cDe_`X4NrPh zY8IND>@edDJl~_Ao^r5>wdD7=+*wwoS#MM>v^DOyvo-sA#Q&p5kG5*FEDYn$zPyaL z{dfY$Rg<hQ`-DF}Jly^>CbxNC_ujp>-A^57iYJxaYAar-z*B!Trlr;)C~AA2ue4dt zgW`qB%zLG3wk;}Ua(T00^W|;1(cG1)r;S(}rzlQHGGbcl86O{C<{H)QY1+Fr#`E;; z_K?deHD^C8<xSkq#L9J~%4y5_j{cqpg%t&gDa)nyJ^6UFKStom+=dNz_Ewvl$*{?) zt@v8^^XYUSJqNxJ=NoMGuXgE1Z%b*7vtdtSRJ4&e^3fqjVc&AiS?$ji4zN4!IXPMV z^Trn`dux6c&3pXm>1mUS4+;OIZ^cLGKamyqG}GGrA>Z*=J8#W6P|U*LH$x%e9mkRx zJq>#w^Rl<)>u&oxLnzv>*-p9d+9uVT?X3z0kuQxuUEIHZMP_I?cjU&8(>d$)+4jXe zHjYhsa<p4~%_h((;96m8BHpb0VOaX-N1+<~hP%7VH>)#u?OXM5<Im5}H(z(Uz2H#y zr$@fC&0favaj<ak6}`H^#OSrtK;z}L)#3WrE;9x(DK#gDt&j5+*N<CL`1n}hWHsN6 zmzS2Z{N$amXrXhv8b?J*iHSB(wscWS{e=7KY8*4HnKaduZ%EwBNcb7KIm$ia@uEwO zrT1^LUs>YDS-d}QlbyD+gVly*|C(MYDW4EOINiF=L~&w>X@!DA&J~r!38F1cA^og< z&X=^DEbRY&xy;_(yq%GA^R9Wp{B@t7@wT^pILs?2GDWpHML{xB)s2JwAa|32z#+$Z z^Xlh4dH3!d?>&d6U*F%KpW59kZSH65VAQ0LaExO~S5HK;`n7$=`~myFnV$JEDf0Lw zv$fHl&A)4e(~YC~=Oj1UMQ;WT)m3KqU7GW6YfkR#s=Mp;j5cLlR9d&pab8;%$HAI! zH`9HBw8GY$;Q#*OVsqBjRif%sE^kivuk#7t$M&p#Rq%4Y=hIYDKI~K2&k_~Kv9#fj z!h9CbEs2SVGOs><`}QoLfBJOs_7J1gQ%82Hq@J3R_^ByiQrZlU>opuN8!R%VJp_t! zj<7HmyDNmIq`bMY@lDc|b86<_ebu+@W0lqqT3A!LVnTQ7{>JUOQQoJ;&o7KNUw2`3 z_{Aqrf*Q0!*Veo4W$#frZe#dY+b1(zKYo$zK102Chkut}%-p{?WpxV6s=ej%Hp||{ zJ(}o!Halo?kAkD<66Q)p)2u5C!q!F=M(WzFf3WhvMvaeW=U5u=t@%00+4A$Vvyb)G zuUZ(hBjI4vmEGm}-&QrKthlz+Tm09P$^H>0BDEe5Qcun>R95U1txtB?&&|0(<8Nt6 zNy?*Uqj;J1c5FgTkH5dUdHDR-x3|sv*pJ`8Ki{oSW~H&ul1|~G2q$M}`v$)1qgF>) z7`+`7LQ_~i`ds;{%y~NM&HK~+FHZgSSQW9V;b`8=?Uk1^Z~aJoGHJcS)%;6Mzg1%P zOF5+f>ASe@@$|DzJsC~bsdohGqjn{<ot-psvUuKP_r{!%Yrgv|f<7<1tfIWaVQ1y% zv^NDGTpDT=+L<a96&Spy={$V?_s<`Tn<D2|d#<REiQ}EZyZWKT6#mt1pC^WN%@I+# zs#MRCdYI$$9LwT1XQLHujp?k35)<SkibA&K-adBZ#pm<(&*uo#v?b}?G10EOvZOvt zK+@3hz%4-!QAx)`A^U26mh58JmD~~N?H6!**HX>wX*^;(d$X3tzED=(eE<6e*G;E? z?bD1+c~ZP-v+@2va?xta{>qCpKmY6g5*+^0apEoW*;|_Zb(@OzDI{321i89x$a&%F zu>9EJ!-q?DU-*2cu2He%SC+)p)qm`M$DI$BsL!#O!nDhJ>GCcwkF?hF_gtROG^`ZK zy}MzS(jljpUuR!`vZkdts^(_nuJ5v^_J2wE|2fXfTm0OT-{tv%dB^u$*_Len$-cgg zPyWWyd(%6O#e!EFJ^gtDG!%IAQDn(qF9z2Z`>>?PFHbHmiF|eEG5f0PC%m6mO>%OZ zXV<ylr?GndrE|G<B2u3w$k>-HT6Xq!z<tNXn$3^owudw?Up1*ZPwTy&Xw1sJZBA}M z-3#XEbIjmt44EuAWmV|PpjH9rt!mP{zo?k5jcj_ed0)S9^r?Tdu6|n*ZNA<}QZrcZ zVf-EL=RScR$8w8K%xy3B{`UTsm-+hmh39P9FKjTpTyeU&s=Qw1zV7Q4+3Ouk9!Dv> zy|u0Jlc<J^Zgjox>i;o5FO5@{#2#mwx#!Frqgi5SX1RoBUsL@qG(TX6QxV_0uc0UU zg63INX_dY-{lF|C3?7QRvMrK#SMh7B_PJc5h08ZD@4MK!nEl1&DzDN*U1z5iWj<PC z{O2Y2twNIt$4a_97m3t+%Q88+1+~wgqsuWvs4-;n#QXLC=O)kHwTpLFQS!>UxBrJ( z%-!>6M%EPFIky(})creJTpzsLtoOy!ZjG#~`;1H9+?viMUh03zDo)GrL)%r`7h9DW z$`08tV^%$J;@{odH=;#EMLp-WzI|zZwAkpw@y;iEa};}JueI0k$3L<RF{+0SM+qm_ zFR3uPWwzS$Apg6<b6k5gXHAokl(byIzBYJ|G556m1-HJ=J~q$l%kOhr63@=xQg(Zy zi)Z*Gv-~H`eDilYhIUy8d~CApwb@+ir=D`eYW?arr_2OAOeGunn0JXNeZGAw=jyy{ zFAfFasajdTUQK?IB>l;tqi(KYeWTghXvg(a+B~+tGFvuLI4$U3)2@@ECN_(v%n|x6 zW0~o2o^MO;V=1d*+oob4lan0R0`FKdZ+&-R>M`HB?$+DZEU&wJYlAr3LdDn=mgfpr zK0j`FXOeP7<khX}fJrAgRw-#|B=#uf$(elL{+)NFLBsuz&U?&alhwraljZCGono%9 z`mHwW)|KA<ozpj7PkH&7Q*z@MZH?F4Q(1z1-5BDwW?kK3y2n)W$0~g;Z@%jZ{3o_X ze0{DIWn#VT{GqnH%U2{U{2t$+Alv=SZ?QI`_>Na<dxLTtjE-e2z4Ni9-r(t(rwb<c z)cgz;|CM>`PlVB@=}v9|Rx<LEANLouMVYPeSX+OqbdjfV@+yfC?-RzK3|`1x)tX)q z9@J7Nz_dwZ@`s+pbyFq?9)6&;n2YI{gM)qwOK-Kp)w_#axs%u2Tv6O&-@QyS``jh- zxM{N2?aMOsYi~!rd~#!r=-IeUvkXI|`$dBeX}{h7v+tAD)5RyApU=2C$x@`^<(#;a zCsJGAR9w~cuAjgCXWOzf|7=gDsJyr*yDD~}!PNCJ&AT!yL#{Y}dRo3z>8Or+W7SPH zd#OA7bZ*{`Oxrpw@P5O|89paGj1Ek4W@R*X206>OChyJ;$Ia>I%{IS%nQr-S`{9=d zZnj@pkag*k-WTK5|J*g>&os`N^ZD|#+VqNo3BnVm98P~9FmF%&`_=<jVtRhqC}#vr zyZtel@8^odSx0P?XJ4=0+`jbxg~BVZ+jn#=U-2T+qT1-^8yinmdrk55$1=+Tug$%D zaN^_?)87s+<P{J1?|AH?aCQ9ypLaitCF+c%XCJ(hqN1YGQkrn#kB^Ul0L!HIhPV?P zN9JCL{BWq1`{eAqO?`Idx>M@=Vt5v|UA<SHVRHQ`yZsJ-dF!1=BI6ePugr?uE^~Fw z<q374?;Sf|`g@gR_^vk=kJg8**rT89*VB{1CnGNzxhJVR=%vu&<&62>?dM+y9XPsq zOX~kft*|{IbGGV++ZYPF^DbDqd3w-kGg<qF(j)wLGw!ak7dd|-LCPSh>8Oy<{rba< zUsk5aX~o_+7!z+LwpTBBlHisq_v2wEe!@mc7xk4R_jC$e;Fdgi>te1z?zFX$><_Qh z|8;*gtMI>Ii|MP-vc>+V!XDj|pJ|l7kp27ng4d-}-swf|oVuz$YJPm)ZS(*AzsmEE z$Mv!K+Aojc+c`BkPIKvQJ1^;<t3S47PI7XqpHLmN)h$-d)t&FnGdb1x(pRp@YUh7G zSlTz~E?a1K@U@(qD<=xMF;#Zf{cIBZw{v1YZ}_RE+ve+!svc*J>DH)Ty+S72U-6)$ zkI%Dz+pf9f&tKxdTl%j{*YjmXN0-d^xD@DpZ{n>K6(yynS?2lYluBPr%JOJ_^lqVZ zd&E<5wyX8`M76^TW|u!nn5q@pb*x|h{M_)PER2p1oLRoOxdj9=U)0EOh}e9$?njTA z+|I>c6b#SHv_3yS@6xGM?iUL#)x5bSTEA|>$+Y>+b|2TAuoM?vb)~1c^1;oBzt@wR zo<I3{S^dsN)AV(Bo<!Z~U3UI|_okGMzZDuyHB*-49ZoCxx~bk?nC;1;Ew69P-S>B0 zz0A{)vxOHba*r*UDDvln-T8QTOL@*ss~Q*R1m3#y{?@+6PTpB7Yv){VHQ@K^IeT-P z+^6OGS-XP%**v@c_u8C7?{~MhoILUG?{CYJJ%!0UD@~X1N}Kg?iE15js#sz0=K6Yh zUP+^tQ&Y9w&(F6{f4KY9)TQ;O*D0=V?8&{!@uIiKAw|o;V8T}MHFx#yb1uJHy*}{v zu~g08Hl3YY-&<?`?p{AjP4juwZNvY2YTZ}Yo)7r_D=);S$c3k_a_dQsFG&lunH~LO z%U)g4eAmyyE6s80>-+omVMoPxdMOyL5ZY7yU9bCH?ccB0*BCQ1@BH!ky#31~o%O;t z?0xg?YOUt;`$}qje)Vc^$&U|-VFLGdm1=i?OPq6UZS-b|d3*QTa{oSfm}hES#cci9 zT`m`HUR)}ZQ{a%w<anS1<noB({erRA9|s=sp7mq7{MNjHHksmEk{>6{{2t=umbA{_ z<3@4R{^v}8Z){|;yb&EI9{;&+>dUyfW|H*>r(0<KDGB{K*QRn(8^63??XNEnudygF zE6B|@%T0R3=+EB4WTybSj9reuqSpTR8{=Jdf2}67&AGlY*<I}P>x+xoxgR**{Ql<V zW|M*k4r2FL$L=nhbM(>isDJ<g?pFsDjCR%hEK)N#VJ&G>Vep^#(&crr)=hhuo$Lgj zdY`ybU%a)yan^?(Nn@RlMW<%v@-Xh5DEMHSy1$&0k+#a_+@s6ZSoq4hehMnQZnJIE zQ<m?VDJ>cg+zeuO$~rku`4nsTSaE@;+k>?qK7C4h?|QI_)jq<doliC*aC`LjygxE~ zc3IS4Ul;pktHVC_yt1+~9hR<*Ve4W%_4M>uIC;v;%j=~~G?rD~|Mm5CBx}XNCf1Fg z8yp_ge~Alnwx|$#4)0L$bM`sj<FvD1c<J^MPvNLtC7P>$+10J_(BzSwr7+>5SF$t5 z^epL+uE2-N;NDb~s`8Jify@15rybj-db{F>TI}BMAuG2OE<Yow>UehAy1mch;`h|} zTKCt-hMg*VdvbNvq260LqRP(iq9^w>@ElNP@NeH{Tk)acB!dUbA!CD<&<XLIDnF-v zYYJH#HTAHv!IOChj2}LJ>|Flt&cg!UBaR;*bDJd{;qaSq^v|qs&(2EEvMSYTkDcHm zEh>6cCHdo{qakY|e7;J3dh>ua^U4ar{>Lw0o^(n7@}mCYo3)NFRwOzvwGVha<CKY^ z<AEr_3)+$g^*IeH_k7Gbo5y0iR5kj~%Al2hLV`X{>9{GzB)-mW!G7j<f!otmS4^1v zN67T()$1zCFKR`^#E!+W<ysd%<7szxa0offuBgT6-Y0WX)S~wHw^V*M9v7B*VlA<M z{`|4nv$#^v$y|ZI{)G5NvlnH5e?0Erl7HWh?{LG52X{(dUi$d!g2AQRw`Ui-Jg8-C zbXvi#xX38=ltJas$Nly{ezx!^%2pI?;hlf<TFk?YuIn?;WJ<7n@pAj{jOlTE^u;jV z&b5LQ^H=12teIk5ofh4CFzuV{H<d0w_It|hYhJphA3G8rJGtk;>Wf?IGlTy%h4Zp7 zq;C8E{{HfBZ*Ln1Hi|PnoX*V7x8eAqlP5c?zP<7M`RVE7JpxbKeP$RmN||IFP?Y_8 zXMX*^nde>4u}}JL$abzVeQCwVM{f!%e|%7E6j99S-5Kz#yUyoTvVx+8oT8i!J5!5* z;5Clsd*UYqZB#fVQ)EEhC<~T{_3~FgCqMF5zRb<ksk9*6sOhFw=&B?8RZ9MPd6bxj z6&)x&>iuZ%@2*KVW=&mHxhv?{mzQf&(l_ynHcnrE@508-+ciucS#AwpmD*)-o7+Oo z%|TW9gMdl)wKvUI7wkHE_H3`b`y`FL7pt4)6!unsR|~&B<AT(rj}uQf>R0gd^Yb0A ze{yD~vDto>N)3+73!2yzMOqRaR03{we|}Ku=)rc?;DooMgj(^l8S-i#39gH@fAuQN z;r0J?W~OoEPZ{%;(vaZEQKgmTK0I4}^f_M0GVYx$IOSsF#C}O*xA)y!w=nj{S9wVD z-3<w;w_kQ@DR<PZPpNx9Dir*=qx)&Uq?2y8X#KKNa`N1MX-y59)*EJVvTWjUJdh-K z!CKPMM|8hXfR(yf^8vs8)?5Dj2u#&{=8}46?o#tk)_n%|v}~Qv#c?h&X8gX=@#vqf zeLi*EcUnvJBTsac#>d~BTdKUE+=yw%1cTDIw@k}&yN)V+cz1XImajK-Z&m-2t9|s$ zWgeI2#?w0WtJXgI_p9RHBhk6LYv$|4@Gi4@8oMI$s#DkV^LN5?XTKIUN}7E=wk%hc zL!zZ;!57|*Ay+<i*LECe-26Rr`u*5b-#6M;d&#_6nmKFdUOy*grI{@@9JOv=PdyK2 zdH0Bmf7b1)RNEs<x1QK9Ak4zTc-r}ZLJG^x`^Woal~d+)AMLL{aDTe|#h<VHUnc(G zE()KuY^Qk15}ucrxl+`e6rw!6_SXCsjNK{fR9T#=czzLINLb5TpAyqa_G>3he3Gn| z&cXDoslo9C$1cWaCnh$>?W@Vu`)%^Nl%>_}lA-F&tNwwkW#{Jhc4;12;$b&&rujA3 z-F4p$%Y9nKmlSpOuMFzkQ~%`6grgCcPuo;EIh^R2*DxiKg;7``LBOb~cEK_Er$rgJ zl&6)j=-uO4{CIkk=9@^9o9SnLSmzc8DL#+!de7(?;$hXrDc_lLCED>}$!kXoSDWrd zcWxR7U7b|9GG$@RuUSsV3>lf6+<X{z`Dk*y;ACu`C|JVV;-vaT^vI<C1F!4N-@mxC zHNa}|9j5%&z<+xzMUo~pcXq1mQ<FdcJaD}TlaSkXQKlmOADp84GrDc=7HKb>ac7EN zuFqsm!_#gDV2w<pv@;nu9ZtPs1v%cpi0PtQiOQ9$i*B&|-tt3}Ilo=|$rHoaJwIp6 zk=?BAJNeQl+q^~XpC3ML^{e*@coEFSRq2&4A{usQy3QMi)UGFs9<eStb^6hL0V}fv zFQcZg_j{|pE_!==`|IAG1&Y!w@4n==Nrm5kJ>_E#H^@H+BKFtShRqH!mCNCdI{fxq zVuVQS?(Z$@G`34S`tLZrdh(>~N#FNJms#JQyry1C(wAS(cIkuT=QTWb*8i<PAFcH! z#Xs-o=HS4k)>&~U84d4nU-EIgrlu??D7eO$xmJwhrsSmsJE~t?P@H97Z|C1^_Gxq4 z*(6)3Ei>8=<-feNbn>2-b91dX=k>1h+vF+da=bA@`PRkt(cAS*51-iC)NoJGKtnQe zONQWF+v;gzdNC`$x1MfzFIXY+agyiBt@XeCH9tL-{&(BZU99Lt;_+V=eBTV~m-{CF zeArPhGD&dNi-R_O9YLRL<21fc{NnL*cZWCMrqffxGEdKo{ds#{(e2xEikrWFZ!wc> zyrnm#!aVOzMnOg!uk^9E4-{L<zrG5+w$<@m%v7z=2o8P~2Hhu<liE}Q1s+xZ`?Is; zgx{&w`U%NBT@8*WIaod`NG<g~a&pD)EgMh9?F~F6<kjjIkRfunUc~eJl>PE{eM_4B zEICfjE{ik@D%;&N#dqiVw(8wHX-nrf3*Al+yvUNzUSwM!zw%GN%DvrQ``quvls$R0 z;!e?R&8zM^&O5!lymaHn)jGGYx;f15Ibh7VHP$2K#iro;<$i)+vhwcjIoVY7_xJby zt5=%d@p$yCU$1|6Z*_R({XME*{F~14@T}T(VTEPkBbM`xkC*$;Klj{u$@;*>ZdbPD zM%#V6wl@09S@ZiFIPU%Y{5<p26wN>8DaHnVudl6*<l#T7WcaUF+We8u_R!T~SJubd zJH3#$GR@GCl$7-R`T2SM^W&$d>7G9R_|CCj>CY7#JSVGdWaHaobmD$<)rM`3E6(4G zE1CM_Zb}skkC)qqz3XCk2Tj+D{UP2oBU7;Ak4e-=r9BG_p4xpm>3!(`id&r(-zH6A z+xTSFgsI{e*H4X8f2k4NR&wVv%XdlRV}Is*{`~)6#A$+Cps4TUXZx$q?iW2@&wb+D z?ebG^-|jd3E>*uj_=@Y|#rH3qzW)En9qlELr+zYjw>W<P!ZkB5X~)gwaC#Wfmm{ee z{>|sff;)##PxM|{QFvxyMdg%gLq`X3rdug2OBT3xi`85<I}yqwZ#U=HmzU00SA`}U zF+AFsd09<O?n6m~#sg;1;R0_yGHCvIv-y0z1q<_e_H(aqY*gM`@v*7u%Zr0d71Q-% zla|H`%=DR=w5OQk>VrSAyUPrJa&mDcIoe8u)KAxsKewpX;0$j*--%-#g33QOnq*!w zC~VyHVN2#^j-!kxR1a3N^UJBo%gcW&OUU(}rqe0P%%XYlxxPsI!Z*@#a_1Jxx2&Br zcW$LmPyO5(j_Ev)>Tj84UD22==Hkm^UTIg!Au*@t&%fXA*SK|>FP!mHN#O4<-B#@* zEQ#|pS~XlWzyFw>ub=eJIMu^jk!$8p_7-PF>Hiw?bN>Hvw<@Ur`9Z5_{Y5`c_M-x# zcb13Ry>W;UP&&1ELT5&6O-kU2-q>d)Tt7YBBmeEbsrkLW?9^igMaj$R{}?m(d7L`s z)7qdW*|`1C>C@eN#aX$<{v>$5;Nx|@n|F8D$KuAu#ybZ^TNvZIMYY2|oIQ80Z}JDj zYp14apFDcBb^n9AckkYO%n-f5&i3ui&Fx}35eI%sBqk=lIT(=p{oUP|P>w^5#S@*E zSNS@4IdD1Dg)kp%TvWf_tkbFdrhC8KQ6b5eW&=46-a`+C)%~7qtzWX|d%(@lPp8Kh zWpJ!IY|ygyfiBZSuf9Zk1<zY-JNvg}F7-?<+At;gvHO7sDNoMMu3K~GIRk^hTu&Fr z5c4Uj-eOgMe{GFn*LGvzefJ>k*m@U3#{(i98IuGncqYg%eBJ&1U^BZ<J)47)z`Vw{ zcXv;>72=3K&>grnE0k~hiugyx&(EduADL@iZV|Kirz$@`n^20Oy<LF!6pe!_j;0j? zGBPqh48O!i?Wr&n)eh^Kp9h+83KzK6c(9sLXj9HjqjQcIO(uv`*k3W=;Sk{9vN7_Q zbM)3SzqzNDH!NsiSR1?Btc>G9J>#Trm;LQ$KKgWGPLo3uDB=^oeS3SmIILoK+1n>Y z5t>Xt1azaeX#Cd_5oYmeY*3TD_;g{w^_B^T9~^9+*|4IG-)TZ-OGH2;^V7nuTmmjE z@sAWl1618B+&{+%v|L>5UVMTnalY47EyKh{#r@2kYdGdNY-olya;sVE6NOcF9D2;; z%*S<tiG$5XZPm)-O$j2JK`pkT9J~*0%~MVYtc%i#*<sMn|NH6m_#f?z*VagVSQ)&W zPk6x+DI49a)(OunPxvyrB{end6ENVCWb{71p6^J?(KW)1M-F~etoi@1_Vt|wZ%(}t zyr81|A!`A*$sz4kjSLDcjn_5m6zUbHG!<|k(m!#$;W$(34%_N)PvksLa68t4?whoD z&!i~(HReU$!<d?FXJ?!DA3tFEW6ti1k4p2KS^j}mP?)oDuKE7%?&9_Peswup_VxAo zI6dfWY-jFVwn5pw?}OzEmm|pvA`0>rY{g0Q+WF-_MH$*TIeR!9wLD?W80gf;Rd1v6 zVH<<+)d{=5pH!d!LF$1l(?riTj?%}jJbl};UE18x(IK8gqO~VsW5ws6zkeU!<@$9i zqvUZLfdlPkb-E9yFPNc_z{L_`)Fi_n``2>9_GizY9rJen$Cj7D5Vk5r^IP1GUAsON zGcq#Hnck@7z|YTrZl^<C%dGe&8<CdG07vHf(ofpq>o!bhIKD_n{P{fV^1j_0m9{L} zIW4~a@6^3=3Q{MyZ|*EsZ;!gNhp)mv;OnA!{QTT~%z}&`9hJ14>`b$-rG%Dj6=D;$ z_^_fuC(&LZG;(uVYSL0E4&FUB3gR5viuEj(hDl8gmI?_bMolT}_f&qqbfA&>Y~uY* zY_`e`_3_O=j+Q<=#A@5GbC{FK)A_&@*@(&84o#6~_2>H(V`6KoDerqugyjrB<Kl^e zQ}#RzZ(1j0__^6NY)TA^fcNqB&QpBA)6xf`PIB0o#MgXetu6|fq^-sgq0Ho_tjw^! z=;<k$VAs6H8KsI1uUNk*JG_bY5inq7y5{BP)3~($j3$SKG~?n)f>+)uyLBzIPP*30 zP^GD`Qg5a1`nB2LH%S*eIGpIPjA4yzR+wPK(&Oc}!9}H1akWkKwj9mqjdN0`aWo_} zO;FhB4>r}w&8KnK8BLBC?2L;i3#N1}R`JO#T{A!TgvK&A22qv_PhMLdNI5WV<E*5* znf1W}0xUu83|_bX`)f)DU-9J0UolZXe4Whoo!ycAOna-oKAPloHpuDq&AD$}1O!-E z7#$Bta7gs_Bp9!l?f%zh7k^hIJJXA$4e`rfxM`|!a4<DBC@63&VF7t5ByPdaeiiFw zPl9GXw**byi3XNbh=AJRjt(Gen;HV^PjZNL1n_>5IyFsq_N1?;EYsQ;N^CFGiq6#n z4TFJ{u{2ImNGLI4I_hKcF>-retk*T|=Q9MEGW2=BUS6?6!#FDR)%2!S`djXuo1z)~ zihZ&m3nSQgA=d*hQdqQ`E{Le?3$=Xf60XTULzU^mQHR<8d!@~1J^dB5X~q8f|NmyE zK3RBemSu689Mh$DH?*VfWoxZk_1N9r{kXQxv>Q7$BNxw62K#HPVuFxS(>#$0m)+Ji z&UmV{A?>WxtqqCHYvcCbdN8|zL+VP{#C#DUA*WU@(MRj{3Fus2?$~tq`0@5WIa?`f zofd}sd#jK4{@%j-yZzMbySvLv^^_OZ7k}NEcXp$LKw++eJ-?ti$I;5FDyb|h$#76$ zH-;#KtPI_~W5<Shof&#deo4*BVW@fe@E5Oy!GQ(Y3_ly9G$*C9^UIxCsOYgSd}UCp zXQv%+Q;J6DJd47lNo}pKvMVYq+U3q3b@-xa&p)%`g%hKGiK7@e4i3Z!8q`ZlMs7%8 zbo^NQ@6XR?UA=3x1z8*fJ}ix0?8e)i)cPsW<V^Q@=FQpH^`uO*ME1{fC{mohuFB_u z;tZoyuDg3GC)@pcp$wYTU3if1U)$ZC#qDZ7GaUBq+xO+gMdfMj?d(hH{{HeQ$-BGj z=<$QI+c%Y56_|BNFVLNX{ZX37E8iFOF+CG!nX0qC311&~abxoF6~)ib)$}g-rv*t$ zJCzcQjF=ogsJ7h^TzSOc-D&2BeH)XHOO;<rIn%b)v4+3w-5twS0SlR8_f}1fiH)_F zNIl*stFzv&_?geX`R4g@e?K0VmpRqgI7Puc^T~;cHlSNFEGl<-PF5@V_os4IZ2fMt z`R&i=+g2Z2)F&t?=u>xZU#+*JqhrVd2gY-f7rz`@5S~y_U{Kx0#Kd$%n9*pGny=J6 z^M%F1o1ZN?&=GKXfn&2q?yW6*u0K0FTm7ktr5h;P7$++x1Q{vqR94&lZsoimmzH{8 zSr=>l%}wGGXn=PfS4(P%<fMfU-!_yp*Mo*DS4C`83jeXhfBw9pCnpYaZYzC#?dkKo zckaxnP^f7%Di)aA$}Rq>_(kL6w{OqNv0fFZbF}aZf1dDU{g=15r+aqlb-%xJWsT*^ zh-R0>-0$!2tJ~Y}UoubaI43ACCJ3?kc)4wOd}*oo<x^9&E&cS<EIAJRF8J{wF=|)I z%6dm}-b)P=PHjp(Z8w8mQKUlqL+k2ia*8_5`iU(uA5>%ZR(-v3YQ~8Z9%||pGE<Ed zSUD~~IX{2?akr=3LUyL5Wfz`-Dk3ZCuZr<3pO0KW;(vYT%E;oP$pMA60$$FX+ootr ze@WG9=4NVg&=NGTlyrO}lb0Ghm22gl*>mz7Sn4M%W>mVcGFYAYoYS2wxq0j@pSR@x z|M#~gOLWS$RblJnY>SGD9?5`?P7wZeOUAx#&irH9Yf4{Vn^UkW|Gu4*Ue~joC%!hM zyKHu1Pu&MDe%-gM4O;32>KZh?TB3KkG%xGgnzn{XToxRUCn!2w^t*zlLs%G#-3~lS zVX1$h5Lxiz!on7PA;Z%S43#23p02GD<=L9m5&_zgevWx%=xVWrijp=mC;slJ`)k!6 zdu?5;_tVqUpSy%sXkO4}`KhWP{h`EXuGQ4(>_;=6bmrXNru*&A+uPfVBiK`)t>AKs z^SrpoHF<mR+9*@4z(p*=X%!h0ycbFB;yC=-Am>KCf!_qzt^K!VvmAe6Wp0}7$CF&q zFHm-Bny$3)2D{o{8>Vv{34}XX=LE+-g?&GtN&AI~7}v9$n`7yGcUS4+%gg<xQ#UFI zd-%8pX?d_sGTvSG_EhozKcCOnRR8>>+MePd<=}8ZoFz8o|F75jS+}-m%5xVrq=X8@ zOVqFOnegM+v$N9Y6c5&=ot<^`iHoU#ct!eyScZ!Sf38}%i`~|3o_+nf;zPHYlqTE& z#cfT4a-!H1?wzJ9IGmh7E!#+6%bjwfi(^7<U(JmNQK~3AR51+<pGs&fh<kJLMV2 zgXv{$JdzfIArQYfItX~^GNn1Y)o&22IruPKZI!~2GvbUbx(`$v%pFkFxVk;~=C){& zW$9)g-2>Ok?25Dm_;wUM?b@2_`wdbqD<mkfoayReU=DFtNV&WsP+8bf>9Sf2!z7o5 z!p4=KQW(9!nbOfg-~u;On!DSD?TohWSNLXC-CW93zsQ`$K`8yq3`Iq$y^31Q;5?(i zF+*1JplV|ohsvF+tJrt?JZoe)l@NHfiA&fJT(y8|n0SsEs*(qvU8vydU3S6W>5Pp) zLm#(EvfbY=!Ji{0tZ{<ac|c3RAlitj<YEffUtt9YwX`Uf1wWg<JzrFubnCUkX6|}$ z9_{6rVJdlZce%d0*SnjWk4tUbzQT54ua(upM{1CAP(gv?g)rl0HRT%`QMt}{d_K8< zsk}Ma{My~!<vY7qE)=bryj{yO3TkDPfI+np(*i}eHn9g6<OPlGnC932vjok2T-lWB z{n%g6m<wd90!M@`Q@xm@TY;#ItnA7A_vaTqKbQMe{OBCB4z&`2mCXrrXDBFuYd(bp z4i=rZo&^`hrX&S^(oVBs*_3|%oY&4-Oa;eg$EFE^ngtF58(2Y#9wd3Vwim`v`upqa z;zh3An|SsjOwnM`>FQx<*_?j<(vHH%Dme)&>cfxJKb~h-J8R$Xch<jtzu(VmGnq#p zYUyi^2pP$Pte?MrUHbI&bm5k-M|heWy1ThqSy((Ojv0J7wF2DO;9!#Ch)|I{`0M(b zNaxqr)+TFK8~#;lSf#q<iGKLHId$yQE`lNq96GWb5jv6w;~d=f?A?3v_;L4oy>;^h zGA=LUt^IgZyhSZN32Gu}Rp^EcBc=wkoEr|C)6OnxX6Kh;)?Ym@`NM;QQ;gI7r0i;T zguV|pQ-``Wjw8ZGl5x)Qe))7q+Y%1lhz$!W6>}6<|NQs+{mP=Jr$99TcjQJLP<sp1 zh>8-}z-83bT`wpp>ABQv>LSbHX91hj&aQd-OCnW%u66k`P($@dr*N;)d><u<9SR&7 zN(rVZE_bI)pDr${7c(R6+#JntD^89pt3tc?{rwjG>dMMsp}uL(aNqt_PAE-b5m0PT zxwR!TrZ9N18}Hx6X{^d^t*wDCFD=cyx~e`jWOJIY_Kk@-j^OZdJP;(f!ON(r`{Rd) z&Q7Tu`wHs+ehv5DYsVJ({mIG6na|J7jp=;y<Vnf|%aj0EUQk%c5uqy?$T-71U+(NY z+u6H2`D82%R_=&+TIk*{_q4!gb=caBOG`Y%cDmo30!>m+6%)RuuuQLia&>k1m&g6~ zn||p`GO7OZBC#@DOH}*Vzv}Pre5dQjr|~Q>SBB?-8Qe@}j&30v4j(=|bBayHhla!E zvAaq(z6wg1z_0Sj={);9#W&&b(!;=<C8w)r#RKK}Prl!;e;&Qisr5$ir6r!irzWX( z?~I)<vNrvC{km8mr@Z_7eC_{yU@m=s&(`(v2~XrS;Aymo^Uc26-w_4;C+DboPg}C9 z^!2B;esisk9f;2_{_*qY#cywKbAHdizb{qj(uzRky}kOdLWF~<&FR3ilO1Xs)cxlz z*<1bn)7$&?|ChS=%LR4{sXn@M=k8tJ`cmCVrtc=J`_HI(z$W@w$+c@kIr}W5qut{E z*CL8GKm$u5!HcD(N2Ouk{{8lu_t(Yl7W4L76}sB3tgP(Gn>RAmr>E)8j$8kHclrBA zYZMg}8vgfpbv^p0prFtzZJy>U2`{t`2nh-pO**r>O`yXoU;+oX?VS1r3l`jvKEYg7 zzJi6d{_yEZcC}TfCMYuBzp~#r?Tp9z`2A`Xmh<dtPnn<HFDoOH@%h==LK|Z_Ik`Xe z8<UPs+F4{714%ay0tWspEj=%O?s8COS8-L<I6u!eS)a{=-7BXs_&?jmpZov+w%@dU z`|>w8H-Gm1obT@LUQ}FsS@knlss$_^9oQrAqkfXm^;?=h*kTzN7#KWV{an^LB{Ts5 DdH{yr diff --git a/proj/AudioProc.cache/sim/ssm.db b/proj/AudioProc.cache/sim/ssm.db new file mode 100644 index 0000000..332362d --- /dev/null +++ b/proj/AudioProc.cache/sim/ssm.db @@ -0,0 +1,11 @@ +################################################################################ +# DONOT REMOVE THIS FILE +# Unified simulation database file for selected simulation model for IP +# +# File: ssm.db (Fri May 9 15:23:56 2025) +# +# This file is generated by the unified simulation automation and contains the +# selected simulation model information for the IP/BD instances. +# DONOT REMOVE THIS FILE +################################################################################ +clk_wiz_0, diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc new file mode 100644 index 0000000..9b34209 --- /dev/null +++ b/proj/AudioProc.cache/wt/project.wpc @@ -0,0 +1,3 @@ +version:1 +6d6f64655f636f756e7465727c4755494d6f6465:1 +eof: diff --git a/proj/AudioProc.cache/wt/synthesis.wdf b/proj/AudioProc.cache/wt/synthesis.wdf new file mode 100644 index 0000000..b23c12c --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis.wdf @@ -0,0 +1,52 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:74625f666972556e6974:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:343030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:35:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:6f6e655f686f74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:5b7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323273:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323637362e3737374d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3938352e3138384d42:00:00 +eof:782676231 diff --git a/proj/AudioProc.cache/wt/synthesis_details.wdf b/proj/AudioProc.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..78f8d66 --- /dev/null +++ b/proj/AudioProc.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/proj/AudioProc.cache/wt/webtalk_pa.xml b/proj/AudioProc.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..1b0b52d --- /dev/null +++ b/proj/AudioProc.cache/wt/webtalk_pa.xml @@ -0,0 +1,21 @@ +<?xml version="1.0" encoding="UTF-8" ?> +<document> +<!--The data in this file is primarily intended for consumption by Xilinx tools. +The structure and the elements are likely to change over the next few releases. +This means code written to parse this file will need to be revisited each subsequent release.--> +<application name="pa" timeStamp="Fri May 9 16:03:06 2025"> +<section name="Project Information" visible="false"> +<property name="ProjectID" value="a2a460662c534046acb4b1eb8742bffd" type="ProjectID"/> +<property name="ProjectIteration" value="1" type="ProjectIteration"/> +</section> +<section name="PlanAhead Usage" visible="true"> +<item name="Project Data"> +<property name="SrcSetCount" value="1" type="SrcSetCount"/> +<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/> +<property name="DesignMode" value="RTL" type="DesignMode"/> +<property name="SynthesisStrategy" value="Flow_PerfOptimized_High" type="SynthesisStrategy"/> +<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/> +</item> +</section> +</application> +</document> diff --git a/proj/AudioProc.cache/wt/xsim.wdf b/proj/AudioProc.cache/wt/xsim.wdf new file mode 100644 index 0000000..51d5206 --- /dev/null +++ b/proj/AudioProc.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:2427094519 diff --git a/proj/AudioProc.hw/AudioProc.lpr b/proj/AudioProc.hw/AudioProc.lpr new file mode 100644 index 0000000..afc0a86 --- /dev/null +++ b/proj/AudioProc.hw/AudioProc.lpr @@ -0,0 +1,7 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<labtools version="1" minor="0"/> diff --git a/proj/AudioProc.ip_user_files/README.txt b/proj/AudioProc.ip_user_files/README.txt new file mode 100644 index 0000000..023052c --- /dev/null +++ b/proj/AudioProc.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho new file mode 100755 index 0000000..c6b126b --- /dev/null +++ b/proj/AudioProc.ip_user_files/ip/clk_wiz_0/clk_wiz_0.vho @@ -0,0 +1,103 @@ +-- +-- (c) Copyright 2008 - 2013 Xilinx, Inc. All rights reserved. +-- +-- This file contains confidential and proprietary information +-- of Xilinx, Inc. and is protected under U.S. and +-- international copyright and other intellectual property +-- laws. +-- +-- DISCLAIMER +-- This disclaimer is not a license and does not grant any +-- rights to the materials distributed herewith. Except as +-- otherwise provided in a valid license issued to you by +-- Xilinx, and to the maximum extent permitted by applicable +-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND +-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES +-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING +-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- +-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and +-- (2) Xilinx shall not be liable (whether in contract or tort, +-- including negligence, or under any other theory of +-- liability) for any loss or damage of any kind or nature +-- related to, arising under or in connection with these +-- materials, including for any direct, or any indirect, +-- special, incidental, or consequential loss or damage +-- (including loss of data, profits, goodwill, or any type of +-- loss or damage suffered as a result of any action brought +-- by a third party) even if such damage or loss was +-- reasonably foreseeable or Xilinx had been advised of the +-- possibility of the same. +-- +-- CRITICAL APPLICATIONS +-- Xilinx products are not designed or intended to be fail- +-- safe, or for use in any application requiring fail-safe +-- performance, such as life-support or safety devices or +-- systems, Class III medical devices, nuclear facilities, +-- applications related to the deployment of airbags, or any +-- other applications that could lead to death, personal +-- injury, or severe property or environmental damage +-- (individually and collectively, "Critical +-- Applications"). Customer assumes the sole risk and +-- liability of any use of Xilinx products in Critical +-- Applications, subject only to applicable laws and +-- regulations governing limitations on product liability. +-- +-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS +-- PART OF THIS FILE AT ALL TIMES. +-- +------------------------------------------------------------------------------ +-- User entered comments +------------------------------------------------------------------------------ +-- None +-- +------------------------------------------------------------------------------ +-- Output Output Phase Duty Cycle Pk-to-Pk Phase +-- Clock Freq (MHz) (degrees) (%) Jitter (ps) Error (ps) +------------------------------------------------------------------------------ +-- CLK_OUT1___100.000______0.000______50.0______151.366____132.063 +-- CLK_OUT2___200.000______0.000______50.0______132.221____132.063 +-- CLK_OUT3____12.000______0.000______50.0______231.952____132.063 +-- CLK_OUT4____50.000______0.000______50.0______174.353____132.063 +-- +------------------------------------------------------------------------------ +-- Input Clock Freq (MHz) Input Jitter (UI) +------------------------------------------------------------------------------ +-- __primary_________100.000____________0.010 + + +-- The following code must appear in the VHDL architecture header: +------------- Begin Cut here for COMPONENT Declaration ------ COMP_TAG +component clk_wiz_0 +port + (-- Clock in ports + clk_in1 : in std_logic; + -- Clock out ports + clk_out1 : out std_logic; + clk_out2 : out std_logic; + clk_out3 : out std_logic; + clk_out4 : out std_logic; + -- Status and control signals + reset : in std_logic; + locked : out std_logic + ); +end component; + +-- COMP_TAG_END ------ End COMPONENT Declaration ------------ +-- The following code must appear in the VHDL architecture +-- body. Substitute your own instance name and net names. +------------- Begin Cut here for INSTANTIATION Template ----- INST_TAG +your_instance_name : clk_wiz_0 + port map ( + + -- Clock in ports + clk_in1 => clk_in1, + -- Clock out ports + clk_out1 => clk_out1, + clk_out2 => clk_out2, + clk_out3 => clk_out3, + clk_out4 => clk_out4, + -- Status and control signals + reset => reset, + locked => locked + ); +-- INST_TAG_END ------ End INSTANTIATION Template ------------ diff --git a/proj/AudioProc.runs/.jobs/vrs_config_1.xml b/proj/AudioProc.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..0f282cf --- /dev/null +++ b/proj/AudioProc.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,15 @@ +<?xml version="1.0"?> +<Runs Version="1" Minor="0"> + <Run Id="synth_1" LaunchDir="/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/> + <Run Id="impl_1" LaunchDir="/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream"> + <Parent Id="synth_1"/> + </Run> + <Parameters> + <Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/> + <Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/> + <Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/> + <Parameter Name="general.shortenLongPath" Val="true" Type="bool"/> + </Parameters> + <ProductInfo Name="vivado"/> +</Runs> + diff --git a/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst b/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.init_design.begin.rst b/proj/AudioProc.runs/impl_1/.init_design.begin.rst new file mode 100644 index 0000000..2858507 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.init_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="l24nicot" Host="" Pid="112122"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.init_design.end.rst b/proj/AudioProc.runs/impl_1/.init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst new file mode 100644 index 0000000..2858507 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="l24nicot" Host="" Pid="112122"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.opt_design.end.rst b/proj/AudioProc.runs/impl_1/.opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.place_design.begin.rst b/proj/AudioProc.runs/impl_1/.place_design.begin.rst new file mode 100644 index 0000000..2858507 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.place_design.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command=".planAhead." Owner="l24nicot" Host="" Pid="112122"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.place_design.error.rst b/proj/AudioProc.runs/impl_1/.place_design.error.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/.vivado.begin.rst b/proj/AudioProc.runs/impl_1/.vivado.begin.rst new file mode 100644 index 0000000..553e3af --- /dev/null +++ b/proj/AudioProc.runs/impl_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="l24nicot" Host="fl-tp-br-515" Pid="112051" HostCore="12" HostMemory="16146436"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/impl_1/.vivado.error.rst b/proj/AudioProc.runs/impl_1/.vivado.error.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.js b/proj/AudioProc.runs/impl_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.sh b/proj/AudioProc.runs/impl_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/impl_1/gen_run.xml b/proj/AudioProc.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..fd1fe21 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/gen_run.xml @@ -0,0 +1,213 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1746799386"> + <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/> + <File Type="POSTROUTE-PHYSOPT-RQS" Name="tb_firUnit_postroute_physopted.rqs"/> + <File Type="ROUTE-RQS" Name="tb_firUnit_routed.rqs"/> + <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/> + <File Type="BG-BGN" Name="tb_firUnit.bgn"/> + <File Type="BITSTR-SYSDEF" Name="tb_firUnit.sysdef"/> + <File Type="BITSTR-LTX" Name="debug_nets.ltx"/> + <File Type="BITSTR-LTX" Name="tb_firUnit.ltx"/> + <File Type="RBD_FILE" Name="tb_firUnit.rbd"/> + <File Type="NPI_FILE" Name="tb_firUnit.npi"/> + <File Type="RNPI_FILE" Name="tb_firUnit.rnpi"/> + <File Type="CFI_FILE" Name="tb_firUnit.cfi"/> + <File Type="RCFI_FILE" Name="tb_firUnit.rcfi"/> + <File Type="PL-PDI-FILE" Name="tb_firUnit_pld.pdi"/> + <File Type="BOOT-PDI-FILE" Name="tb_firUnit_boot.pdi"/> + <File Type="RDI-RDI" Name="tb_firUnit.vdi"/> + <File Type="PDI-FILE" Name="tb_firUnit.pdi"/> + <File Type="BITSTR-MMI" Name="tb_firUnit.mmi"/> + <File Type="BITSTR-BMM" Name="tb_firUnit_bd.bmm"/> + <File Type="BITSTR-NKY" Name="tb_firUnit.nky"/> + <File Type="BITSTR-RBT" Name="tb_firUnit.rbt"/> + <File Type="BITSTR-MSK" Name="tb_firUnit.msk"/> + <File Type="BG-BIN" Name="tb_firUnit.bin"/> + <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/> + <File Type="BG-BIT" Name="tb_firUnit.bit"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="tb_firUnit_bus_skew_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="tb_firUnit_bus_skew_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="tb_firUnit_bus_skew_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="tb_firUnit_timing_summary_postroute_physopted.rpx"/> + <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="tb_firUnit_timing_summary_postroute_physopted.pb"/> + <File Type="POSTROUTE-PHYSOPT-TIMING" Name="tb_firUnit_timing_summary_postroute_physopted.rpt"/> + <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="tb_firUnit_postroute_physopt_bb.dcp"/> + <File Type="POSTROUTE-PHYSOPT-DCP" Name="tb_firUnit_postroute_physopt.dcp"/> + <File Type="BG-DRC" Name="tb_firUnit.drc"/> + <File Type="ROUTE-RQS-PB" Name="tb_firUnit_rqs_routed.pb"/> + <File Type="ROUTE-BUS-SKEW-RPX" Name="tb_firUnit_bus_skew_routed.rpx"/> + <File Type="ROUTE-BUS-SKEW-PB" Name="tb_firUnit_bus_skew_routed.pb"/> + <File Type="ROUTE-BUS-SKEW" Name="tb_firUnit_bus_skew_routed.rpt"/> + <File Type="PLACE-UTIL-PB" Name="tb_firUnit_utilization_placed.pb"/> + <File Type="OPT-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_opted.rpt"/> + <File Type="PLACE-UTIL" Name="tb_firUnit_utilization_placed.rpt"/> + <File Type="PLACE-CLK" Name="tb_firUnit_clock_utilization_placed.rpt"/> + <File Type="PLACE-IO" Name="tb_firUnit_io_placed.rpt"/> + <File Type="PHYSOPT-TIMING" Name="tb_firUnit_timing_summary_physopted.rpt"/> + <File Type="PWROPT-DRC" Name="tb_firUnit_drc_pwropted.rpt"/> + <File Type="PWROPT-TIMING" Name="tb_firUnit_timing_summary_pwropted.rpt"/> + <File Type="OPT-DRC" Name="tb_firUnit_drc_opted.rpt"/> + <File Type="PLACE-TIMING" Name="tb_firUnit_timing_summary_placed.rpt"/> + <File Type="INIT-TIMING" Name="tb_firUnit_timing_summary_init.rpt"/> + <File Type="PA-TCL" Name="tb_firUnit.tcl"/> + <File Type="PLACE-CTRL" Name="tb_firUnit_control_sets_placed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC" Name="tb_firUnit_methodology_drc_routed.rpt"/> + <File Type="OPT-DCP" Name="tb_firUnit_opt.dcp"/> + <File Type="OPT-RQA-PB" Name="tb_firUnit_rqa_opted.pb"/> + <File Type="OPT-HWDEF" Name="tb_firUnit.hwdef"/> + <File Type="POSTPLACE-PWROPT-TIMING" Name="tb_firUnit_timing_summary_postplace_pwropted.rpt"/> + <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/> + <File Type="OPT-TIMING" Name="tb_firUnit_timing_summary_opted.rpt"/> + <File Type="PLACE-SIMILARITY" Name="tb_firUnit_incremental_reuse_placed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="tb_firUnit_methodology_drc_routed.pb"/> + <File Type="PLACE-DCP" Name="tb_firUnit_placed.dcp"/> + <File Type="PLACE-RQA-PB" Name="tb_firUnit_rqa_placed.pb"/> + <File Type="PLACE-PRE-SIMILARITY" Name="tb_firUnit_incremental_reuse_pre_placed.rpt"/> + <File Type="ROUTE-DRC-RPX" Name="tb_firUnit_drc_routed.rpx"/> + <File Type="PWROPT-DCP" Name="tb_firUnit_pwropt.dcp"/> + <File Type="POSTPLACE-PWROPT-DCP" Name="tb_firUnit_postplace_pwropt.dcp"/> + <File Type="PHYSOPT-DCP" Name="tb_firUnit_physopt.dcp"/> + <File Type="PHYSOPT-DRC" Name="tb_firUnit_drc_physopted.rpt"/> + <File Type="ROUTE-ERROR-DCP" Name="tb_firUnit_routed_error.dcp"/> + <File Type="ROUTE-DCP" Name="tb_firUnit_routed.dcp"/> + <File Type="ROUTE-BLACKBOX-DCP" Name="tb_firUnit_routed_bb.dcp"/> + <File Type="ROUTE-DRC" Name="tb_firUnit_drc_routed.rpt"/> + <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="tb_firUnit_methodology_drc_routed.rpx"/> + <File Type="ROUTE-DRC-PB" Name="tb_firUnit_drc_routed.pb"/> + <File Type="ROUTE-PWR" Name="tb_firUnit_power_routed.rpt"/> + <File Type="ROUTE-PWR-SUM" Name="tb_firUnit_power_summary_routed.pb"/> + <File Type="ROUTE-PWR-RPX" Name="tb_firUnit_power_routed.rpx"/> + <File Type="ROUTE-STATUS" Name="tb_firUnit_route_status.rpt"/> + <File Type="ROUTE-STATUS-PB" Name="tb_firUnit_route_status.pb"/> + <File Type="ROUTE-TIMINGSUMMARY" Name="tb_firUnit_timing_summary_routed.rpt"/> + <File Type="ROUTE-TIMING-PB" Name="tb_firUnit_timing_summary_routed.pb"/> + <File Type="ROUTE-TIMING-RPX" Name="tb_firUnit_timing_summary_routed.rpx"/> + <File Type="ROUTE-SIMILARITY" Name="tb_firUnit_incremental_reuse_routed.rpt"/> + <File Type="ROUTE-CLK" Name="tb_firUnit_clock_utilization_routed.rpt"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/hdl/operativeUnit.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="tb_firUnit"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> + <Desc>Vivado Implementation Defaults</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + </Strategy> +</GenRun> diff --git a/proj/AudioProc.runs/impl_1/htr.txt b/proj/AudioProc.runs/impl_1/htr.txt new file mode 100644 index 0000000..66abc0f --- /dev/null +++ b/proj/AudioProc.runs/impl_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log tb_firUnit.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/init_design.pb b/proj/AudioProc.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..571ad1caa943e5395e7af6eace20c32dfdb8ca76 GIT binary patch literal 13707 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp$UR*WC3Cunpqg=nOc|`adNR6 z8=2}^m>3wbaj}?~8JZfgbFmtlm>HT!u^F1{nj0BqFck1q@JwK25Mbi6<B|?aO)E+* z&dAJ5SMUr_C`v8JFU~B<FUm|U<`Q6)Vm2~1(PB@|FG@{M&5L3)wA3{+HpyTpV69+< zn6QzFYXPHMVrEWiib6@gLQZ~Sib82|Y7vTIl?v*r#p>1ys>NKMX$mD7nZ*hznMJ9| zC7|#t&d4v#Nm0lHY0gVeEmBBIRmjX!sLU@dQpm|HE>Xx&gP4fyHXViZe2{%58L1$f zf>TRMGV{_w#wO<Erz<4pr6?4o=H{2BDrA=EaS5<Vu^1W~TEKl`<j&=)pOK%NTCAUA zWRjPeoL{0}kXVwTU!GZ<p<hy<Tb7ZMqnn$WsvqjAo0piI3KC1p%qb~K)lDraP038m zE71qJ$4G%o&N(qpy+k1`GcQE}<ecJy)a1;x%+wSGkcoOx?4Uq1GO@^DC}68#gM?Zq zBbSm-equ^$ib7asSz<~)$P*y*z+n#wE<I5Avltp18zG$Sz-6ajT3iHnx_)Y2aY>S) zzOSpRene(YW?qGU8Q3f%10xeXLw$(B`cPg<Vo9QYW&y-C#)ihoahS@*<D8$Ho0ykk z1&Sg87AYoEV=YdIX(h=yMkZWFIhlFc@hPdrndx~7x+VDq3MEPLX_-Z#d6^{&x&?_v zB?=YE=7~lI1|`Kw=_VE?x`t7#Cc1`Ti&!dHAl?q;Qg(qD0ZIX>X^EvdplAa{WN}e) zacT+7er6M6b1lw-oWwlGjMT&wBW^Cv;{4L0<kaGL!zeaGBV7|?^9+UpxWN%zYPbwe z&d)0@DMB^d1kG%y8o1e@6b?7rm5Uz~RiF$4_NQtwC|?+wnrpG8q?TnSr-CvohUeLg z40TNmP=Yy{OC=z&2<%6Nl>F3Ug}nR{g^a|qR0U^OM_+}U%%q~kqDnoG>6T_@cui+F zGSsy+G6IDGJSTn_6a|+Imy};>2{_*t=NExvH#tAIASbmXRS&5MGcW)ZTae-m!ZtFn zFwiqHGXs@Z26_etkOIum%n~GEXkut)hOGb#;gW+{SDsj`04Xz56v{J8GC*ZEB(97s z4Yk;z<){${7aJsJfLM^s5yfh#YhrGRoF%-uGy{rK3lfV!(VhqOP+ESGLQZ~qX0k$l zK}lwAW>sQIW_}(hn=%`lSi-FU8E0y4fNb1qMlP{{#G+!5X%Q~Y3T~M>sS44m#j#uh zEK<yd=9XIAki1n|l9^L%l*N?>&f=7mPQ^vZ`jB*;n3-2ntnZgvQCS?8nUb0x@0(a$ zl3Ju!k&-OHXu%l8W@Mmi2=+ca%^zUo68FnjD9A4=DOSi$EJ@BtO#zqT;Ifq2)B-&R zIs14U8W{L`MA6gjMyy<n76MEbj1G+8Lc!DmlyTvO>`6v0B~ZPikXliYnp{$>kXWLS zlbQ&sQSwq1@{>T-svegByA+eDDZJw4<Kih!EdkZK1*t_Pl>_A+Rzq`LQ_~EF0*(rf z35<*aOk8_NiV`+1mYmcSBf2`Djf=_91b1|t8fwvj>>ujHhcVsb!*rO%2h2azi4POH z$A{T4iw~H8s1qNibdL}7VHO`S|4=7B%;+8;c&hUuS%1L%L!J0Ar+a)@4zv6L^A8o` z!zskincneXWIVj$1MVN{#D@#r<71e$ca32Fp-z1G&^<n2?L*KQ5n6X}DApfFF#k{| zK7!~TAF%e}aET9?f2b25p>&TASo?6e#0ShjREUqF;*vbN#|Nx^I9%cb<{!-X*hSL# z6$=+raXGzX!`NtewXWd)!HkXFB*g|R7jtnr-3JqmVU3Ytk~!f1p-ObneJs%!))pBq z(E;-hRicCLLy5+)rpR!K4w!$a5*>6ONi>GFM21Ur!2Cm%=%D*RqA{!?GF+kq<{zp= z2i?aJjbY<%!zDUk{=tlnBP6w~__%lylj9RplH-d(D|G1H;<Yp!UM*g@e=y@^KS}Yz z$;F<S9G{e&lTG(18D^ajOPGH!qlBESl9D)3S!I|Fy<5WkgBdTRt^VQS;z&%6&nX&+ zyfVysh?X$_V8#hK#aHe?L<y`{HB4%HOPGH!qlB~-S)ehL<Q%%zChT0yMh39r7fXDc z9%?JHP&`D92uVq#e}urw-C+|UFb`oy$QDK}dAH2G%wo{;QGDxPEe3x5D`<T_eEn-6 zmu5gI*ww1V3YmFjsYNBJMH<DLpq1*X#gJVN1(|so#hTz%`b?G<TFm(cB}U9#j0RvL zip|(i*U%Vb=&)I!ZD?+$XKHQ%T91ubplx6RUa@UxVqj{4ZGm<UmttsMW^rb&LP$|! zUU6D}Q7(AZv_f!cZf;^xr4<)sjkcj7(i&}bE>*vL1*pE%oYdUZypm#t^3<YKg%X%4 zsVRC~pq18!hK9&1t?RkmRf`oo^V0H*bwDe?!xM}0Ks!Ug9Ot6U67W78m?(Ioh-$Hd zYf({tQL#d5UUGhEUP)>Z$Z*g$2qO#RZ4k^{j21>LTuep~(i{@gEGD`pASb{Rk2jZ; zYOw-r)q9FUacOdLYH@K|X--ZhXuUU+ktMRJyj<K!n=7I~#)0<ez>Rx4DAx7!bMYd% z5_vb0fhl4sKV)Z;ks)X|l8HHJH<F2^iJpOxF?cr;p|rxs#pRlpq7amtoL`h8zz6`u CPB`!Y literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/opt_design.pb b/proj/AudioProc.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..3cae5c2042c7a3e09cd743dac70a75566073dee9 GIT binary patch literal 13442 zcmd<G<>GP9&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^E@TFDQvmNiEJy&x>L; z(KR$Q&R{5DsbHDF$RNPP)xgN*;8;?Unp;qknU}6mlCO}STB4Askdv95npd2vkd|Mh zkd~TQQd*R%psrf1u8^3QqMu)+kdj)KnG6vE*~)BeV4=m9oS&PUpJyb%#pjt@kdvC5 znpcuol9``p#Kpx?k!+r5WMEJd#b#)(YiweU?1%y`1NZzA((GiFVm3B3AjwY442A;M z3RZ~6W4LsJO7rqSo^}axR!A&XNK_~&N=?qsOUVSe859&C|0L$6z(Ur@2zSV`nCKcA zA^XXJONcADB(Vq_tg6KdA&JG=;E*yjFxKKu&d)0@DN4-DD=9W&<zjXTa*kp%w9qv) zK#3eXE*WGumS>h^D5w@Ilw=g8CZ-f~39w2r8JTD?rxYa{F>^6mM6ntf>l%SV7Vb-D zE>V#0(lYZhi!)MF6re_cv@#o+8)>l@6y;~7CYOL>$Uwohs3^avIEvL!*Tl>aIj~Z= zoC0!E6N^(7ic-^3i$Gyhl98$aGP)?WAit<YK?6d^rxYb?f)h<{eo?AIW?ou;Q7$+Q z=t1o?f!hhO2NY;<=Wb!-V&MX(W7Hs;%*Zu?F(flLRY9Xz(@G(^pj5$D!N9-@jPy)Q z6s#3ea}o=RQ&W(|%}f;Z6ns;2^NT7KG<=;jtrQAU6SEa;6^x8bE%i)HjTNjF(i1cD z6l@jDE%Yo6%oMB@(uz`36$&yci!+lGa};b9Obm=c%D}>9nMEa~U{OO80}~VE*bXH+ zwxzfvoD-8XQWZinb3uvE6A?t%l7K6hs!M)eszPyU2{<K1xHv16Ky)IdA#mU_8JlXc zLFA%XjV*MIO_8H8l#5BVSbzndVQjdpT=P;uF2Lm+aFSK1QE<*kP0lVZ&9zcUGEYfK zHAzX~LiYN49C6mi$kmN2&P=gIm^rT4GB-5UGcf__fyS1Jsi~f&r4g3sGB&k@$0Aa6 z8JnSIPJg1KON2|vCqF$iS;4;m6tq>~w2UpHyt#O|0y02ZM70>4f~A-Z4Gd9}F$))y zp#r#g%*!mvOw7qdF%FdD;N@~W5yr7`u^8$ZDi|qoDL8|2n|o?rY7y9h3XXXx3NGL> zUm-ZPq_hC)ViYT)(NcsHmjlEDAVUcmNH|@r#gQ(07`Zxer3(XW>B0a<x-d2{GS@S* zFht~H13d!+kP+bAij;|sjVwW`z{1F>!WgwKOe8kUjTDSjxRk&FtKf_%$-$u>3@Q!% zQcH3&i$QfAs7yx*^;on}cj0n^g}M<WuJ9T;9K+omElBjZbf7_^;E9q^hNI_Gh)8rS zTuep^Mw(pepenFPA+#VRu_P6o+Mtz)OJYf)f^&XOPHHl!?Sd`06H`91aj_VI3KTvr z9%P%a8HY2@Kn5UdCLCuQa1<2%j9fjW6%={~hV&{cLWzhraBvza7)fx65g$*1Xqmx+ z%M6kkjP#6PZ3eXHrf(1%(JP1(&_cqU%M}_Dpm0bfA|DLXfN>=v?Xhq%87qKV^+Bm6 ziACwDSnHxDMy`mE{F1~R1?P;!%)DZSkbDKFRE3bD#Jplq!#y=cAw0Dx71Xs<aP)Ig z@GH$tN-a{zPeW0XnO9s=tl(Ufnpl#WqL2tt4{q&S7#nFZ=NFWKdM5^8B8uJEP}k7H z7}R)%xB3IQGy_V(-4oSfh0MIN)S{BqB8_5AP&Y%hSRp4rF-4&uGf$&f6VlGLv_LnN z4P>gZ1#)}Wl1m)s^;A#~P9ZrpCkJkXi6z2_C{|-bT@#efp(9$-)8JBrBt2sVn4yHz z)@B^#+9XD<ew^hRs0hQ_nK7VSzBA^M!|zE%F9NwAkj$kA2_w~Fg=A=A05MZ@a*9Et z1`0)~x%p*?xF{B2m0~tBGlxV37Z(T2QgA{66H%aeHMT&Bnn2<bzlnm87?%jRah8}@ zqEJwjUyzs%ZX08(&1jMWebEw;36~KhfJ`7QJT!L=-vnjPrG+gbuzMJL;>xEeap6b_ z;4}s%uq8An;u4yvf)P6xYjAmLD%MVxJz64A<5Gbn0#gOB9+W^ZG%+zYwlp-cK<Qvp zxg0U3SN(0sC4<k4*dscDqKJmY1UOPbgbB8o^&>83%@mA;xdfd;-P{%OF+2Y#ojPx{ zST^D^fW)$y0)~DfVw}8TFauB@7t1i10j^;%V*^u5JtIqVL<ic~NYB6!K7c}c589SX zgIND!kNyruSR)Tqb)eTG`DqH}8JWr8LD0maRB+g0uUqs$m5Z^ZDWr127LB0P0cn$f zS>SYHW`;5v89`h+F;_5><&qB0$V@9y2ue-QEG|hc!Zuull452sa-{?Z`6vXX<|Y;> zB<Dj~J>Z5)aF9<iwCPg>H4frQ6jcbR{4@m^M<E%~jw{IrjT3_m0)>OAi5Vmun7J5{ zybSR-xIt!MhSDIzGFAvl&gKeuyg8f`wmX**=|0Dv#LFlu;qa$4aFl`xaC$~-I)xCI zo-GuNB)P-`@{3Cp0*dmJQ;Um1qr`ZIv~YG=EfjE=GMtl&GnXD|zQ&$RiYQ7ZxFZmp zI=}?BB;rY260uY;;^X3R%gn<&hMh%(VQgG1mY^XgT`p~?aiGKo9l8b$LPLr^@Q@cs zCO9LpAhj4<8$KPa4)){nffQOG^GPt9c4-qdVKK1vb1bnjVx(ZCz$F)!T9lbq2?};- z?gw>T@<3yZWtk<F*dl?Xi52JkJh)+ySth8FD3hRcm`6Zw22nVV5QUZ!9l7jbDbYv) zf0z#E#OH<<EV^9U&|p!3W>0Ycd^iVzK9`g~d^HAW&@C@LRUx=EH#f1Uk_&r1pwA^` ziwoe;BF4o?vP?fCSC#HS0UWOFV&eM3Sfii-NhJ!{L5+ffvNL#$2{!1YfDj6T4mm-D zU^8{8DGK>Xpj8jWpcNlwsd?a;b>u!?v4TPk*Fc4aE{@=M!^U-utwsSc0*Ng^YS07? z6hMAQ5yT>dB9F-d>ENnS0G0m5CFsLeFy%D088VOnvkHwS!e$f9U_dhjoeT3bW`7n* zB@s4*%t6vY1QVnK6x#SY9K=K_$Q&Z9LsA0L0kRoa`xUH|c77gg8IGKCGytuVGv_je zF0;X0MuNG(2KxXYnZrT2nwzFL2LRFAL6i;$k<=Ot$S=n}Zi3PpOyn{T&&<hDD9+9- zP)N?nPtH~V4eRHngBP8F1&Sf7+zL{QGV@auY(OI+dBxzFBW6QG6D_ua@*>cj6nJ*l zz#xjv*hJUR7-irGcO+sulGsS3<}5evAzbtrq_8FHMf5r;elA{cBTm6NCp9q-zTgNY z?y!uKLh}ZSR$@bR=yePNhz?FsE@4=g%Rectv^W{uG{)9F`oPFlq*@GGae=%xQneUm zC6#J1jyw-m2VGSMW`oyAAr1T@1Q83TK+D^Bq?k=C5X*06xTIk2EzXCmc}>m-tpP4c z)dNpMgI8)=85n@3q><UMF=)^v3OKPEv2n40)@y+T42_Kqjlm0s&B5#Ucq(`xi+8b% zI6+eq%oRwXHhj~Q2bWk-Drj9UsEZC=u??E62Ti^+Ss26DdSWcdj$$=5*R=p;PWU8z z10$EaYOw-nIcl*EsLTvcECQ{LhH#vVGE2ZKVqv1-8C}(4=#pWD)V$>U(!7$?qSO>U z&?;vpBMaoU(x3%QW=0^=0!*4i2B26>bWKo3#l5(sK;!<<l#`-RT$&6Te@rXQ$pQ6` zq?n8>k&Q)N+zv7gRE)#dP(B$HS%Z&@2eAU*3OO^FBQk>_IAg#w11A@|g}H^Exv2qB z*<m>&m$++1YH}&KBLiEt4oeBFre?;*23nj2If;3W8L5dWMyXuMh~?S}x@nm?sR|`Y z@oAYwp?R4lpf%j_`2{7ZDSAZ(B?`I)N!TR|k`#1{3M#Nm78O)PaTpru8ktxap{&c8 z#z<((0-aokxfjBYOVTqy!8x%cF(*G=A+uPav;Z^@16phcnonXeG%_~QVo%O5N=;AA zi()sl1g&I2S)4%mg8f}gT*@ICpmp}er8y<VptUxjRra9SuFO0Ia7?K}8#AUBX2_Z0 z9V1tXenx(7YO#Kfkx5==a(;<^L1IaUetBkbhJHzbZdpc3j&5#hs(z@eZeC(;Do89X zGpD2|RX4SyG$k`JuS6fT9YEi)G$k`XpeR3Cuc$PySU)qjASd2XA6EhqV3ZmVdo38F zSdDZI%}`Po=>-TPBSc{oARb(5;h=&Kv@r&<<~{|q(6S_7At^I2v8YlZu_!qsvn&;q zI+%^j%;3xK*^Di8O)LzM*CQQf<Wc}dtZFf2A5BJLu|iU6YMw$mWYspf;AE9zHZwAW zm)ksCT*;ut_XYWxc_l^#TzPbg-~56Sy_DpFD0V}0T|;9FP!SEUC-}IyT=P;Cf>M+7 Ii&6v_0oSb1kN^Mx literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/place_design.pb b/proj/AudioProc.runs/impl_1/place_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..738422c003fdb4610d67e8106251e732100031e9 GIT binary patch literal 2853 zcmd<G=i+hB&&^HDOR-W=E#?wnkzz76*5WM7EK5wuFG<cZ;^X2e$Vp62jZaA}&P>mX zVl~k<G&Ig&C}62znZU>(z{J(S$mQTzQj(fmP?DLKu27P%ke*tikf@N8nVgzeoT`wP zU!;(hnpjd=l&YYvTCA>+n3tlTU!;(dT9%m%5dzuEY;0hm#g?3(o133!B*4YznOl&P znwy$el30?NpJ&9y#Zi%Lo@iuXP!h#vXs&B)Vvg*H0xkph{1VdaWR+qzHZ&l~PRk62 z0@eyvh{rv+#DY?DQWJ|o-iG=X5{j%+Ocutt4US?pG}pBN1tQ!mJ1&`^(!4y7NiIRo z3gww4849Y!3MCmusfj7YTmr08OhzVJ%qd05M$BA{7E!E5#=1tJ5P+ND!X*mQnU<NC zS)7rY0y6@v)!Y&}O1Zc=3=~|8it>w!!O>}Hf$XbPE~fyHr&1M)Qqxk4K=E0Uk*WYP zyC}6Fzo<k(1475A6eVkdV>dUyC{-adFD<_)7aUo7U`s6wkSv8*gc5vlTsp{JPApbP zR46D)P0r6t$pl3?$YxM-NX$!tB~2qEBqK4BCX0!#kr8sD7=eMB&E@1<np2VqNjRY7 zl$w{Al#`kQjws}utWXM0w}}e5i4~c-rMU|EX`mG691vOzN^OQlTAX0zX*v1jkTl0; zY@lmqXo#FxoVkR!f=d#Mz&T&FSRo{_I2)Xq4GoO7xRdkqic5+TGxJJ{jX1d20zl<R zQ52h@g|49iDD}gOkRUD|u7Hfh;#39IVn`{(Y-nJJY5)rtlc9o<IF~5I7zNL~%#zH+ zoXje4l7pHGava>$6e3Jz<6<$?GgL5A=TgOLu7Y1`Nls>Qi9&Eb*pt}o`M}6kq*@%3 znVYJhQLJgDkX%rzU<(RMYlYOD#De0~6bM&O!8bKGzo=3{!`DgEN}(V%F&iRbt&pCW znFnL16{V&s6l7EuXC^1+pa_;_7L}Aj#kd4`q?k=C5XF}amlVvc#SphDB<F+5(2`U= z1yJ#6U|<DC=xk#{GjlydQzIjGE>;6Q0|NsiHZB%pBXe_*fT6LGr6st)H3#P{o(djF z;g`V0q*^S%0x#s;xm+PJ2ntT3f>NPI!8s!}IlH(t*Gj?C!Z0y4$;^ZcIsa~8<YM6h zrw7!e(9g)#!-yW<V82-z7$_izI!sW{z*qr$@WXts0FMN47${gPpvMC!NMOQ<m;eV5 zvZMXb0>+ff7#c7N*n@?h!C+`YjbJdt77WG)2FSr+!6g!sk*WY`H7H~jD}dSum0SWG zQcQ*hNJUE&s8lhrG|6Bn;Hcn$q%CK(Ak^a0aLr2r6=u*71Qj!MO+JRE^a{5IMlN^N zVg=8<wESWnP$3eYSOjVoKse4tnI+(20VWD+Oo3EEs&j?ZyyX1Sypq(S)D%5XIm~2a zfm~y<a50$}8nJLO8Cifyb5Ox<6vbkqYl2d8+Hi5H7AvGBX6B@(fQ>OmuFJ7iye25+ zc{G<Wv{eZ;1kx<xkYX~kgqIj<Tq@A$OwKRONm0nlFHr#3I0}h5ISQG1#U+V($*INQ z#*!JR<p56~F<c_96`8O)C$U69wOCIN+~{C7GBAT%z`?~925!e1NpVTI6=f>;CRQpa rSSlErSs9pF85k)T85o&@%QhneGvr#0kBiGSFGV3JH95a1MSu|iC6)mh literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/project.wdf b/proj/AudioProc.runs/impl_1/project.wdf new file mode 100644 index 0000000..a864247 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/project.wdf @@ -0,0 +1,31 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3132:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:466c6f775f506572664f7074696d697a65645f48696768:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:3139:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00 +70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00 +5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3661346466313732396165393434316161366364373034663438313832636337:506172656e742050412070726f6a656374204944:00 +eof:3846490189 diff --git a/proj/AudioProc.runs/impl_1/rundef.js b/proj/AudioProc.runs/impl_1/rundef.js new file mode 100644 index 0000000..975782d --- /dev/null +++ b/proj/AudioProc.runs/impl_1/rundef.js @@ -0,0 +1,45 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "init_design", "begin" ); +ISEStep( "vivado", + "-log tb_firUnit.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/impl_1/runme.bat b/proj/AudioProc.runs/impl_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/impl_1/runme.log b/proj/AudioProc.runs/impl_1/runme.log new file mode 100644 index 0000000..e4b9adb --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.log @@ -0,0 +1,315 @@ + +*** Running vivado + with args -log tb_firUnit.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Fri May 9 16:04:45 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source tb_firUnit.tcl -notrace +create_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:28 . Memory (MB): peak = 1680.586 ; gain = 325.840 ; free physical = 4615 ; free virtual = 14617 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: link_design -top tb_firUnit -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.266 ; gain = 0.000 ; free physical = 4169 ; free virtual = 14166 +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] +WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2176.578 ; gain = 0.000 ; free physical = 4046 ; free virtual = 14058 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +8 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:14 . Memory (MB): peak = 2180.547 ; gain = 494.023 ; free physical = 4046 ; free virtual = 14058 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.64 . Memory (MB): peak = 2259.453 ; gain = 78.906 ; free physical = 4033 ; free virtual = 14044 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: b7dde4dd + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2715.445 ; gain = 455.992 ; free physical = 3596 ; free virtual = 13622 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Phase 1 Initialization | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Phase 2 Timer Update And Timing Data Collection | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Retarget | Checksum: b7dde4dd +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Constant propagation | Checksum: b7dde4dd +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 144339148 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Sweep | Checksum: 144339148 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 4 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 144339148 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +BUFG optimization | Checksum: 144339148 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 144339148 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +Shift Register Optimization | Checksum: 144339148 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 144339148 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +Post Processing Netlist | Checksum: 144339148 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 144339148 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 144339148 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +Phase 9 Finalization | Checksum: 144339148 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 0 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 4 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 144339148 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 144339148 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 144339148 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Ending Netlist Obfuscation Task | Checksum: 144339148 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +INFO: [Common 17-83] Releasing license: Implementation +26 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 3059.297 ; gain = 878.750 ; free physical = 3291 ; free virtual = 13313 +INFO: [Vivado 12-24828] Executing command : report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx +Command: report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt. +report_drc completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 981aeb64 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299 +Phase 1 Placer Initialization | Checksum: 981aeb64 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300 +ERROR: [Place 30-494] The design is empty +Resolution: Check if opt_design has removed all the leaf cells of your design. Check whether you have instantiated and connected all of the top level ports. +Ending Placer Task | Checksum: 981aeb64 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300 +41 Infos, 28 Warnings, 27 Critical Warnings and 2 Errors encountered. +place_design failed +ERROR: [Common 17-69] Command failed: Placer could not place all instances +INFO: [Common 17-206] Exiting Vivado at Fri May 9 16:06:02 2025... diff --git a/proj/AudioProc.runs/impl_1/runme.sh b/proj/AudioProc.runs/impl_1/runme.sh new file mode 100755 index 0000000..997d61f --- /dev/null +++ b/proj/AudioProc.runs/impl_1/runme.sh @@ -0,0 +1,44 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .init_design.begin.rst +EAStep vivado -log tb_firUnit.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace + + diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit.tcl b/proj/AudioProc.runs/impl_1/tb_firUnit.tcl new file mode 100644 index 0000000..3a86596 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/tb_firUnit.tcl @@ -0,0 +1,283 @@ +namespace eval ::optrace { + variable script "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit.tcl" + variable category "vivado_impl" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } elseif { [info exist ::env(HOST)] } { + set host $::env(HOST) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "<?xml version=\"1.0\"?>" + puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">" + puts $ch " <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">" + puts $ch " </Process>" + puts $ch "</ProcessHandle>" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +OPTRACE "impl_1" END { } +} + + +OPTRACE "impl_1" START { ROLLUP_1 } +OPTRACE "Phase: Init Design" START { ROLLUP_AUTO } +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param chipscope.maxJobs 3 + set_param runs.launchOptions { -jobs 6 } +OPTRACE "create in-memory project" START { } + create_project -in_memory -part xc7a200tsbg484-1 + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 +OPTRACE "create in-memory project" END { } +OPTRACE "set parameters" START { } + set_property webtalk.parent_dir /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.cache/wt [current_project] + set_property parent.project_path /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.xpr [current_project] + set_property ip_repo_paths /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo [current_project] + update_ip_catalog + set_property ip_output_repo /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.cache/ip [current_project] + set_property ip_cache_permissions {read write} [current_project] +OPTRACE "set parameters" END { } +OPTRACE "add files" START { } + add_files -quiet /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.dcp +OPTRACE "read constraints: implementation" START { } + read_xdc /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc +OPTRACE "read constraints: implementation" END { } +OPTRACE "read constraints: implementation_pre" START { } +OPTRACE "read constraints: implementation_pre" END { } +OPTRACE "add files" END { } +OPTRACE "link_design" START { } + link_design -top tb_firUnit -part xc7a200tsbg484-1 +OPTRACE "link_design" END { } +OPTRACE "gray box cells" START { } +OPTRACE "gray box cells" END { } +OPTRACE "init_design_reports" START { REPORT } +OPTRACE "init_design_reports" END { } +OPTRACE "init_design_write_hwdef" START { } +OPTRACE "init_design_write_hwdef" END { } + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Init Design" END { } +OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO } +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb +OPTRACE "read constraints: opt_design" START { } +OPTRACE "read constraints: opt_design" END { } +OPTRACE "opt_design" START { } + opt_design +OPTRACE "opt_design" END { } +OPTRACE "read constraints: opt_design_post" START { } +OPTRACE "read constraints: opt_design_post" END { } +OPTRACE "opt_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx" } + set_param project.isImplRun false +OPTRACE "opt_design reports" END { } +OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force tb_firUnit_opt.dcp +OPTRACE "Opt Design: write_checkpoint" END { } + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Opt Design" END { } +OPTRACE "Phase: Place Design" START { ROLLUP_AUTO } +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb +OPTRACE "read constraints: place_design" START { } +OPTRACE "read constraints: place_design" END { } + if { [llength [get_debug_cores -quiet] ] > 0 } { +OPTRACE "implement_debug_core" START { } + implement_debug_core +OPTRACE "implement_debug_core" END { } + } +OPTRACE "place_design" START { } + place_design +OPTRACE "place_design" END { } +OPTRACE "read constraints: place_design_post" START { } +OPTRACE "read constraints: place_design_post" END { } +OPTRACE "place_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_io -file tb_firUnit_io_placed.rpt" "report_utilization -file tb_firUnit_utilization_placed.rpt -pb tb_firUnit_utilization_placed.pb" "report_control_sets -verbose -file tb_firUnit_control_sets_placed.rpt" } + set_param project.isImplRun false +OPTRACE "place_design reports" END { } +OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force tb_firUnit_placed.dcp +OPTRACE "Place Design: write_checkpoint" END { } + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +OPTRACE "Phase: Place Design" END { } +OPTRACE "Phase: Route Design" START { ROLLUP_AUTO } +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb +OPTRACE "read constraints: route_design" START { } +OPTRACE "read constraints: route_design" END { } +OPTRACE "route_design" START { } + route_design +OPTRACE "route_design" END { } +OPTRACE "read constraints: route_design_post" START { } +OPTRACE "read constraints: route_design_post" END { } +OPTRACE "route_design reports" START { REPORT } + set_param project.isImplRun true + generate_parallel_reports -reports { "report_drc -file tb_firUnit_drc_routed.rpt -pb tb_firUnit_drc_routed.pb -rpx tb_firUnit_drc_routed.rpx" "report_methodology -file tb_firUnit_methodology_drc_routed.rpt -pb tb_firUnit_methodology_drc_routed.pb -rpx tb_firUnit_methodology_drc_routed.rpx" "report_power -file tb_firUnit_power_routed.rpt -pb tb_firUnit_power_summary_routed.pb -rpx tb_firUnit_power_routed.rpx" "report_route_status -file tb_firUnit_route_status.rpt -pb tb_firUnit_route_status.pb" "report_timing_summary -max_paths 10 -file tb_firUnit_timing_summary_routed.rpt -pb tb_firUnit_timing_summary_routed.pb -rpx tb_firUnit_timing_summary_routed.rpx -warn_on_violation " "report_incremental_reuse -file tb_firUnit_incremental_reuse_routed.rpt" "report_clock_utilization -file tb_firUnit_clock_utilization_routed.rpt" "report_bus_skew -warn_on_violation -file tb_firUnit_bus_skew_routed.rpt -pb tb_firUnit_bus_skew_routed.pb -rpx tb_firUnit_bus_skew_routed.rpx" } + set_param project.isImplRun false +OPTRACE "route_design reports" END { } +OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT } + write_checkpoint -force tb_firUnit_routed.dcp +OPTRACE "Route Design: write_checkpoint" END { } +OPTRACE "route_design misc" START { } + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { +OPTRACE "route_design write_checkpoint" START { CHECKPOINT } +OPTRACE "route_design write_checkpoint" END { } + write_checkpoint -force tb_firUnit_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + +OPTRACE "route_design misc" END { } +OPTRACE "Phase: Route Design" END { } +OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO } +OPTRACE "write_bitstream setup" START { } +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb +OPTRACE "read constraints: write_bitstream" START { } +OPTRACE "read constraints: write_bitstream" END { } + catch { write_mem_info -force -no_partial_mmi tb_firUnit.mmi } +OPTRACE "write_bitstream setup" END { } +OPTRACE "write_bitstream" START { } + write_bitstream -force tb_firUnit.bit -bin_file +OPTRACE "write_bitstream" END { } +OPTRACE "write_bitstream misc" START { } +OPTRACE "read constraints: write_bitstream_post" START { } +OPTRACE "read constraints: write_bitstream_post" END { } + catch {write_debug_probes -quiet -force tb_firUnit} + catch {file copy -force tb_firUnit.ltx debug_nets.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + +OPTRACE "write_bitstream misc" END { } +OPTRACE "Phase: Write Bitstream" END { } +OPTRACE "impl_1" END { } diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit.vdi b/proj/AudioProc.runs/impl_1/tb_firUnit.vdi new file mode 100644 index 0000000..157021a --- /dev/null +++ b/proj/AudioProc.runs/impl_1/tb_firUnit.vdi @@ -0,0 +1,325 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Fri May 9 16:04:45 2025 +# Process ID: 112122 +# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1 +# Command line: vivado -log tb_firUnit.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace +# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit.vdi +# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-515 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4317.128 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16533 MB +# Swap memory :4294 MB +# Total Virtual :20828 MB +# Available Virtual :15874 MB +#----------------------------------------------------------- +source tb_firUnit.tcl -notrace +create_project: Time (s): cpu = 00:00:14 ; elapsed = 00:00:28 . Memory (MB): peak = 1680.586 ; gain = 325.840 ; free physical = 4615 ; free virtual = 14617 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: link_design -top tb_firUnit -part xc7a200tsbg484-1 +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2080.266 ; gain = 0.000 ; free physical = 4169 ; free virtual = 14166 +INFO: [Project 1-479] Netlist was created with Vivado 2024.1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] +WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2176.578 ; gain = 0.000 ; free physical = 4046 ; free virtual = 14058 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +8 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered. +link_design completed successfully +link_design: Time (s): cpu = 00:00:05 ; elapsed = 00:00:14 . Memory (MB): peak = 2180.547 ; gain = 494.023 ; free physical = 4046 ; free virtual = 14058 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +Running DRC as a precondition to command opt_design + +Starting DRC Task +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:00.44 ; elapsed = 00:00:00.64 . Memory (MB): peak = 2259.453 ; gain = 78.906 ; free physical = 4033 ; free virtual = 14044 + +Starting Cache Timing Information Task +INFO: [Timing 38-35] Done setting XDC timing constraints. +Ending Cache Timing Information Task | Checksum: b7dde4dd + +Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 2715.445 ; gain = 455.992 ; free physical = 3596 ; free virtual = 13622 + +Starting Logic Optimization Task + +Phase 1 Initialization + +Phase 1.1 Core Generation And Design Setup +Phase 1.1 Core Generation And Design Setup | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Phase 1.2 Setup Constraints And Sort Netlist +Phase 1.2 Setup Constraints And Sort Netlist | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Phase 1 Initialization | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Phase 2 Timer Update And Timing Data Collection + +Phase 2.1 Timer Update +Phase 2.1 Timer Update | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Phase 2.2 Timing Data Collection +Phase 2.2 Timing Data Collection | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Phase 2 Timer Update And Timing Data Collection | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Phase 3 Retarget +INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0 +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 3 Retarget | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Retarget | Checksum: b7dde4dd +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells + +Phase 4 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 4 Constant propagation | Checksum: b7dde4dd + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Constant propagation | Checksum: b7dde4dd +INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells + +Phase 5 Sweep +Phase 5 Sweep | Checksum: 144339148 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3027.281 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Sweep | Checksum: 144339148 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 4 cells + +Phase 6 BUFG optimization +Phase 6 BUFG optimization | Checksum: 144339148 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +BUFG optimization | Checksum: 144339148 +INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 0 cells. + +Phase 7 Shift Register Optimization +INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs +Phase 7 Shift Register Optimization | Checksum: 144339148 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +Shift Register Optimization | Checksum: 144339148 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Phase 8 Post Processing Netlist +Phase 8 Post Processing Netlist | Checksum: 144339148 + +Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +Post Processing Netlist | Checksum: 144339148 +INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells + +Phase 9 Finalization + +Phase 9.1 Finalizing Design Cores and Updating Shapes +Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 144339148 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 + +Phase 9.2 Verifying Netlist Connectivity + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Phase 9.2 Verifying Netlist Connectivity | Checksum: 144339148 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +Phase 9 Finalization | Checksum: 144339148 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 +Opt_design Change Summary +========================= + + +------------------------------------------------------------------------------------------------------------------------- +| Phase | #Cells created | #Cells Removed | #Constrained objects preventing optimizations | +------------------------------------------------------------------------------------------------------------------------- +| Retarget | 0 | 0 | 0 | +| Constant propagation | 0 | 0 | 0 | +| Sweep | 0 | 4 | 0 | +| BUFG optimization | 0 | 0 | 0 | +| Shift Register Optimization | 0 | 0 | 0 | +| Post Processing Netlist | 0 | 0 | 0 | +------------------------------------------------------------------------------------------------------------------------- + + +Ending Logic Optimization Task | Checksum: 144339148 + +Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3059.297 ; gain = 32.016 ; free physical = 3291 ; free virtual = 13313 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 144339148 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Starting Final Cleanup Task +Ending Final Cleanup Task | Checksum: 144339148 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 + +Starting Netlist Obfuscation Task +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +Ending Netlist Obfuscation Task | Checksum: 144339148 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3059.297 ; gain = 0.000 ; free physical = 3291 ; free virtual = 13313 +INFO: [Common 17-83] Releasing license: Implementation +26 Infos, 28 Warnings, 27 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 3059.297 ; gain = 878.750 ; free physical = 3291 ; free virtual = 13313 +INFO: [Vivado 12-24828] Executing command : report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx +Command: report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx +INFO: [IP_Flow 19-1839] IP Catalog is up to date. +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt. +report_drc completed successfully +INFO: [Timing 38-480] Writing timing data to binary archive. +INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp' has been generated. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t' +INFO: [Common 17-83] Releasing license: Implementation +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +INFO: [DRC 23-27] Running DRC with 8 threads +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 8 CPUs + +Starting Placer Task + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 981aeb64 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3277 ; free virtual = 13299 +Phase 1 Placer Initialization | Checksum: 981aeb64 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.14 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300 +ERROR: [Place 30-494] The design is empty +Resolution: Check if opt_design has removed all the leaf cells of your design. Check whether you have instantiated and connected all of the top level ports. +Ending Placer Task | Checksum: 981aeb64 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3167.152 ; gain = 0.000 ; free physical = 3276 ; free virtual = 13300 +41 Infos, 28 Warnings, 27 Critical Warnings and 2 Errors encountered. +place_design failed +ERROR: [Common 17-69] Command failed: Placer could not place all instances +INFO: [Common 17-206] Exiting Vivado at Fri May 9 16:06:02 2025... diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.pb b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH%<U57tPqr1T$)o-e1M1Hfe=H3B*OxE#sjJh0Ia$Rz5oCK literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt new file mode 100644 index 0000000..155b741 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpt @@ -0,0 +1,49 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Fri May 9 16:06:01 2025 +| Host : fl-tp-br-515 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_drc -file tb_firUnit_drc_opted.rpt -pb tb_firUnit_drc_opted.pb -rpx tb_firUnit_drc_opted.rpx +| Design : tb_firUnit +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Fully Routed +--------------------------------------------------------------------------------------------------------------------------------------------- + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: <entire design considered> + Ruledeck: default + Max violations: <unlimited> + Violations found: 1 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: <none> + + diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpx b/proj/AudioProc.runs/impl_1/tb_firUnit_drc_opted.rpx new file mode 100644 index 0000000000000000000000000000000000000000..18db1c8b86ff645e9e8d8ac51ecab5deffcb2a5c GIT binary patch literal 1631 zcmb1UU|`@76%dtTGcqtV(=%4$4RDGN%ghf-EiTO|DRyFDVBlgl)H4#2C`pP>%Pb1b z%PfgcDN2sdFDOY((JLybkof=q|9}1r#sY>4h6YB44o1caj0}^Qxm|*s6`-bkV`X6A zU=(1MVh>L&%FE14SK@GXb9V|0)-}{J_RTCV&df_!fQTq0=A|e&`}?_hy2pq4`-C{U zyDGS(7H6jCDFhVd7o--IWTqAyaX}R88Y&xFFgTrN^-Ikx$w)0yD9K2LnUj}agl<k* zeojeZda6PJ#Gp!r%wmP&)DnfvJg~;((xRf&yps47uyuM03eNd?X_@JzMTsSu`FRRS ziFw%yFe8dnb5fH_6f_|2&{W7REiO?=N(CENlCKcv?Ch_QU!>sf=c0qnM`+4H&Q8uR zDgqgqjAAL&J{^!7^NUhIUd&fWNi9h&%FWD61v}eQ-yh+u(t?8gq7sF)d`MUnWabrv zw1VAkpr@eVSpxQSX?l8UaY<^5LP@?taY1TwW?Ci4tm0G!Xh4>NqXgtGb=Q>45(Ssk zvdrXEq$p5VNGr`t1_ul@_}oK1L0(SD1bHK;5@an%e}s#(LRw}{ssh5uwEUc${Bls7 z7gy$$Bvx2)ae)FZ9v&}{P*o^P%qdMZRES26m{=|a<?@WwqEwiCW--jwNWqR_Bx;fZ z8*N0uXd_Tqf&2iC1yI5%%S?d;8)`g30+@>{C^ZcdM<8t}kU&FKSz4T0q>x^knUV^Q mrQH0YRE5mEwEUu6a5B^L8cofx;4U6b&7d6R?&mUOQ!@aeur9Lz literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp b/proj/AudioProc.runs/impl_1/tb_firUnit_opt.dcp new file mode 100644 index 0000000000000000000000000000000000000000..1a856967414f7c51b75008160279cc1954434f4e GIT binary patch literal 21007 zcmWIWW@Zs#U|`^2IMA>%D*SAp%TY!K22)lB26hHchLq$2y^7qN&=6Jz=9AoV8GEOA z=g)Q!X}$h+y~IW@am5){7B1VA6AGM;9)6Tq-OeU4E7LHUm$~#`?c0hqCR|++snzE# z@5|ino?$CfCi=o4n`7<sOA%p9+K*<W**^Yre%_>6&0k%ELpdv!EX&c;yp;4MH%+aN zJJ|lCk2Q<kt%o~HR{NO7USBeM@;;v!^IB6*(_0LiRYMtDcrQrh6foL+VG0rLdH=3H z<9ipMjRbROpnCclEuMs=qfHytWVJM2`0cV`3%~WmO8L+34NAva-%s$LxJqNs$vWS6 zYS)tkIhF4j$vo_Sn>!&&G)rpI{N8<gd*yTOV{a#>>^QV$&ej?4jy9xcdPW2Z*M%u$ z281OpetL!T%r>3=-PQAXqvWQDt+lj^(c(DjY`IwPU4iPeMW2LYLOZ5Ud3*2o^d*NB z>Tb?2OKR_vwpkW9XU3)Wtjxo=ectsQU+n4=ck*(l+10PnZ#PZ-AK-uC@0`yuA%_FB zl}+}neg192w~mE#YyN!NH)~7lHTRAhjZck*`}Je_xo=;H{C1=;kneQ<*?*sIUicQ6 z^W}+jU)xdH`&`wZmYln3;K1?wUi#4k-|O8P=YKQ0r0o3f?uEwo8wd7T|M~lbZ}aT! z@{f{CezBuQ`6ai4kY@}G3|Wi}41x@t3?)hNX_-Z#d6^~g#U-UldS&p)ukG9Dci2I| zrMmv;6op1!F{cF~f+m_17I6s3M%`cgSaiDe>u))a_8)(~q@gWs>D;;I)}gJ-FZc(3 zi#_!A@!`LvwZYea2&n(7Xzevuy>>2fI&YV(_VJ0iks<pJo=N$@mu)@CJm;g58k@~$ zjkd4*7tHx`tFwu}c2)sLSwT;}r|d5QVI67riIZ6R!=6oE=oq<hvcK$Wo@D|t^91e} zu`Dc1*>|Bu`If-On|it1`#dFWGn39dnV;~{*stQbhTfZni5+eJ@1L=3DpQ;`SLDfN zm6<B#X48-Etjw~D?#z$7boA+}gna+1kIbl{!zqz>@dX0|Lo*`-g9x_J$w)!uj@rJ1 zS%(b-T;Bic`rB~pidu`AUF#8%W^cvZh31MUrPEhV=-FcS@qYNZg%-A7ww>8CbFNNv zv-~rMzpV=^>TabyO^!Sy9$9pqJ!8(v#C+X3VhV>imD@OX$E3V|Fe$Q0`bPQWA~x#^ zRbd;MLZ#-9`<1+{Rv0)3UoTZX*0Ei?{=dbkZ*P}co>+7v?^EsVFsB8{k0c{b8@Kwq z^N38ov382f$^^Y585KMn6Ww|L{ZU^$gZbse$@bPO*Badq`mO!Op*lZsXIAT<TSWnL zWlpH-s9pY={c4{^?Vj3u2k%OC9Y{RlmGS1J?qzNCAX4Z&WU!lofnf&&Mi3Pw7A5AQ z6d>IvR&p3`DJTtMXcj5vn44knG5q$@-2dfTd&|Oa6eoI@)a|K%w|I8a&Gq-b$E`6x zk@q^QYT6E^xE0FBa@uTuPp_2i)4X$S;;A+5E!*Pfum4!E)+77>JoDSz|L6+Y?a%GM zEYsLJ`>CDG_PfECj#XvG`z-%iBWU`N{rvpRZ?<3O$`Ls8Gu%A&U|;a$b+cY9%kkN@ zeb1@H%{;&VHN54Q5U*^T_M%$1CTM?ETxICB%-=q<&+J`WBkZ^S&nh+s)PxaQA7b;C znStR2GXn!JO2W{q$b)AY#uv=ue<E@!!VE23ofJU8!Xy<$DHx|1xW3=?@!~PdB7Wy- zml*g?IcjQHGH-Tt{WNLUVa^v5w@$qJ&M{L@Dj~;y|1ST<NiSw@xy{|>alZIp`ci{1 z|NkEq1s`wZb(!U5SNz91QfHp&fty>8+<i3h)BCkmOKeX(jMaH>{zOV9pJDfE@7H(g zw(1GRcD8bP-rPFV@01SD?+tY>O`Ch8lFKvR$LtfI;dJp~tNXm2i=~_xrdfHvnSYMW za^=JK1x-1E1uC~CX1qCDpQ0dm-R(d@_Kov#6*;n=Z+tdrc<hMP58a=2&LgnsSE1s< zi93F+TDV?*Q^~_n{nzJi+{)nz{CuQyL-eK%Ur#@NqCMx$6}c;nn;7EvJ$P`nS1w@c z$ySp&MK6~=Tc^l(;myja{NZ;iZmL*aD1A41soMVK+wZ&E*st}!p|keFs<6Ex{y!W( z`o#A8*9so~B(u=xgBU}I>c;?;ZAY$a8AXZzifg-a$3gAF4TmEhQ;hV^{Jhx7w&QlF zT<nJnuM!m>bn@|pB(9nI-8%A4Y*=!PsPEG!OTI;#edev4Q&P2w(<*=Y-0v2XG@E?6 zqO+zQS+VD!<<x(A4_sZ$4*xh|q9~VOFMj9Jt``jIfsc<YJTU*G^3(J+VJV*~^(1v! z7cY7EIA%)9k^e&W4=4UIHnDcw$ME!|%)aB|il^ru-tdE0*xRz$S7tf?>3)@UQr81^ zF0rngcf`nOQi-B&H&3l(+vA<AGRuwq!{dAyQk1spZdiWk**Bq|!J;P^KHO+NyX0`W z1JB3eX<O6VmwcI|<m~s(mg~gv9sdn_xU;yY7<;{)vpy?X{lUB^k0<2GubF-Sp?ceE zlT#@<i{$0~PnpEIIKFu;sv+j@|MDl>BQ@8FcLFU~C5;@9*9J0lRvwPN=25>~XWB-N zZ<qf}xO%?IJW5`^=f{<<lMxju>fdxKgVk2-II?x&*Rtl7-kcYr9{L>JIE9hzfupw@ zoBoQ&YK`Z44)%ZU7vem5>8|cACC)GX`#<j7vFPL$=h_)cs`6ehO18f_8X8(P^IOE1 z`h!o-DwaPHjQ;I)q%}w2itDY59tyv9D9yREUGC=XJRAR)PJXx9e0FUT*xhhr&CfFd zhnvpL*lGKs?7!!`TRRTS)c<_-Ez_FQZg&#fXB_*TZJe}=x#+p&iMVW+|A!^lYbh%7 z-khSFyCsyp>{@QP%-82J>ocF6I^MO{vM*y><ch@IpC6@a&HDA%>VxO&Pa2Y8{yHrC zM0b>Y$q>2H$hkerRMtz5_wqIQ#XFi`EsMHxDScPMZ>_~sT68D>pZs}JF5ec`r3HSG zM%s1rJPh@>eLpkDs@m3q?QE{?mPx;I{>`|nmhE(;To1K?V7bqG?S~ixgXdQU20pX` zA|)AKLhPNJn}6HlTHAj6{Z0+v59@s>N%Gn@xnyI6M%X>!>#z6Z2Jo<450frWicZ$d z?K=49yQ=C<CC!zW&R#s3V7S>s;&Y7Mlb!tWB_gaF<@2s3Zs@F!FrU1zzNoJB&HR2p zyNLQTcKY+0zgPReP8E1-dwcKIq-}lQ4`u$@^1W8j_U+M!yYu}&KQBM6aAUW1-S$~} z%pZ;ag@0755I>^-`Dlsgd)L`F@8#_6dUUpAW7p!nfggS;?}|UuytUr8_ff*<)30mN zawnau`<bf$H-58y)S3In*`KBIT<*XBZzkuz=d<Ty`~R1JSybQ5X$pOFzB%J#>etu* zT|ZqvXn!PrjotdS_K)NfKm6N&?}ArP%C*l)s{e%dw7vHI^RLEa-|nr8UT#<Ty?=Is zRlU7s+1^QVR&)DGe{boS)W7LR{qk$m6GU^CoeyjJQn`2IqvZ#@bhZgiUhDbct@!EI zp3P@_qoef&x9e;Z)6SE5{~_C;`@ZvD>tlQOZ;lJw{I%$0{k$69M~{`Vw>{HcT(EbR zepvh(i;V?&t=*w4Gq3*UPWveT(Qw+0DP`yE)i?b+w|{YhRkfGh|Nm=WrrT}VVZYP! z(e?iumKo>$x|Z>A`$wxS^P2MyZdSFMV;x(QrLr&U<Lx)6+ZOzC3kVQr{-yV=ch`%O zw)3xV=3n#u6ze1_CsoQ(dT>|%h4xI5T~^;VoIK3q+;!>r?w?6w(e*udA1<w~deeX2 z{hIFU$mjBTd3Ps1_p^EZs;=Vi!(;D0_uo3J{F*=S?eTZ(>zf#F8Sq?<zLTG3=&ZZ# ze!`I(Y07M`4;QQ{S+jV$MO<^@-MZ$TZ}v2%%NU<8u`<6e{_&0GfqkaSkKRl?dHHcm zzk&B$p0F=-{n=ihkN=-pdd~hw+5L3p*J<Crtbg)+!p*(r1uo~$2u8>+3x2}fuQP3X z$D!vh`PaB>wcCGqnJ22OB%g3gBf_4&c>ePpw+jol+JDNQ`F`WN^R5m(?Q2+%rOvo^ zwdihV<DD7Z>MJZG`kPB@*WV65VD>=d?aZfTUsL!6B2B9Q`F^@-@iFpyfTM7(f!XFI zo94Y0l<F1qKUci%aEZ;o=WYF-?oS`THLyF}vu#@bnaM5BYVO<lK9-$tb@s@5gC)#L zOR7(#-hcM_PO_iX#U01{*0&#C?LD=??l{AJg^4frH`aYU`(^cI%g^i1>lQ5d&fB`C zEM!OC{+lZ*X6cLndv<j`>!d9k@`RSpDY5YIHLx%``zF$APPuYj2<P`dv-MrI($p8e zsxp!hlW_I!ndIg8-dDqUrcq&%Xlh4b(8`t7N3I_`+L-lywZ{BIE9WtP+`7u=cyvNe zN><dD2j|rl{aIB0Z#+_OuKMrsTLUL8+tU662Eu1m-*3!&WG9=)x2xYPQl0O`z8|I& z7WEk4TeX@^V^(5I+NCJ}7rU4QISg0#->p&AO0AJvn87B<ccx|Uybl{+9G)-Srj<Br zy*Q_<anA*VwJX#`UdlV|m{cyj>1f!&`Ul4jHE8u$I=pn6syDNl_5Q+=kj^u<UfX|V zc$X;kYF_A6laLK%DsI2jsujEQeSp>GBdo6v>ogwtWiaJKN#v%wgJG+gwR}rsCkmTh zy%G^WeS=FOmuBECKIJ8su2^rhzEW|8`}EeMo{2&Ew;gJJhjdkCA5z!%=DJ*Uo9*%9 zOTqgT3ccJijy9=?o$kG)D_R*iY1^S6D@4Aon<4nOhAnSO=*b0VY8P?3xi4E`F?WxW zq{_S9^JV6X2p&v5X*YF^-6G)t-&?;^KeGDW_<lBJ>%4c2OM`{K)!qEiGWlz*vE%yX zj}Njg4xaqAWcT6+)&_I$n)|4}dKojp&-dEp!xNj{2Uu;o@^r<9i~owPOe5_zzRS)y z_bzy;?z@Q{a~S5%S|dN@H{TL#1MjE}c4vgx*YG=ke3#;W`Pz*Cy4RGaF8I`OHP=M% z*}{UKU!I2grCoNMztky+yQkO7vvN<;vz(vruE#%Jd@1O<ZI0RU;?qlAZ0BBLHPi96 zR|pOgmzypWy>I>Z@SiKTlpK+Iw`TdY)7=7v&KkT&cHd9*N#pAJnz$@EK)yXK>(a{| z`k#;9l3Mrt!MsBYZzdf7WguM;A)m&);IgFt_2So^=A35NSj(ROyO85B#ZN^yd-LgK z6OFp{Vhm2E#2(%lnzn9U>Z@5HcJK9LqHl$G^1rdYcH8go`e2ivNwJ&HtPq?mw|eyq znNH6&A#cApU2NYSU0?C}p#7u|G9u><G_xCy^GQAWs$#Qo#^&1TmiyA*ziTdBCwIzq z>MvWfy)Vihe)C>h+P<Y<>0)Y4Z~AqI^GUX8-X824La~#s7ZepPW^WapeS8K>X@}EH z&N$%}ZNXZSF`t%5EuXyVdG)z>$K>*l9FSGGR?9p$HP(0`tF>WBSm@dQ4Su}x_cdiD zKONX%uOTgZYQYYxZ#VYUv;4aKC2~PjGrzP{O_$W2MRQKF*}pM2s(9cZ(7dwbRb9N! zZ?7IbmTrHW%n41c6SUHIXMX)Eyf6N!#MA)WuBp||%jVT&=)EgG;iGNgpA_QtmBHYv z=(48y32Iskt5}ZBTeEMQg+|EVmS?qRd=^R>$eN^2I(l8q{9cItL7#lZjx#<28~u3Z zyIlz>=P0|is3Y^|jRg-U%+Neu=(FV9w>*zC9}a7!{jx~Wy}Z~V>x7DYu;E0@(>@;r zMK`Zpa=})pc)R4}qU&BUs;8H)`yi~<YBp75S6;DN<h|U&MxV4pPwy_9bSOIE&B;&m za-~kxIW4`gY|<gl11hJhx1~+~%VHepxv=_*%{$FcdU@Mcf99O(blZn(wn68drIM4C z-Y4}3&h<CA9If#_+9vm0kG%Q+^{4G$=}au&;I1WWlgzt5WuM>H)h<6%&95gb&)1hZ za>8TQ!mbwqnvYl=mdB}{nt%U6NpdIO_3CynY5$|KN83tXg)aIsYvt?G(ESU!UIu7B zk#txVr|hLaqsZuLx`E_Wzj>S5W=*nm={hj;JYUHCg;_5HRzA(VZ2bC+qEO_s&CFm$ zY4aFU4k=njR^7eyl`nMu!c#A2Xr|lVWOY!JQJR=)XB|@8HfgV`uh2I!SI-%Rp_8o3 zi@3{NRj02z%_q&<KK-Sd82^(s@t=e^!+m->znmz0Wb5<kanwpv4zHzizCUVMX_)cn z)#;^rGj2@Wa`|~c%AqBa92sg064cY8*vgVLwC6tlp?PBsQ%Op|(O<_u^=$mX;2~gN z$esK@*v??dbM{T$sk_}2WJC8n7p}Q5BTw_Xw3o3PXEx_Gsid~2)~^=Ioeo~5wc=>h zc`?ydN2QJ!2~V$D!=9qjcG^L*(<=N^wZNHx3t0!>&6+oh<FNTeo1<_3m9Zy%w-)H~ zvU-0yT<7V%TYJte*%aWj#`Nu$koG4=7dcuMPdd$Bcw4NY`>B&y=1g``onvwm$x~Q8 zX0abR`#R>Pvd48@u9+DOi)OAYW;O{|`OXma@nR(}@7*5?IyOv;POd(?<ym7}+dKXV zQ)M4!q?TV<b@7So%p2a<m(9vxSR|+>zxP<iMj@rkrCV6k>Ut9=ic0QuZ%SUn?tVAf zlX*gq7IUS@(V4R*uRIu77Zv~Tu(L{Rt)NK2&CDsUIx-tF7RUsos`4w%P-rMxFvS}r zvO^{yRjprRK@qFZs*Ot-7dd{`<?7wAeTB)P9`D{cKH=AXJd}FV>*fCbRJmC<^W)DY zPuuTLzqDe)_VP=C6Sp#6JX0d_`pAY0>^C|W9w^!K@$Juf<;HgGzZZ9X@AuvQ_s8-Y z!Bo#DYndviPFv?#zShBJRYv{wE&Fnlc3gP)DL~`m+1=HGvoa@M-6t{qeMiVA&8)d` z-%BH}e}B_>>cqEcuQM2(X0iTJo3ONTwNTLB6H}eae#;bn&v3tW;a;?!Qpu88oPM@X zWV0){RhvteOq>;Wpm^q4N&XPGbp1o8T8uYkNeEBa@I-3+?Wf68Gm}N_5|vkX80y_G zTdSh8^UB+@qsAV;r4r>;O@wb%9pY3GFMi7x;Z|{={pGuzXJVauE^IJzvwg_Xv*K0j z`<yACI!|or<govo9x;9Kybp4-+Ov1IXbbOtvCV#u<@tW2?Q0#ZR<)$*oXeKJocl~D zwyNQM>D3>HW;bMnoIJx(bjM9|Uv_uSv5E4|cTJgIz6g?R(Tl375BxA`=JXi9$NxUR zxx08}W%h*0TC-<5FsgBhpJEYl4RdL+eA4sxO+qM}=vl{rRXxuHXLV++%}`UyJb8&p zPxR~wu1P)$d)`Z1URd(oW%sHxpVbs*ManUkB~9~6m@5&kW@-I>(scEvyMdmsogYhx z-AtOPqn&N^AokdGO}~A9p0gWELM~Zp*<a9IKHEQeWnaoSjXAfU8qRv#Wu0@ou_o;o zS6Px;ae{iA)a9T2dm2+Bx|Y`k+%e;A-d~*iDLv3m?UJ;l`r+9&)6d*$$OsXvyYt1@ zsq^ON`&SRo)0z3^*B}3Lq6e0!p2!e;^;%M0Bl+XGJ?hieJXe+#wEdX5`N70z$1X8X z%sTSOHD~?q)9Rny1m^jMDP%T1(mc<SCU<A=e4TujM>9ROZk&{6Nxi+r!1wvF&1si; z!=lcqt(N$F!9>r!xPI9(rB90KR$80P6r@69_T}#4R6R2-YhpUT;x3!_-`?Eq@Rw># z3Ay-V;mg8SgDTC1n(FT@uM4p*?mGDWK*kk4-;TNQU$ZK&u{mdNX6Uz)ntkm4kvETe zjn?nJ&3!hiAt7zzcFu^;2Up1LTC#oL-gk|g94DGGzg!_^T<#!n@twyKQ<<1!m*uLr zx168VSd!*FvuaoMf%4f6^>bR(?+4x5sM_nWV|zgM;YT(J>S;>6Wl3t&6V%&IUELXP zfBdq3<I{8d_qn`qSP&$7UDR5rH85_^MJ2nJw@dzQeN_4EjbHZ_E@qRpxxxGuDrQpz z93tZ{J&9{~{@S{x?VPRlT@L+2oSXliy8BQ>Kbv{c%uenbosm84)`s0%=k`7KmD!-Z zxg*+2?#aV~+|_yW&ztwzKmG5g_q4%m7VDcT>EEGdMt9scSH$Npj+z~?>Ef|mlPTR% z(veepA4PFzBzM13x?N$qV$(+U+Zho}ORpU(eER*y@!TB4bEnPvw2nV!*nUwb;ri_e z$?9)~(q|vluI;@(_3=lB?H2>|UggXQ={xRx$4zPO&Q*O6cU;&KDdH+Q;i}ssught* z!beZUEuFaI%9hAgE}Rpm2G4#Nbvf;-;L#JOcAhQRXsDwQl>H<$QsKXesmFPq)%{nZ zDk8s`7IA;nOpe;M<lLdvn>0Ur?wQ53ZGuOdUZ}o0+qq>E_dC5+o-~8|>hYDe`&n0% zx;!!p`#U?by}r_AlTlb|YIa=5EO!-+<*9;c`%F3wT(XLSradU&=2haEIAzYXm$5~U z8MbaX`$}a~YkI1o!A=8)Xpbez>8Urvi=Od=nBq$x2guw$EEe?AqqVid?s6J0|IyP+ zFFq@8URpeRtAz-+_A{e>1$mp7v>EYfr*ZAe$=htymh-T%b^1rw;@PZvDct>9dwTR| z-d+>Da7EnF@{A1$d7GcL<SYvRs4As1{kD#r;%tjGMuO9)nw_>kp|(rZ@pi&W8-+_# zAFs+66raX8af3`~ZTF5%5@LZXOg}FA6WueZ>Dq>gE{}>LQ<tpp=9Ttbq93<&^HK9m zfvOIbXFE=L1Zql|P4Y<9^S*ZObtO+!dc?nl*LHOay*6X}t+Z^a@~Jn$eV6@@nwnfa zJ9FxzSABmLy~|yg6uAGOr?%*GUPmb<#a%({IZ5}vGyLCl)`jEE5g)e$emt!Uii>*p z8lO~KT~KiC3*U?9Pkn5@Uimuxn~&V~Q>xcX?yT~4ydWm($hg;lY3jXW>+T%TV>}vn zGH>!#Yl)@>YaRstR66g#c6L_N0$r`iY_~paT9LH(P2kQDKTeGofty~jPw#M_zx?hx z`_*L`c17j7jy_sz_qhDoX}a&!Tvwkfvvs>xNOjDL^F7~_zRUdS>H}P9w}g(E2tQVv z!K-h5`q=4vQ?zYG4FmIMEoJ^XBmBk!QE$toWr^QfHtyJ@=e+E#gWKVpCDT4irSOy< zONraHh-=cJ8C#eoSAJR`D3;t>Kjk?8rSN+@%l5x;|MHW0(<2V$JN;V8&yG7@>^D#j z{Z`!DD*I6W>DAVo$tv4|zbHpsJY}YHDd4;Xlce)p!_Ud<U${@XxW&yy_p#`*Nr&uD zy_UM1^{&Qp?*31{d7ME(OKq+zq^<~7lhV{EUvEAsx@k&?<oVLe$8Q$%pHw|HW5t<C z`_>=-`pz|$>F)f5xkk4)M7Y|%<WS))y_Axs=D+{Ny%x!rM)TTO-HZOO(0?JblvR(v zyN+e`#+%EQT4hPDcRUu5E~DO4w*7_sjEP#0cjiTj8-73EcxHxJ{hT{5dOWQsfJD=H zyQe(+7bq!g@0S+=_SUgtt~BO5_U%H8Jt~AaPu}0CY0jd!GH9N$fYB9&?N&4Q=LP3+ zI9jE+I_o)pjp*F`PbMg2Ve(8LE7x?R4~$;NH&l5D`<c8Bv=mNHo8wylXiiAwc~Obl zut!NPBDI&U-`%}v%er^oA1VWvdr$osGu2){>ZHW0o|Qpek9O^nPjuVV!_H%;U0CgM z>eZ7Z$z93^c?FJd5%9BB*}*9EYJ%shD5jYvEQ%|O=5;Sy%23R%**bGc)3QZVHoWsG z5I>@*eXN+XsLd+fNc;3PMGNK7d&O<5m+s+KGJE6Vl`vgHbqDvO3861`GDtf28hTg1 z5t`~EdD81^%%Rr;%cf-bpRS&7BQyOCk3j+d5yjQFi&=x(tWu4X{q00FCr?vQ4Lw%O z_0FJ}|KxA^o7(S7)SIH^`63zT2ivUCs(7pvcZuc5;#0+a2Jb)4d8@8I`Df*?b*den zQ(vk)jSe`oYVt`XwKAiZ64MM<9hYgE!M@A<X}2vnxi0Z4wGlqED%%#6phIr@eLD90 z*$kzeQ_>1Ie=cE~v5oQP3L{zZZd;|iRW&C+IY0Hjl~9x7y4df5>5{b~F+S&2OaI?| z5yu{RcZG04O`BEx%Krs+tIix_{<$N6%Azx$<Qm?&lq^2Hp(51wi6MvNs|lCn8s2$I zOwv02@xWd8cM40tmF&8wVIg?;amMDP>91TS=wJCcX{y`7dIR~;xW`R3cg#hrEm-9j zRZHiFaROfbA6`T4K(T~HQqo4n_uT*8xon(yw5#B}6kZ;4#tbNH~?e`j9(lV05S zoIl=LQ@G5NbxIlcb&cPWFTLWw%k7$YF|E=>ckV&9^c4@6YS-SaHjoQ7E9ObNeaBSP zyogKBrQBF%Rmw9KP@YtoscEG4*1>I6%(0{4qMvN1zWG*ou>FCo=<>6x3TE*o)hs+0 zzHe2-&lN>8l|RfA{N7t*uex`4V1ayv>Cw#1B6FL}Sw1@Pu8(0(v{}WI#^GgC-n%DV zQA{XuW%k|X7u#HCt9~$X`BD+@>Ql0zV}{9Lf!jI@mLCfcDExXcuuSjL>|?vQWlNqf zI{T?wO5Tdobl;`Oj?WjL8g2ML<$LXvv_*WoVlSpWwddt8i~m@v#`^wXMT#WPjEv&z zCw7beoV&e={UUF-*=qi*ju}2JQb|VtyE;6L1tx0sTONGA;8RNAPZ^i(TDR6Ttax~^ zIN+f6{APoBpf;M*RL_bev5ffZ+kHP&YRPRqw}15)UDub=U6=H8u5&PFZVp()RHS*V z%J#&!r5DUjXIx6I50+Z>=7G<eNv|_gw*N5|SY?u+F|F&X!p!Jf5(#Nt{AEr{cTZln zOFB>K-@#MmJNC}F^Y-VwiX*ANcfDDo=#ineJ3&3|5PMmYhW*_7=l>gQXK6aQ%gSX< zk<)k=&-yXxSglQZ^W5K;#g}eo%vil}!=EEzc8|Stx9+}Fa^7#VL9#EmQkZmg%*Uf_ zpTuWB<y^3~?NUJQYtQvpuAJYyc4_SWm(6ZcZGW?r^XCRBC%YSMVwcJkyI7y}MESP< z)=%uW&!0`Xv3uT}#+hmjb7!<ZWjmytXJRfi@93F+rT@V<+h$Jo^t;C5Vl2}9pAj}# z&H!F_u>grk06;0ff;8%TrU*;DhBFTlN1Y1hLE&W++q2QTwnakNMsyQI*8LMxnB$ z84F_9aY+2wyhBScBx^<?<3h=azDEv+u*NbZF~l?EXM0@u693(1j-~IG87dxGDdrK2 zlTtHoEuMM!XZM0KhqoP846oR(@N!Q2_4!5coz?Y!_dn~-VdI%^ry+T~?#gAOeLZ}M zv0qL#N@cCoUzbp}arVzD-JN;j-}Z){P3`e`edK8J)^{h3e*9S)%6(sS-u-K5ZL35t zf7(&awYqfCqu<M!Uq5|0ukQTgHTOI>@xPFJH*eX_=+DiY7DfiL$vWP*U3pU~Nw8CH zqncqTmy#?4r-cMl{|eQW?oyd&rG;t>*#py`ugeU)`ZOVwZ?gFd<x?3)oB|tsSWcMM zed{m0WxOl(e{^bjt5fLI%-B6$0$E0DD=+-(&0m|oY5%=lm5ya+xYxg#cv$Sd-skCe z@A9}Dn=WU3He#*B@}sTYUo*e)v-cdf=z3{bDDgu;C9px~k?~(O(+3qZ;=e7;Gdz}J z?$h<K$zx4+7z^{Yngc%<bFE`JnWFe$mQPd1(Oo*6t}}hwyn|fV<eZ<rdO`N4m+X5V zYdPf$c~pmI#$=vfmwvzIkOjZ{l>`>g6^2uTP6@cIaB~sya^y}nU~-ybq|GnH(4u(K zSZY@1%9T6*Y~Nxrr=?w1{Mf&n>)4OpiZGSms_(ja=JV!f!aZ*j>Uw_5te9mv_rlUS zHu@Ws3pZTb-@CbO69dOCL3Mt?4!iGdhnX)o+F!3drpEK!aZ1V~gIs}b?iU$eUT0dA z1eBLt><&F@$IkOL(D%n_X8U<|N%jxjKOTE|fyMp{)6=-%wo4C7dZSPMpS*gN>fJ+= zVmrhZ3+C^deqSY#?T+3;e$*7Z+;QJ}c}4~X5JpR}#U+WTDYlqlEeAtUzqNV5S}PBM zPOpXrg{01{OAXC8cl=mYk~IIMRr1R7R~(eW*_o!_x%uC}s7`yX@xo{8v$FlmqTf$p zI$aq3_{7?`UQB{LLX#%maBsW4=wwc`#ksO$nR`y_TC%8F_S`PAjxMO(Jy+YjC|=2p zdsF`L$*RZSc5ogxcyrcy?|It^zx>aaztEW}5Wvo(H2F~GW~K)LHzPb_t&$8T2&We* zH5tvSu-&3!_dbhx+G^L0n{K>%{#V`0WtQUl`OBM1{+;I*&D^9QV==#SNlM9<&z1jk z9~=z|-6FM(_v^39zb|-pvKR4x`NuTt?opNvZj4zAmw9on<Yy3bZRXBa6FJEEV5P|k zX7?%2I99xg$a}-(z_7siG@FC5IxA|J2HriL|4EvG0fhO{rp%I35OZeWV!-=`>wSh< zFAo?w2+VN(asUZ9c&e=MI(2kgsBVa-V)MTlZoj2}>e@%uUb4!Z^y{sj;>jcH7k_3^ z3T=Pz($uzn`(Fd*A50R=={N39FHNsllzFz1ZA*<}R_?-;wL5ElmvQax@f7a6XlYy| z(N=ZXNxH-NfofLzT*=#v5j-!>ex9fuveKd8l&H4FE|*?UW4AMNGrH8yv}T^lU41dm zKC@!opOBwt!zQ;b+1I*iP4$%a^Kp9?b3PAyo)@?>VSdq~m0n+2{w!$-%vl(C`}W=t zEv*Dm4S%l(Cpp(}Kb?O3(8Ipg_L8C<)f4Z<{-`egA#}EIeeTT-jQ0DT6aSoZ%Hd!P zJiSkG&1Ioe#doSJb^aVPw77m^!@Kr(GJFTE#NXBa?0p<3eM*1L?Xw?FKmHo^L*tH9 zQ`+RYJ8QeEKJwobxYoK^ujc9E4eksX2RhqhCfqaSIXpds@A15V9m$Wy>)M^nrj$P1 zeVMa$Q{M}*RUxZnFPxtIK19en%Il%#@sOimd<{iEnri;aIly7F;_8x;`Meh=Txe%5 z`<XCp-?siqRrWI5RX^Wsu%0nz`5LvOb!MV9mI{|R9XGxUof#h}-Cr7Vs@^hT=b?gi zvqV_sry2@BQQ;6`{?ferX4w3=;LMk6+{GR@E_{Ca=;4N+c?Z;uXC$-4?<u*NxiKuw zvoE^aDrTF*hX!WejKl;+)=evf93JduoGa0|sf1Upxpw7;-Hdw$CS6;?_anza-SCTW z*yKZ>ZZ^dI^2iQit1(+3EPCNO=W0*U4>tu28W=1YV>UJwPi9)s#VdM6L^ELu|EbCE z*;tC(zujKEDg1ws-#+OLr#W9%RkMB+u}w`_wd~}}%}jNMjo%hsJTT?uW~Nzz&fS_z zCVeh0+JDjHZD7z+es-1w&c#9&Y;u=Qu792WqxbP*Gx24Ssn2g!Pn$da_@R&fUCw(S zzbe^L(0TZp?<1l8o6lUTd0$#;|7qru@T(KkBaR>2%=0~JuGzHGv>8`-x_{pNwD<X3 zzl_uKOXK9Y^BoJV-k-j`skn2(wm*^W9@l1GRGf8lz47-bS)X@v`~G~Ya<Q_0@27rc z5ofkR=(CF<Y@gR(6`MEn@%QhK-aikwTgosm!r<wQRVD`?HD7vSJ$GKf5$0*nobn3o z3l}`!<gshMI%kHV$<Yf}61{o64RyBf$Y;}->J_kNiq}$gjZaUz-gX2A8!{HpuP>Q# zdghfm&kfeO^&Sq}{+iAARZy|?w%H=Pvwj3@Uh&*aQ_NI=SALbRROaHm$&cnsp3*&T zA^Gd{Z^JJodzTkirtzFJsL_~y-1sYZ${(KBHW&Y}?fkGX&Z4d}Z40Yd*o7tEJU9Of zOk}mX9{1|YiszG)?2o?*^e%qKn8Bsk%3m67$=53Elks<+(1~j&A4+g4XXnoobh~zM z8Ar6u&;Hg8M<@5sdd^|OeCYk(?=>G5dc6&;Ez19F>2B6}#gN}FM5ktMj1Rj^%?e&S z5zTdBdc1Za+S`_Or(f9itjqECtnDUm@9B1b=DxMp{PfX_f6iT6o9TG^(%O?hXNFIb zTyj5rjdFzS+0YArsyS5-YwbK47T~iiW6#ffmy-K@F1}iR=G9rz3(qsZ#?&qKH%a}w zWC7Ri3TtKEzUAt_y^7<mT>52FoFn+$>e{vCCoL~(9T%IZ`ku4tpuNkp8;fdx1%4Ji zdC90k#bj5_*>9iBW8U5|Uq4Oxz2Nrl{W*dw&!4USQdT(q`<u6qY!hyMYx($klYZcf zZxyjiS1~O+u|agl-tZfjce=+cb!s#dV(GlOG|XOt`R~7@^Y7Q+c(h~MwK?}AJl)s$ z&-z>L8hz*W!&jFh7k6Ke_*_<48h3V9@vS|V^ydBh*O<1H?^S2*B_8MN^7{AoWcArg zHZ0>!*(lk+V}^D8qQKo2=imQlo9*1|9BMoHdEon}kM`Hc%$VDJb#L(p{u%e}16ZW) zi8YAV{QS#aDXIJ{!H~UwPI=|zXLkEMKks<AVcnWd>)vE--E{W#?DtXcqbxa?FDzl~ z{_C<h%|3AF_Og#x-~T`U#clWX?4`MHd&?3f+O`?1H2TOiEaN{FcqRY!<fQeFce<!P zPj@g$(O5j!aH<xUM(UkgrRgtUyE^YZn_d#TWWGrPo02zMX4*-G&fVV+7+GyNH`Tqi zYIgsvrqXpXC5#=6;)`Row;$PObZe_ofKbN4X*)#x_3!QRI+ef1oR8C|so_f8mF3sX z*RQ*D?d!YUuX~dv-tn3=d+@W^`uzDf{e~6$a<4z3|1*VVWzTI6R;ha*Kfmw7<CCs) zo73I?+^b*HG3`WFr{#=xj=S}iExD<kmN!Hmb?>f^63{ke-~L65^^lJ5SN6+K>;3Y& zEGz06X1RQL`2Anza=9-jkIKBc%<C|j-DW~Pdr`_n6Ir)n(^Zx|Pvbf*Z>+Yc3H!fA zIxPCkLA$LFzw2LP$d34a;luCrvjN-UL~h>oX}fQ)%@#3xL*2m-zu#9bylbN`^)~AM zr<NqW8VxC*rA16mmRk#w9&S05?Y3O2XJ7xXCkvllY;;>LlC<f0%b_>lPTb^-TCA2) zJI`yv=^fQ&N{)Ju-^~;BdMng;Cxc`0Gle(T*{kNO^Ct*4BwA(UinFf~w9Vb1RqZD= z!+M&h<uL=ruWu#=evjC6Izlz|goNtsbfI~x6DNJRGf{m~ypz*6*$bzY*H658nQ6BL zQ}xW;<B{SYyp?DBNM3xFBRK8Mj5@}H8cwr1dv+^tI_bP6t3&Uy!GhHjrp0k4&fMY{ z7A;U5!mL@!-ePju-tFyix!)I5<>j@?Vz2dYe7)$ViGjlX<e2lp{Wc#YYA2pnnppl~ zYFVLmSB%)n^Xt!4%F1U=>+gz@+vogd&+ESz4BKT24><1oB)#A8-R11Fg?TyCD(>A9 zI4>=|#H%X&Uu5%>t@oy0y|FyLbI;dxHQvoRd$pMtK0KBqJ#U-w8Hr?>tA(P;@8#OU zQkP#}6?bsL%`6lBuH|}<zv<`bN4zeL*DL;fXgRmuwhPPUUF|lnyT57G(TZO|#lgRI znNvS5tgASc6cLl?nv=HlSlTr$yD3($#6;J>dtEetpK8(8rKRz4%Wo_<cU>np^Ww?X zd=A1KK`KX=+}kMCD&4(Y`ZeFt_E#r!^n<_G&HEAX>r3<#?W>%{^XI7+mFF&sd3pJD zT$$RJm#!1nd)H`0rl-8UoPYNC)MJ{8uPdyo=kF8wsW<t;N!xjSX5U}Bp54v1U?sCk zitNOa!i)nZ?i1X0U*rG!NIs=y&22x~n``H5O%)LcWf64#aHfWTvy+9Qoe1xWb^~s1 zrVe?x*>cS_H)>zYnF#QI-cx#kkI~gk_{YmEwGYahcsW;A#EJHoT`&7RC&<ibHD}ME zdCPgay+J%-iKR?zX*OE%iCd-DrG2&x47Xu6<_eQI7b<P+=*JW?L4$1%qfotxpZCF? zxwm&rvP(73)}HE`y)+~(iN8WwIC+-4_rb>85}T9ZFO7a`@o@WSGZioS<jU1IefC;6 z@5<>5qL(kU&g^Qwx*%%J)W}JXuPx%6UVOJ`o!<%v1=i<`x~#_=!<cn+wb{<Fda<6+ zS)8T5Kyb&(9k;Ssneq>@B)slg=is+OW<t_Sb)MMWjG2rtUbiF`{+tn%{VR*9iG@eO z!H*$)%^3@U8O_G44<?wF9=)5FWj^Jazv$MR5)&?kZeU0d4vyOXG5S<8gG_*HXrQ#A zXpm!kHt#g9z%6#wS1(=nnYz?wLpTGM&rU~&Q*VxDn}6{V6IfarWq(m#@|RP%oOCby zw_T13TwM+4+jf7P&AXJbfI;NrNr}16`G3-)0~bWa=jum2Z``|P#T*re;ES`hoHK3L zt~KBKZP(Q-ZT>f<s$0`vX1nI@;6D>2T(Zu0nMq*ka-EI$vdw36n|a3vubHvw$UfnS zWU0lQy)K<KeEob$z`eKku1&u9HbhOxOO4M<Y(`z@iV#OnpOw>-mKvx|^EAmcY*m#D z?mKyGO7Wu2;kvbxcHO+Dw!l}jbdrKspXOw(Wj=N~9Va&Bm)6@JnGm@C%gm{KMUCl- zPb~V)olafsULwHB&Af~4rM_0jj-#^7)l7z4SH|kU3p3wuAQe1cOD>>{iRFxZ-ItC2 z{$0j8>ucU9zPrxN_cCx(iB5k|e$e?32Il8CJLUI;yt>TV`ffw=73DR3f&B(nb^mMn zY~LBO7hBf3Kfa*6GSH&#|6}P#8C}VIWskr6Z@ZZFR$O}j|LamZV%g6h1opo7N={v8 zA+^8$hU7E1vp@bGKBcjJXYmI2lRy4WPoD6ktn=gV@>at>YxZJ;y8kc4tKVPlzHfi4 zb>*wM&Hj3I|2OC!(aoD7w!c11Om}XxrP%L1O|G}sOc!0YnoBq?Q(Sca|EnTPS5_|h zvGw0&4X<9NgC%_P96wh7xAmX!`-!&Evm@{8ueHdP^6_<?S#d;Tqel&ol8Z7Eg8+j7 z!(mAV7J+s{L!amzTagAPxquR%0-qF@nkx!oY)2P5MaXcmG%_$qurP3Nv7dfyFsJ3A z^ec;+M0Q{9%WY3I7UcI@-H(XV4cv9;{GCZRVy2z(>bY^o<5zKXkod+qaUrKZ*oocn z-jW>Pm~P;5HKwcD?bXu4g|@P@pKP-5@xN!&{7vKhB9YilPqhtpZ@3|S$gJ@8w+pjg zDBP9Iydv2uS;*y9q*l0k=BuxXQ*?KPB^o^LPkkG>efiqc9j`iCik`PlYRz@@i<<Go zt8mFB$xGLsZa(^Tb8nZ-wwZduUJd^CYc5X-(MV|xycwzDtT!+1-QDfaAKPZ>%{a4V z?HYqwvhQzxKAr4cu`$oe>fx@#dGGBR*t6vxG`#vFBynf@%2VCGE*ufYEBM#@-D2MH zGH?Ievj4faUj{E;zyDV4pDQmeFW)wQ{_VHF?&f`8Uv~KIpWL4hrPOwRnVa==ZbCL+ z!VM0+?Tj;ZjemZeUEKfk$wa|pn~s@oKl|XZ_-P$S_8BY^)eSFSY)iRQ;I&n|``a<T z7*!Qzk55-8F??*%;%R#J$N%?hmdfxgfer7|)-Q;2tmb_gT2lLb-^3-)!%lV<W%YIP zK2fWYI;v|gnR?Q!J>R0WJTYaR=*vQRt)(oMmf1W<`vc$QZJiV*dNWF7S82p#Ub(DY z>y*5E%=C8`U43?O{-qhs^Xh{&J}9Rw&9zm(ZI%6D*PR6+v+jf~xi8}*bLhg1b0*bU z(sf79O|*W%!7HTo!cQjF=~h~#Y@%D6!mg{C7Ka&+P5&0R{+0c_w=eFy%;~v(Wn=4O z{r=?<d$uX%vMH!lvG&-m-S^S&9fts`<remmq=ZHb3#pPdK3V$%Ox&j?tM(`B-SzUg z_Vj6g`3Z$SiQ{UMA9Q~Z{?Rerd}(<90*ib(@teA#C6m_+_XSz1FDzTuFMm|w@Dur^ z6K`6qZ<N2bag7t_vP2`N>Z)EoBVl=Nr=y1t3nf1H`C54Fmg^VQ1I!OfTGV%(4qsIL z-|O1BC+Yhl5^F6jFXf1ePT%;oqFmf`SNIABTW;Zl_juz=jlQZGGv3+wV&96QGi&5C z?>IEMY%6|oFL=N3oO6vka$L%8X-n?B&Z?QQD(>90V4u|W<=LGccUzD4aEt766u#p# zucP^4)2!;3rxxDK+$eQyj^O=g+lww|?9<!2y|8Z1t;+a=Zc$PTT}(v6FNyAAKh{2J zw}K9@6}N6%ctP~VpJnIvFl?T^$zq#EMuER`&$h`s9zW_dU&`fE^nAl%_a#=WrzWZ< ze46`Pa)V;hVoz<6sedNjotCg9JSg1RH1BqUz$xiNx25;>Mv70~FQ@i=N6HCSM*~(_ z?P<auUYAuRyl3RxR-eW8p)T1(g0cUcr{zS}!tbh8T+Z?fH8;8jR;bT#x7T!^#S=5B zJBTNzWry$7jWW}$)h%b$oMTOkNaKCVEo9L<FKlXs<}y8(uvrsdJ~?b#7AD5&`*W`5 zX2Gxa+?z#|Y}cB<pCULVwdam#Kd<FZiL+iucPzLuWBt=f|9u?(pD=O`mFX+aXua9n zXtOd`tZs9}sm+&WUr*hrtC_P~B{%t94{zWS$0w|TCTr_IXGLh%1ncbA`{5uI{6g~c z<Teq0d4rZi0h!4xPv`Id?HB1eyQzG_%ySaoW^S7Jei^T<P0?}7lWbl~THj4*6Z7WI z67aS4|MAatLrvuF<x}%LcCp=vXL5XgOmW5zwqqqzx-Q;65WwTQRk>X59q)vzHw_ql z=QlPgUOE;1O3-}mlJna?N?%>hJ?G{bFN>SAdRqeLT5OTpy5#8;Gsz6OXAdUuWrW?E z+3{LU*RKEKgTvo0lt|}gobSGq(lPC2-N}nneVYoq(*=HuYv0?mW$Wql2QKY;rWPi% zugBSP0iT5C1OcZFo~3_s_uneKeRb~R`k&L!`z-uDljEPyODnOtUizE9=J4&9`J-;} zg{9jqJkN8udEDYz!2Ct7@|y7dx9zn{B)7GAYTwwka@)y|EHAs}op9eJVYjKz=4<B7 z`Rjkh+?!X+^Kp|{beyE4m-_wPOn37CIVx!L$$7FXnb@=Ky2ZP0+vZ8DDys}WS|uGX zlrflFu(|nabfof}NwGSSH+Nk-CilooVrJr`_^l~#7D~ny?y}%)bg*Ho|6;^+=a9YM z&d-a>C53|SL>v&*Vd3si<KuVPmdQSAqS2Q|fltql7S~@@$!yyuT5@%v&1<&vD>rK| ztWFP*`>Ze3{-#R&F!$A$uI6=`l2*I_6n=8<e8_sX<jS)56V^r=tZy(2W{Lij^XI4d zv#)a}`CmWq)o_dSj#b>JOI8Rk4fpkWcFjoUOyn%yr2l&*<S&IDm|7+NSk+fE_RXKu z{c$IA!nU^e#;6|Z+@QFjCnM6_b;_-uZc~=t;{GlYeC1{+FPq82@72F`lD8ObTh%Dp z>%w|%WyYrksu|5$-DSH<wb@NtOR`_s_IUmKBz2~2;!=yVog!vAGuyV?o>(#e<f6AR zt~acXU(NEq<9#E}GgIDe)*2PXJImQDg7Uwvn~|YcWymkst1fJ@OKGP4PvfoJe<Y?= zZ&JMQZQ7Mv*Js~}*QlH(-c@I+Y1WWu!1eIPg=;Kr$+;}LK^%v4r9!WtcVTB<`Jr*! zn%^HME>hmH;^VrX%p3=^=HLA_rF4OM75|5tIf<I7(hi3Xo%Q{BV@~J2m`Q&tk36>9 z?SFiObNd{wm!_9hk1Nl3|ChJ3l66JOnZ3gL$9|^Y<;=RLxp~fEj#W*^CbwUhkh0Em zRqnQXt4(ZIFLVhMFTEr+dB?dEmpoQV8-y=@vw6Ga-P~7KbGL1}*=P9r_(EZ&(*GIf zl^;c@EZlErAH`Xctf5g*boqa=SHM%<u8p^fC2AK`cIcgU*V+4K{lm-h1>39Y^;;#C zev3)nJ8UDKy8XB9tMf}2`M%Q-b^K`lZQkUFYu1ZAZbdt8t!nJ%6#n<%?#1?ybuJPc z9G2uw(U(v$7im+|`w~|D+}JBw@BGTvyBpPh^j69I$z1<S___D4n&v-_$J??6E1bD_ zHLd;}N~vG{^NNUz+>{$#vE8>HZR=q<>a}dj%*g>sKSJ0deHlZQ16O@&IJxLd`Y%6e zO@o`Q@?VQzc<rq?_$fgrOD5)WfY5%9jZeE?&$|C`Lghy#m5;|?vT5w#{_L^1e6`E- zLm#$$l{Z*pq;jDu#=DF~YQ|>I^}Ye0oR()^yqKA?KKWJYrbX&cgM0OO4V?S7JX&LH zlrPIY@$s$XLn8dM&D8(&x=spmF^%e(y{YS1_2v^-!v)uE>($(KlJ&NYHjAz(|AhKN z5hJ!2784G5a>cicX=dbW^6lO%zkk)asZS#%%@fU=eg*`bnNqXXn)S(=ms7two;+~i z?Y`hgdkZ)pSA2UZqBQ*vLw1VjX0ZvM(s=r>NH9DQQK(jx(=$EQ*SK+3cl?dTPr5I! zSQD~ZCZ{{G(RI6NYtBQDvsb4EE;(&_OR4>m^x{+BWlR)~PW<jBXRyMFS*ptY|51Zo z%Y%9Db8fV7V9fvYO~y-ZT6%oIs&8Ku53`g#ylfw~CH~grh1M#?mS>%Oe;<$Gjn=CX zOt?HD>`UR7<8zV(cSJ6{QX?^6^pCR4T%`-Yvl=|2|FDD{?!M_EbH)Eukn7uj5;Epx z<}7bToO`x4DDC`Tz^!><zif#|bJwNQ;-Yu1x!#|bzDmdZwAA%KfsN@=M}3}mZ?z~m z*zVRR|Kas6-Ry<!aXS}pv%a9fv*P{(4pAr1t~0Zqe&m;$z!TYYSK$ArL#>l?6*Nl3 z>=OB=bZ8hnQ1&&b%)h1>QRcy*c<q7n4BM91%^siMgtQpONHrt`TyhDMG6;C&+Uh9s z;i!wH4BI*P1y;W%Z2$K+K)Ts-M|gvELAj|9pW~ghOLNq9^*VNb{j9TZi_AK)*(u7t zrx!;#s1!9mVXK+;xZuTnj>V-O1qTZcobGh?JfG5kWUn5_yOkHM^KaX}Vt>t@t!m38 zt97_;?eST+-)S<)9FJjK^dNHe%h<Dzuc_=aN%B8_A@m@p)?Y7W>pjK}F9Vq;$rW5b zI_1OB6&fk*Strk*)0Jj=*f?$fvFVa-f`;8?n_3m3!gDsn9(ugc&F<2Y$^gf2YuLj1 zSy~r%g!22aKNEOxp}g)&P|Rfo7oU>*&o3)als*6A=!xf!lQ$U`ew;4C*Ye)@=#0FY z?dn&Un=j7rt}<J;cdDfYx8J{=wx%By=g+>gk$a6n?{o+8ck?q}+X?H(edMrtD|_wn zt3O|NFVCCzd)4d{IVCgCXC0V#OtdoLotyFYFRmUpOMDfUDqhIX>EqRvWSF#U9j}b7 zhGW7E?+rbv>*MMfz5EYW?2Y96{Ep?qytMHDXX@Fep7U~jTD|<=#wEuSIDSdq@VYcb zQFi(Cx6bxA8H;?UPCssKaDMNeoO7p2w{@{T^^rO|v*)%*dZtfb#N9JpL5}mqnYom< zY4+b+^M>2^W9$c~C;OWwEvqcan!>NgEL!vTSKG6@wJ%CuURqP%{qntINb9<Vt<8Of zIxFtK)?BAk_`mFgihE|nzX&IWEB*m>Pyg-vFg@gI(ydFk1KQ+xUTdCxx4t&@*2-)r zf#f}(uWH3Vbh3PHvWUqpIw8$mjOp3)4bygQOZpPVaM7FR5tHrXm7LsiDhx)=-2q3> zv?#t*@tVsXcPu5Dy<z_HJFiV=b1=+$m*T<VA=GkoW|q-ErQfk_uVwi)RoH(%iC!0e zc5R-<jR2b&PJC=%rY1eGd3`$4iSfaX*rnfGIUlF~P<PL&d#`llq?+-wy%U&EGCRKV zZ7)5*vUi$^gXzY(Z~jCbzae5Q?QU{gBF9qhc)^hgc{kjobBo&7IPl*{T6wVRM7GMg z(68BhX6bS+d(Nj_v!u{0j%TX8@NAArAKkkY+GUuJZJ0V`4&(j3|5xXS{Y{I%sK?m% zxNvDPlkHXSJwZOt!orfHZta;CcQfw9H8Ho<DxAN9wr<$1EVHp~&rhfAvzf)jyALeB zq-?6KT$Z^h=M^V^s8+<+=!zqO`=9qrO-_C{%ku08w%@l`H;Mho`5NXTRAv87bBBBP zoWh>c2z!I-JKo!NoSe>Esx8PiV^XV8(Z<P=3#VLZZMAZ>vg>4L<5PKTu`@Yq#ZC9F zJX;x^xNm#ak{;dj6El(C_O<en_!PaRKYi75%8j1r>%^44KEH<LaRGPu-3nvweZMUq ziBD-?^>?}0mhz%Y>>QFSr>v6rb)7djucX-Zk6X^+a(nlGi#`Qy{eP$Fdz{`R@yF_I zb$|9YACT+ydmn7y*qT((S^sh8p`SNjplw+XJZ`!;n1O+zkAZ;!ZJsbQFS!Ul`O1Cr z%-J*E{pFrIo;~HK^}D{G@z(P?>w8w)^GxCClluDJx<*%w&5eRI{B%$GoH@D1OXuX7 z^P4w$>3E$xq2nEDU=nT+V8EQDaEPrputMTe#sqE#)CtS%H*Z&lurV-zFxn(=Q3~25 zaG-do5yP%f20@z-1zFN(TGJCO1MENe?Ba=uJ(h9z&D1cXI-iM;ULH2RW%(|AIhWW5 zV~6t>I`mT4l$M;$PEP7@J3hxqiTe)&JL6x5IbT~Bb{_Yz4SlMzHLG57PmWpiwv#^h z4Z^MFxbL_$VRG*Q$ur;PPHp?W@%-(uEA^j~WEF1yZ;yPn(x4!H-a6%(+tp{}-nn`@ zGH03c@yLB$tGc#*v(9{ec7Og|%gfShc3xJRIQa+rWp)k@mn(YH$^%0MCtAr&c=O|c zz$Xn)f%YR4AKp2;{+_|>P3MAcT>G;s=bh)~2|23^#LhOo3<(Y0w(IIO-bi<LjoW_F z_s{=395=0W#>I?{5q3(i{-?dj{af*S)&=&OCho3(qIMHsEPFb^JoIa|$DLmr4@d@- z?N!*h=t<`8Y#ohnq51j(@0r$x8vG1ZzENi(H|fj|<>&L}71y8p68^R9rjKCp#y!qU z<{hn!zf(AyH}q*}>G?kj_XPCCPdgVhOtF#k>sT?df<3P!`{va@@fC-6?2M>WN-8@m zJbBmG)wh}Ib{HS+K4R(eD%DKVT*XiM>z1>hb_Jd<Stz$X@?E9PoU>_`S<Oc#N?Gli zu`wb}`Kz7F*|eXW&TWAme^#wC=jjydtnRpS`hs9>iJgUlT*#4}X}A5_H*XBc4-WN_ z7vKvx;xR`>$XNWe<CJZy@-^0X@|ZJqS}9%%@^?Ao@h5ZM7eC&0)nBE1q|$e;5`Gjg zX;J_2=OU(Jr)~8%=T6GoTez`?HO}I6xN?8yvF@XvrnD>nny`c~n8W4D&l8b4>Vl?> zlPhv1mOl(q-)nl-$b8q;(ziB_Z+30)etv(~GP~2Y_x(b7z4x&E_l$Vu`G(1H|Dvq# z3#LiO^NZ`{Nc&y6{5s#&_UO7^+n?g`;@9t<-4m{F-+M?;ge!h!^ye>I{^+$w)F0FH zeY`Gqf7VmJk8?HN9Ew?ae%`Tl=e%kRwOy~Qv|-+{R4K@^kWo)VqSQ8Q#$%I@%u@vJ zEL`DqCc{jE+x&p#TKnKrC-1HmTa-3=XU-jl<43q%r%H$J6`Fg)D{$LM-+4PUj`Xx0 z%(%m_-$kHZ#a)%Z%{wZ<OUgsC{>A&5A2V<DvFcSiUaWpM>32)et_-o$_RAi%9sT6L zIrl=&?_kTS+X42T(@ZAtRIsHsTlDwHh&+`)_<*rqz&h5EF^0)?aptUJnOf3Jf#vZ= z4|tRqm>#ZMUGBcY@lBuRmvGT7_Ddd$Tx6fJze>W6vCv~>)u9hP_aCl&w%1aDFOwzO z+V<=L#fsTs6MI~jZ*b9U-*^4(&zHYk3plINZ5Gu#?lV~Okk7^<CHKcoi!W7WQhqP9 zxa!|r_umxhp8p}z_X5W@;r<8pZ294Wwpx{DXS!}Zo3!=wWmXx-E3s#P+pm0hjf+dY z^Gj((rj>p5vQG7m@;D#mtSDD*f!%)=pJ$6X$m=O#x3T#Cf4lPQ&ewVR1s&elg-0DQ zUZmFf|Eg@0EDwLf@f8o37`Yg_Ww^OCsd!G}D{zvWrTkgQVUcIwV~rC6&OFL2oE$<z zjDmhgX0=#<X6!2B_grt1lPfB2q?R)GECa7Y^z$FHauj9n29~p=uI@Y0FDA4>eBo6G z@r*6mGFCsHiJHFO@`AB-_B+?~H)#%wJ1;o<?QNLR^JYedK+YnT<2P-Nc0O9H?Q86M zOlRj$_8C?_Fa7qWEuF&g?#ov(w-q1xJ1>4n?>0JR5PV_sE8itcG<sZ^3#Uj_2G5k; z>E|W0s^;kB;0}c!k?D75q^`9pnl-^-+FPlmp}jr9^3n$b`HFeOPpjW~u*gfrd&7#j zVlzvQzCV{X>-@KoJF&>X`TKRRq@Da*82h%AvF+E@c{@qM@PdbwY#gg)fu2a1-=wvi z4F5GR$b3?HwRm=*)trzw-b;Bt-rr)#!j^eE{Ku_r*>MhzJccft=NN>&?Rg?l>U&pV z_S&$2zODjEtDc3{?<+Mp%P1$zKfmF)SI%{F6~0ej)C*)@`z#N2bm;KAl>DN6-wz$r zmJbv6G^}Nsw(|Eo=4qOVO1Vjs3%N~&G8jX$U+ysfta~kGsz_pecIwkLM|FD2!$tV# zs?Rfi<Mb?XZkw-6)sv|UA4YzdF6Atgm{-2xFni_8*yQB&OI?4rT}WuJRSGv{I$hH8 z|N9=t1=|wSbGs(5&|7)J+pF@I;}>1c`fA%L8=3E{;(}E~kaK(HB(g4l#>Bwj!ok2G zjIwejz9=P8FRM5oaVEvvDY@CV4Frzge;+K<=*S+LlkR!zN$mA9{tIQ%lh!o{wj|9@ z&fWCHv*=dNm)-B5Y&pXF`tWN*VUBBm-@U*5*Z<dFrWY@LHW*zy%sy8-noGa;UC+aE z;p|84%c3H8YS`%J$0<m@<&V^V_w~W*kf8YYlbmj~GA~UKVqh08$(TN)G;y-1kW-jE z-=?J(G}8*Sg0mlROgD1<-r<#A9(?(X1Z(_-U8P&+>KADmF`v$x@J?-mvncQH^$&b? zL)n@u@-3uzA1c-Ou4%~f`*wVN`{N3h<?fpULiVq%Q?X&Yx;@Oyrbj_>MxAE@d+xWr zg}%u}M{ABgo5nkd@kUhkN^yfXn|FRGuv@=%eWO<Q@4Iqy&MeDqbq=29l<s)MEbIf% z+?Wi#Edko<vKxG?48u<tKXhGpM$|pKm-XA_h<90D+gFQSDVY?{dHc$Neb$Q=wR}4D zg7)s4w(?`3YK>s5XShqq*G(rxv;`M`PG08PnW#JcRCLOV*FIdnoY!jl`Cc5Y*`)UN z5?`#!X|IneuP!mTWV1(1`XW@c<8H5p_iBqz#q+$Y*DZZ+CVV&G%HC<_(R{Wy8oobH z3|6e0`>r!iCg0WB%;)dg!pl>?v9A4lXwBsS^Xwmde{$3eV!!u($e*2<zhGv8{ezxg z0!v~h>?&RI^(5P$h}O%Gn2v3EsKxq>tD8H{>+Ip{i3~d8x30|7j=ZGu<*~#J*YqrJ zv7Jsg5+<H3eiU=^<E`k4Y=H(+m5DYtyLMjT_@bWm^!VfaTNUrLd{Xrccefm~{ipJk zdE=uWo7HBEWEnAvU#hfnygl1$lGw@?RkPo>`us8`rFL3xasIBw@X@TW(CqHc-rV*y z{&u~4_7byfWoFgb{kZ+fI`x^;#kJ0RZ4atyStK9NIi)wRDLv@n>EjP3JJ|Y{H`}eW z(mllb$L6Nk?<MadW6xc@RMEJ6qe$fAjQU!oL&ckK?|MGFr+RVzs@<zIqIa17xV`ZI z<Ify__<PRRW!LD%-}wVyUkb?|i&rzT{bpidc%^}H7(+SI`qH~m7yE822-Lj4ej}l* z_y4mb>6QBBLE2w`TW{XsdByw6GZ&@xrQ#=#oqp5Pn9BG+s{YTPe;@6>-ghxM*|lHg zbISC{#VL_N7ag0wY<d*8<U!TMICdNDP0J#!r>I2yEuZVj)bp^Q>+hA)AN6a$M^5G| zxO>R@)FQtApZP2QY5%i-`CQv%g@cA<fxAL$x0A%5G}D6K%gV<xr*X{Z{`;8oZTgLM zIfe=)^%jg~It+R#ZU;JdJh<n4oo7@2N8Pyr>t|f!`0x25^n>?-*s`TZ;=X67c^-|r zx_e%Zg8N0`m5fvOem9mjG%*%#<@9#5Dp=RE<-+Ybs}rss-P<L{x`e~|z86o$0U2SA zqn*OxW<@oxS1oHutC0(=z3?VR&{)YO!&r;q%!)go<=z=hmN@^)!GO_?v%>RqjP@(e zESKQ5OyZSZ%kLVqU)Ztq^fV7{@2r@PS2bc=cbxqw%xe~%pS<-&o!_FDRVxIKNT1$( z_q-dccT3UQ<BQD17cBlRs4AxWgkh&Z?+!OxuhR>>&c3y}Z<Qiq_wC;9bCI)W=I&;D zrevI?{rq~uZd>+_yZ?i#=LD*W{q*r)IPu`|S;;3(?%g%}?Tgr{^S+0!ed@AOFSk-S zow52+v~uU73YM++5}NaE*=laCv`Eu4oh0^PW0;HSz1-}YVyDL!w>zokW|i3Ol3-^% zXYr`+>54a*t~<l|I9}(j`Exx=$jO4Ap=X=9yVU!Fv*Mf7Yfb&`1eeadny1mFAF!zA z)?_iQLtl3t-DYxBZ_UPT&61ks+kSp>GW*&Z>U4ST-8H+PFYSq+Z?pNs#%amtpIpeC z;l4F7P1p4DMZ2Goo6T&}GmpG_^`f@?Ow}EuZ&S;%*PGqpd9X6wB)4MI{(~>Y?8?lQ zvhHVFL~noC8YyafK5fC?Ue0L8m>-N8`MI-lw{4lIXzKrr$9}u@>uSF9b2nBW$}E#k zyR%y)be;>Zsp7@INB8`S72cfj)Q*YMAb3*oyH8BV!cJY``6099&k0}Ef^=n_>-V>= zl~0v&l4}!qlpo;D$Rxrn!hroGI9>)2U}RumXlMkn;OD||Le7N)oehU>0_wqH$R>Pd zWWaXJ7#_1wkKsZ#OOP3hSs9>1x$qc=dSn&EIFPp*8ckSGj6*uW3XgH2C}%+-8>hvJ zY#jJxC_Lt{+($k#24W5*%)L2L%>gZj#cB`(mgV#igCPE^P(U##6;$})F$i^)GqOQi z$|web*F57f2z6;MvO#q!C<ax47y4o~2~w1!cK#tIK>}%+1BywIp#ZEVK|%?&_YN@$ z5=#1xC?<jX@>mUmI0?1&1u+QXq#f?a1|hY@@R+t3rLICY?S>b!X?ozA3&kK-Hjw*y z8F(2EGcz!7_%Sf(F}RwfS0#IyMg;_97@MWJn5Ouc8x=W+dM2h7rH8nA6o(j<Ww=x% M8Ahg81O?gx01qInod5s; literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/impl_1/vivado.jou b/proj/AudioProc.runs/impl_1/vivado.jou new file mode 100644 index 0000000..52205a1 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Fri May 9 16:04:45 2025 +# Process ID: 112122 +# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1 +# Command line: vivado -log tb_firUnit.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source tb_firUnit.tcl -notrace +# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/tb_firUnit.vdi +# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/vivado.jou +# Running On :fl-tp-br-515 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4317.128 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16533 MB +# Swap memory :4294 MB +# Total Virtual :20828 MB +# Available Virtual :15874 MB +#----------------------------------------------------------- +source tb_firUnit.tcl -notrace diff --git a/proj/AudioProc.runs/impl_1/vivado.pb b/proj/AudioProc.runs/impl_1/vivado.pb new file mode 100644 index 0000000..b155e40 --- /dev/null +++ b/proj/AudioProc.runs/impl_1/vivado.pb @@ -0,0 +1,4 @@ + + + +End Record \ No newline at end of file diff --git a/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst b/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/.vivado.begin.rst b/proj/AudioProc.runs/synth_1/.vivado.begin.rst new file mode 100644 index 0000000..e227803 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/.vivado.begin.rst @@ -0,0 +1,5 @@ +<?xml version="1.0"?> +<ProcessHandle Version="1" Minor="0"> + <Process Command="vivado" Owner="l24nicot" Host="fl-tp-br-515" Pid="111584" HostCore="12" HostMemory="16146436"> + </Process> +</ProcessHandle> diff --git a/proj/AudioProc.runs/synth_1/.vivado.end.rst b/proj/AudioProc.runs/synth_1/.vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.js b/proj/AudioProc.runs/synth_1/ISEWrap.js new file mode 100755 index 0000000..61806d0 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.js @@ -0,0 +1,270 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) { + if ( ISEScriptArgs(loopi) == "-quiet" ) { + ISELogEcho = false; + break; + } + } + + // 4. WSH version check + var ISEOptimalVersionWSH = 5.6; + var ISECurrentVersionWSH = WScript.Version; + if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) { + + ISEStdErr( "" ); + ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " + + ISEOptimalVersionWSH + " or higher. Downloads" ); + ISEStdErr( " for upgrading your Windows Scripting Host can be found here: " ); + ISEStdErr( " http://msdn.microsoft.com/downloads/list/webdev.asp" ); + ISEStdErr( "" ); + + ISEOldVersionWSH = true; + } + +} + +function ISEStep( ISEProg, ISEArgs ) { + + // CHECK for a STOP FILE + if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) { + ISEStdErr( "" ); + ISEStdErr( "*** Halting run - EA reset detected ***" ); + ISEStdErr( "" ); + WScript.Quit( 1 ); + } + + // WRITE STEP HEADER to LOG + ISEStdOut( "" ); + ISEStdOut( "*** Running " + ISEProg ); + ISEStdOut( " with args " + ISEArgs ); + ISEStdOut( "" ); + + // LAUNCH! + var ISEExitCode = ISEExec( ISEProg, ISEArgs ); + if ( ISEExitCode != 0 ) { + WScript.Quit( ISEExitCode ); + } + +} + +function ISEExec( ISEProg, ISEArgs ) { + + var ISEStep = ISEProg; + if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") { + ISEProg += ".bat"; + } + + var ISECmdLine = ISEProg + " " + ISEArgs; + var ISEExitCode = 1; + + if ( ISEOldVersionWSH ) { // WSH 5.1 + + // BEGIN file creation + ISETouchFile( ISEStep, "begin" ); + + // LAUNCH! + ISELogFileStr.Close(); + ISECmdLine = + "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var wbemFlagReturnImmediately = 0x10; + var wbemFlagForwardOnly = 0x20; + var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2"); + var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly); + var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly); + var NOC = 0; + var NOLP = 0; + var TPM = 0; + var cpuInfos = new Enumerator(processor); + for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) { + var cpuInfo = cpuInfos.item(); + NOC += cpuInfo.NumberOfCores; + NOLP += cpuInfo.NumberOfLogicalProcessors; + } + var csInfos = new Enumerator(computerSystem); + for(;!csInfos.atEnd(); csInfos.moveNext()) { + var csInfo = csInfos.item(); + TPM += csInfo.TotalPhysicalMemory; + } + + var ISEHOSTCORE = NOLP + var ISEMEMTOTAL = TPM + + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" ); + ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" ); + ISEBeginFile.WriteLine( " <Process Command=\"" + ISEProg + + "\" Owner=\"" + ISEUser + + "\" Host=\"" + ISEHost + + "\" Pid=\"" + ISEPid + + "\" HostCore=\"" + ISEHOSTCORE + + "\" HostMemory=\"" + ISEMEMTOTAL + + "\">" ); + ISEBeginFile.WriteLine( " </Process>" ); + ISEBeginFile.WriteLine( "</ProcessHandle>" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.sh b/proj/AudioProc.runs/synth_1/ISEWrap.sh new file mode 100755 index 0000000..05d5381 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/ISEWrap.sh @@ -0,0 +1,85 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. +# + +cmd_exists() +{ + command -v "$1" >/dev/null 2>&1 +} + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! + +HostNameFile=/proc/sys/kernel/hostname +if cmd_exists hostname +then +ISE_HOST=$(hostname) +elif cmd_exists uname +then +ISE_HOST=$(uname -n) +elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] +then +ISE_HOST=$(cat $HostNameFile) +elif [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi + +ISE_USER=$USER + +ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l) +ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo) + +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "<?xml version=\"1.0\"?>" >> $ISE_BEGINFILE +echo "<ProcessHandle Version=\"1\" Minor=\"0\">" >> $ISE_BEGINFILE +echo " <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE +echo " </Process>" >> $ISE_BEGINFILE +echo "</ProcessHandle>" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ b/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.runs/synth_1/gen_run.xml b/proj/AudioProc.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..c1d68a1 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/gen_run.xml @@ -0,0 +1,135 @@ +<?xml version="1.0" encoding="UTF-8"?> +<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1746799385"> + <File Type="VDS-TIMINGSUMMARY" Name="tb_firUnit_timing_summary_synth.rpt"/> + <File Type="RDS-DCP" Name="tb_firUnit.dcp"/> + <File Type="RDS-UTIL-PB" Name="tb_firUnit_utilization_synth.pb"/> + <File Type="RDS-UTIL" Name="tb_firUnit_utilization_synth.rpt"/> + <File Type="VDS-TIMING-PB" Name="tb_firUnit_timing_summary_synth.pb"/> + <File Type="PA-TCL" Name="tb_firUnit.tcl"/> + <File Type="REPORTS-TCL" Name="tb_firUnit_reports.tcl"/> + <File Type="RDS-RDS" Name="tb_firUnit.vds"/> + <File Type="RDS-PROPCONSTRS" Name="tb_firUnit_drc_synth.rpt"/> + <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/hdl/operativeUnit.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="tb_firUnit"/> + </Config> + </FileSet> + <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> + <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> + </StratHandle> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> +</GenRun> diff --git a/proj/AudioProc.runs/synth_1/htr.txt b/proj/AudioProc.runs/synth_1/htr.txt new file mode 100644 index 0000000..1bde59b --- /dev/null +++ b/proj/AudioProc.runs/synth_1/htr.txt @@ -0,0 +1,10 @@ +# +# Vivado(TM) +# htr.txt: a Vivado-generated description of how-to-repeat the +# the basic steps of a run. Note that runme.bat/sh needs +# to be invoked for Vivado to track run status. +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +vivado -log tb_firUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl diff --git a/proj/AudioProc.runs/synth_1/rundef.js b/proj/AudioProc.runs/synth_1/rundef.js new file mode 100644 index 0000000..02e18e3 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/rundef.js @@ -0,0 +1,41 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +// + +echo "This script was generated under a different operating system." +echo "Please update the PATH variable below, before executing this script" +exit + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;"; +} else { + PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log tb_firUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/proj/AudioProc.runs/synth_1/runme.bat b/proj/AudioProc.runs/synth_1/runme.bat new file mode 100644 index 0000000..637899f --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.bat @@ -0,0 +1,12 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +rem Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +set PATH=%SYSTEMROOT%\system32;%PATH% +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/proj/AudioProc.runs/synth_1/runme.log b/proj/AudioProc.runs/synth_1/runme.log new file mode 100644 index 0000000..0eaa5ce --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.log @@ -0,0 +1,540 @@ + +*** Running vivado + with args -log tb_firUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl + + +****** Vivado v2024.1 (64-bit) + **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 + **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 + **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 + **** Start of session at: Fri May 9 16:03:09 2025 + ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. + ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +source tb_firUnit.tcl -notrace +create_project: Time (s): cpu = 00:00:15 ; elapsed = 00:00:27 . Memory (MB): peak = 1680.684 ; gain = 327.840 ; free physical = 4724 ; free virtual = 14640 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: synth_design -top tb_firUnit -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 111843 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:05 . Memory (MB): peak = 2498.047 ; gain = 420.559 ; free physical = 3566 ; free virtual = 13535 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'tb_firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36] +WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:57] +WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:63] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17] +INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b10000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] + Parameter ACASCREG bound to: 0 - type: integer + Parameter ADREG bound to: 1 - type: integer + Parameter ALUMODEREG bound to: 0 - type: integer + Parameter AREG bound to: 0 - type: integer + Parameter AUTORESET_PATDET bound to: NO_RESET - type: string + Parameter A_INPUT bound to: DIRECT - type: string + Parameter BCASCREG bound to: 0 - type: integer + Parameter BREG bound to: 0 - type: integer + Parameter B_INPUT bound to: DIRECT - type: string + Parameter CARRYINREG bound to: 0 - type: integer + Parameter CARRYINSELREG bound to: 0 - type: integer + Parameter CREG bound to: 0 - type: integer + Parameter DREG bound to: 1 - type: integer + Parameter INMODEREG bound to: 0 - type: integer + Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 + Parameter MREG bound to: 0 - type: integer + Parameter OPMODEREG bound to: 0 - type: integer + Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 + Parameter PREG bound to: 0 - type: integer + Parameter SEL_MASK bound to: MASK - type: string + Parameter SEL_PATTERN bound to: PATTERN - type: string + Parameter USE_DPORT bound to: FALSE - type: string + Parameter USE_MULT bound to: MULTIPLY - type: string + Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string + Parameter USE_SIMD bound to: ONE48 - type: string +INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] +WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1011111111111101 +INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0101100000011010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111011001101111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0001111001111000 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1110100110010111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110000110000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01000010 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1010001001000101 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111000110001111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1101010110101011 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] + Parameter INIT bound to: 1'b0 +INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] +INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0001 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0000000001101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000000000000000110101010101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0010 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-256] done synthesizing module 'tb_firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2578.016 ; gain = 500.527 ; free physical = 3483 ; free virtual = 13442 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2601.766 ; gain = 0.000 ; free physical = 3475 ; free virtual = 13434 +INFO: [Netlist 29-17] Analyzing 53 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] +WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:15 . Memory (MB): peak = 2668.766 ; gain = 591.277 ; free physical = 3385 ; free virtual = 13378 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3385 ; free virtual = 13378 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3378 ; free virtual = 13374 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 000001 | 000 + store | 000010 | 001 + processing_loop | 000100 | 010 + output | 001000 | 011 + wait_end_sample | 010000 | 100 + iSTATE | 100000 | 111 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:62] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3354 ; free virtual = 13366 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Muxes : + 5 Input 6 Bit Muxes := 1 + 2 Input 6 Bit Muxes := 1 + 6 Input 3 Bit Muxes := 1 + 6 Input 1 Bit Muxes := 2 + 2 Input 1 Bit Muxes := 6 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[5]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[5]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initSum_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_reset_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[5]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[6]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][10]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][13]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][15]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][5]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][6]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][8]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][9]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][10]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][11]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][12]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][13]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][14]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][15]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][5]) is unused and will be removed from module tb_firUnit. +INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3300 ; free virtual = 13357 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13232 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----+------+ +| |Cell |Count | ++------+-----+------+ +|1 |BUFG | 1| +|2 |LUT1 | 1| +|3 |IBUF | 1| ++------+-----+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 366 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 526.344 ; free physical = 3266 ; free virtual = 13254 +Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.777 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3264 ; free virtual = 13254 +INFO: [Project 1-570] Preparing netlist for logic optimization +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3561 ; free virtual = 13553 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Synth Design complete | Checksum: 1fc2f979 +INFO: [Common 17-83] Releasing license: Synthesis +86 Infos, 146 Warnings, 27 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:26 ; elapsed = 00:00:43 . Memory (MB): peak = 2676.777 ; gain = 992.125 ; free physical = 3563 ; free virtual = 13555 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2220.538; main = 1897.222; forked = 375.091 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3718.098; main = 2676.773; forked = 1041.324 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Fri May 9 16:04:40 2025... diff --git a/proj/AudioProc.runs/synth_1/runme.sh b/proj/AudioProc.runs/synth_1/runme.sh new file mode 100755 index 0000000..32f569d --- /dev/null +++ b/proj/AudioProc.runs/synth_1/runme.sh @@ -0,0 +1,40 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# + +if [ -z "$PATH" ]; then + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +else + PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log tb_firUnit.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit.dcp b/proj/AudioProc.runs/synth_1/tb_firUnit.dcp new file mode 100644 index 0000000000000000000000000000000000000000..f0096280a919e3d4c9b7665975d057766fac19b6 GIT binary patch literal 7730 zcmWIWW@Zs#U|`^2n9{H^>hs*Z14kGc7%sCgFt9UlGNdFI=vCzAgody(FjsKPW$c|2 z-9O7g=IH#_%V&JJVyDwR<<O=;bFGS-1%@$K>?S(4S?Qjek+|X4+wRvhdMDofaOL`c z%jeT48@Jp$T^QcLoTR#JiGFFSYn5b4)nnaTe{Kf*SVY8`hE8qh=1i^ioX9p;e{Y~o z`I;l$cPGj+@V^U)|FuwJSLUlrk0a+F>6^S~W{cFi11k=>vbFFAFokI_>)9}dvff?( z{Qa-ZNle$f8dj~i#k!fJMqAFkY2y+08G!{BuZzTMu5N7?`J8`<OHzE_<6{l07JV@K zyVN$5KQ@rlG0sqA(dxH<C-iVfOsgn1tomj(>sH*REzJclI5rnuRN5uZK2Oau&G6u# zMG-q%G8@}OmlxNEJgwY&H}~559rOD&AHJwqs~{qtCsBHVQS_0X7Q5(7r6!3dUxUm~ zorw~e@O;YKJWt^m1%bKWo^O4V@b1TDm7YfvOTw)*E2o}n{}q`j_RxIO!k2BCS#6d5 zvm(zupOYK5#aXxQ;Dy-Te$1Ktl6OviHUGKaGyDBKMS0KN8*<O8^A=8d`u0<CzKqEe z!<!q*8V*RAJ&9zyeKg+rzPFU5=h+GM)3-bo&|aO{zCX8|qrU3m8peQm9QW%wUfAyY zzm9G0IeSEmPi|Nlb;+$D<QW44Llz?ggCGMZLrGG6T4qsbUS>&raY<>CUKu#<%emz; zYWp_&9d;0KsjfddMWK;b%xOW0po!*$MH~XMQTNwA7M*VW`diMU{l}j#X=qDZI(M$Q zb!hAI3;u!MVh_E2eE4r^ZSeIU0_y)NT6@h^uboSr&f6uceSBhWWXS%5XHq`!Wm``& z&-tjN#%A+bqwVYd1#`aK>TKe#omIe5R?w60Df>%6SV!7@;v|;-uxFDOIz}#>>@WM8 zXPH3EJc0W~EDH-$_FZUEz9q2nre5y$K2J&8%%n3<<|lkK_N#cVq4#ECVn>_*`)4eh z$`q%~6?w8*Wu{8G+4Q43E3@pPJM-f%9euhgA>Y61BQt8~a7yG|e8IrL(9FodAc8G) zGEyL!0}?<7vkn^wxV-<>^|#^H6}1*KyVfHj&EAT+3(XZzN~f=!(6hzt<Nfe+3oUHF zY&)}O=3JfTX8C6he_Iz;)ZI#ZnjCpZJhJFId&ZoTiTS#7#1sy3Dz|a&j!Aj_U{Yk0 z^o{b#MQqj;s=_uhg-XpI_bYi@tuSy7zFw+&tYf=&{eO#7-`*~@JhA9T-ly8zVNMH@ zA4x`>Hg5HI=MkBFW9<}|l?i%BGAejDCc5+f`=h>i2J_2_lkKfnt~I(J^jrIlLv?=O z&aBoyw~7Mh%A8QuQM>##`_(>;+C8=R4&IgOI*@q8E91>c-OJkOK~xi}Vx!B*z@UK{ zL<NaOiMjCd0~ADz8jRQfMdVb38CtkHDS&{HfdPn8Ff=rfx9eX~u|cJp&-I<jn|U@j zn4@+*`MW_gWY(+i?*G=G3AmQGkfW{4<L4z~M!}g|cKVmiW1F$VR$TQ*!{i-O$```R zZZ*6(%v)5HxBt@3T+4{(qT6R|D=KAXG+$EPcb7F-T1Ga0>6$%%wpSRx-Ktz3p54qn z$J}~CYSNDrZxRn{_`TEe=DmAQV8f!h(}Ol<_H(_qDwPb`x@BJ1v(^Pma$d=YDNQ*! zrFnAG8Ydo?23>d6#whVyIxD~4*!o6GJl{|E(<|S{uJ=Quo=$c<dGu8J0lqb2eX1?% zt}r^4)?P~KyzQjS5OR|#xsUC*Hq(R{GwHCEPA_I3-0vUf=P;?}i;<J!jP$*KS@-NZ z{)+Q}XMfq<4F;%5v2YvD(znbE3@?}&7<f^VqFzNFJd-lMU>5&_62RuEkN`GLF<9pn zAko^om1F($rFwJ9&)r_#@AS;{u=q_eCzS`x%N`0@zb@SJUFL$v|HreY^cR?Dg{!W) z@BDR#_s)ZVn^sHqEV@zgevNXkve1g7)fdwu?kwBt`Qe0-U?WR5YeM}Zf$~}zo_pdU zq6b1(tHrIJv^#a?glhISrkp)0jjq=7`rZ{kd{xK&C-afKlEue{l8<w?Y3ZqQv+=lI z_?Y>$P4M>Jz8RKVdmjYx?3=<9`DOKHR))1x<GY2ga(0<>TwJ>Nic`yEo^OBkjs#Bn zp#8Tx{lSUN<vO2LO&{NxeNLHCAcMW`Q1FgR9jtk7CyK7sb<3`PxUaXtvFg&|pL>!y z6lWRjv05(un9->vF^Bca?sL*Y=fZ<a>ST^|)vrq|7rJ*#z@a@={2JT+jYglZ-0|<Z zRi9o|_|k2|XU$K`p3F!LKQDcIv&JFzLhZ%d6oZy)#XW1#ED11v{%xj%%gRUYYpl<S z<WBsi5dU;t&IZdxE&?o7zieE!Zq?pN-`c}xdu*=BjWzM?*3-kI&%fQBC&*Yir;}Uj zH@il9((8}2?M=@;_2_mMoo4qxrRs2Shwwi6r>!AX|JG{;9}^aqj<@jplvTfdwPB~- zM!iW1?Cb?H4u5jbwu<{6+pEd;X<{?O%&c!l#x99=vAMf<E0joCdsQuwW2=38$n}%Z z3#H3dhWd=#`R5&tXO!RBSe!O>pUAV)tRGH72ThbquV}xL=l0oGD}20f`Hb%`wS$W{ zls?X7kbJ`&?euf?p8v~FesU>IJ{j^_a@Nz2F^7del<f9gXmZZ3D*xWZ`T2QP_u0;Q zqzios*=r!cxc`)g`GJLw&z{V9YEr$C>%G>Nsk?a@EN;bLQWV*;?Dpx3Q~&R>Om~kv zm@v=t$oUoL+h!Ho-SyU#na2KO_2=)3d09t}#0T@OJYulMxuK*^Qh_V#n9#W+7B9`j z+IznpFsfX4-Ye(U+82g%&;6d7*67e6kzK(hTM`-hS0%$jHR<HHE|GfK8yRbyEgPRK zX*g?L^?BQIf1@Bar<E%4-){7$Y<Kq5*r#>1`uSsD^<00+;_h=g{3jRP^L*0#G&1b! zm!li}D=wQA3tYC5QRCC*&VJ@*{Ck?YCRgHH)1&=@=S9~XT(7jhvtva`?-5VApr(&u z&ktQc^IRcEP*?f(tW8fGQmxhUi<*^eL<Oe5c~Ph85j%0R);c5aUyBZ=dBw2kZ_?lN z>Z_Z?!?&E1rz@8&`ukXrKVx?|v+~V`>X2;z@YBaV9xV!JUD)>4$6auxEgyU5aZX+4 zZ(;?(*;DlPM#)V(o-%#Mv-(GKWW}5Hw=f)-@%^}Nu=`U(SOLMn0IJE=RX!%4WM*Ih zVLsFXA~huqUP5SW)&HB|!t+;_L2Y%b>W5Vo%zSf8N|UT=G{3$qWi5JCJZ;7@@&Csn zH&nO^Nq*qbVRGiIWT;@MW@z8%GwbjYONCfR#t@|j!L2G^Tbs8$=V;%z!+y(Dx6Adh zB8AUQ1P`b<1{v=@C>MUKd6}zTaDm)v7yp3Z!0-U=1z{c&t}H&Wx3T-%`v13cW}cle z_4&LxJ;Hr+UZm#iv~Yg0G@kEDfOqXi)y>oIp1Hj{_4n12-_vd9Gs?EzJ6l)%_IckM z;f+P<@fsFK#NYf$FE@9Ny7+8k6#pCVXP=J5^;}kMnEq|&8)ZKixd<kkh3s~*YV-Hc z`KtAv<GlQ>^RDld*1Rng7hK3bt>dHoge}w9Yw~L3%D?#q{g|Ma^dWOrQEpw4SxW4e zi@bMsTkO3MrpC*7_0Wq@;SJh~yA}Al?N%r^hv-f+y~cP|qs2=p%*AmvXXIl2`R?j- z{#NeWcJ27J1ydIuP7KeiF5jtsa{oW^^2v(H-fsK`T&}mu5~pNEyWHM%Zu8f)^uLn* zn{QjcJN@taxxBLtakE-&GY@~iEw+6r!_<fwrWfqu>K<$~W$HO>(a~ubsP@Ep!V%qF zZRhXoX!z_QyH#Pb%POsnQWJPrN4W8-Tys3D=MmqfdUv+3{Y!<TLSLqHZfTB6Ub}bq zyuZbqj}2CMOq`*SF-xe2Rk=$mqiey*K$R6;Nitdq6DsR;<7WJ=Tj{!J(h?3E52>RW zA-T1mZn2sgiiD;wXK7fy;-aKehMS9<%cNx!5_ue$k|r$Dx-FFONTI#SfWs)c$FR*q z!MM@K#8Ammqeq&t%UWym<J6K@O;Nw3W>4(R(m8o|`jHyd%clc*Mb$s(RxsCIFzgAP zEt#?Z2!{@*^A?70&uj`ra=M?)zSwYiqhV&+&mx|iYx8r8Cw<#snAOX#()>N=w8H7= z`K>BE0@_EF6QtK2F+SQ;yRTv5l|ELnUsbjz*Iqng<`6q;aZY;1v`&v*-mkvj`}B40 zZo4-#+OLIoax+%h{`nF&N!@1eWNoMA_qEUJN2sJfa_O||dnC)&{5|Zx<KJ@e!zsA} zyZa=bJUr79@5Y)C<(q0Sn`i%)+C{cKd6A}feLhL33M9qM)o)zIvthl+D}m&PKSL$e zHW=OI7O*_8-hRB$ZPDX|`_3$PW=+1F`&nfvpTtdVLBV~7YxuV9P5s%YR_?gC$IJLj zV;xU$cccAI|0-t-MYYKSN?vIzSS!{uI!H|T@o(z#l~z-hf4ITfFT$|Hd5yiTOhRv; z^Gi9+;K0LAt$fUGCOzSMRprEe;L6fJN6#E}|1i<^rcLV2a}`{$+|L2d{ej0#7Y8#i zF!V7nFz}=1{>;4OB6#-aK6&Qs8SnmbPaV&m^3(cV-_LmKd7bq=tL=HF@bpQ2eQ#Z( zE5_zVK^lI#r+m(wT;rv4^33_oo4j<q&YjTl4mB_dHwZ9bPEt6;)*DzMaVcX0Hv`Ne zkYeZ@f0E6ARt5$TMk|JjQWD|r02f2M#MbIF%nD!;u=!AsC4HtfJ;8E=Y=xRGUyQ6- zlzH`1bEPPsiH}|$Hoax}E_^wc*al;V^BX!_L;?d3_q{rJM4<OvT>M71PYhoe-Z8{q zHOY#8s`x~8;dURj!$zGirPF%(o(rrqxssq*z_zhAed)u0uRm|Q`sMzfaCVMw|6|2> z82VoqoUvWKBlphL)0twI3!7KlY*q9OWPi!bbSq~Gzkq*x$4M>y(hd_v{tRWqk||2l zL*Kc+T4>_1sP~w%v&^I~87?vs=kES_#k)~2`%Dmj>seb(v8Gh1IbjzK=C0^E6tw-; zEXLO_J|skDzW#lq{>I@=e1-ysr?t#o|K9(zXRU00ywI%%_XNj_^A9bZm>0!Y=^tvJ z{^S}z>%N9fuUSuCRq?(p>+HC(zbd=2Lij^ho2q>l%QjhFcNODzCw8i<&+t9Q+Zi0R zC1+~>jtz{pId&!rGQnF?rruuha98mDgAot4++ANq&iQ^+w*68?$X#Rm4&x)atN%DJ ziJNxOAnIw{Rr5Us4LuezXWKg_R+yjK;XTcB*Vkj)GN;ZjJA2t_`?T1z|69#_X0H7t zbkTWD&eU?%zAKCmKe%)sah+HtEwS#`q4P(Qm)vtd?9pT;H&;?W^6By&7b`4eeBD&y zww<zVInjOO|KUT&lS58wtzX=8<o==701uBVD(4gznH=CRFG}~x-|)<D@*}lE-UU}y z{7o%*oZ%oZZ@Ko<#EL`Pp1oh>x_ZyFCoiw9&&zE&X_T<(?{b^(=|1er)2cpgvbnH+ z*)7`@PmfJ^Zsoe&cKOSdU(vq)`q|0;|BhZeT3T-W{>o|p*?Ol_4qbbB&Bj)D|7pc* z^YvE;JzNvJKkF&q$GOW+Z;Duce%`({=e%mxzjC><+=jVgs?xp6^$Z(N%b)59@rz;L z<}!=z7kQ@Pdxzm@$li%96)PijMDrT*qw1H1Ox_)>>EiQjQ|cXt?JlQXrdqR<b1V{$ z<~(FH^Q6$VmWqQZI#T8bcD}gSk&<y_XTeFXQyk42kNN)E8teJqUU)!NSEK5@ZPEMy zy<3`j8B^<TvZdX+^?u1*^Z)y%uFjac-{XwRy~byr@9!{H-459A(Pz-XWx=LuYOB0q zZpE8dob_+6>u-v5Pk(T+!*6TJLx}}_>h)jsYMB1TbM#sWyB|0xpMCh;vH90G|CXJW zbuaqy#SXWG?B&bFcQo_;4f$HJyX(c@`8>;S*la4Ro7EtH!TPblU+zz>^Lg?GHm~lw zb$d%!3XfK+vX|+Xy?N*TufK0}?%1`u^1r(Ao*>7SAtqY$xhtAD6w2mnt3HsJIN9Zw z)fSVv^KCC35xf)dCH}7Bj-4Mo*LW<N`}^PboxA0BB~|o<l@&_73%RsA=;AZC>6_Nf zRj-J=miqEf^!3Z>JKx{#T(z)c`h)t0gDV0Rl}>56noJTA5m7lT(cmPhr1e?I;gDxv zvI3t{i-Ze@uz=DT4s|9ap2VHZ;tl7Fe2)u@?_Orm^uhB&jp(&ET$MZ_2HzZK^V-<{ z>0I*U)3@12j)_!7@t-^9f1e|&YIc*LN|*Qf`Qd(-zZ7ru=L>wjg2PO9*^CvNQ#4uY zq7xs6TYgo3{*%{|eUT#LBmSyOandIjZ+AJs$i3Wq`s*F#uh-5f75&M%DDYHI`K}pd z72Q>BXC>HrV!l7S@V>yjHO5L@*!EPNg$2v;>sjWz^K%ykij~d$WI16$Lx|{ws(Bju zHLDf&>=X*uns{i|+{I!OCof_T;;ou-dg06!d2ei+HkQ|=Hw$WA`cO6Dh|tp(r5ary z%`=ah>lAIK_K0tHcRtP9xM{_X;x!qX@)A!{oSuZAwekDEX-?L1>02MKC%!1K`1b!b zYt^wElUd`ms~@kbJtEcdA!%~ptJfUDbER$+Kl@;D&PC@CbJOeDQgS;3ZTA~Jc(wMN zRb;`YD^WQ+Z*Ew3+GG07DR%wdwXF8*?P7g5NpZc%O5DFhcuGiw#3_UI3*yf6_aCoG zOg6|>`nzc5rZbwkyWgodN4K<D@PvuXojJYhOV350%H0lIJ?^b&{Gs?V;ZMAZBgd5a z{jZCv7kAcnZFSoD_Gxmsao2&HjLiY8usQ=W>=-p)I^ZZ10|Ofy1A{P1<rQC)lBkze zoDb>Yf`%Y_rzHB{HV|mr|5@8_s#;^q>?KQDzez97@oCE2((31QqG+;ra>1J<>qfqh z_tTgA=w4m$#9QS4<Ll+$=Y8J8{4SHHaNU+xd86Q63DdN1zBpJcyZW(u*1iih)1tTU z`PHzZ`ikP(4Og4)#}xeB@?K<;nhZCiGrxqyBFEUoTP;`h_8)xT_0VGN3?GA5?s*xk zwQDMxo-t27_D^g1#vrD3fwNP$^=8Ly3~{{r<<eP>vRJpd75w|A#VonN$8UW%k6rDb z$u@@R#U)p)Uwk>F7xU$~-O7sCz2|kRuIA6WZd0OIRB*@oMb);w=jLe!o2v;QId?K- za@iHOSg(^$d8`a)7IBAP4!R{2la_cV=itwZE$a#vbv2#5(*5EV*Q`YkjXh_kBqi=( zoK$pp8B^vdozpE<OIB>X<RCX?*|L(`Uz2!OS$oRQTvx16?&ir+6uN-ZMSGe}pxF%L z+3fvyo;04`bt>4A>&SywQ#`^J#Gl@=L5lO1(NmvYyis>qkH2&Ndr2#E7ng5>*rjJC zT(k0+nwGMvbS?7R?R)LE;F6OzJa49?#l(B&t@Mk$oc?v$^U|~XIJH0I-U)yD*#D$r zcI!j8EAQJT)|TcyF)q%oH^{wm<+RX0_PAAwJ=1!Nf1J&_m7Q_sj4e-$@}4W3PApZ; zcE9%E{LcM)$C6#Nw1gDYu3Ic-oqlCLU#^1W<W*ZjJ{;<Gl4)}=kqXUvKJnztZ*nVj zisxu**hQWd(&zG0Iy<#=U(zwvrs|DBH{#yAX?dTO5uR7*lfQ6Vf8Nq}g3m?dz0xN; zy!1IBX?`ts;n_vMVitQZJhW@w*%udjjr0qyhh}`U5y%sod@$p?={8BJ{qnQs?3I?< zFE14*_doyV?toymJNd`Iev{D_F;G~Z;-Yr@fuZ=1r^-L>1kb-5yD&P`edmAXdcU&X zyQ{1<x;3-R;}Y&hY}!(05_gZ||DHSV_WVIh{#MPmqobJ^7!JuZFrYP=%Aq|sP|Cj> z8J#C>$n)oYX4s{!DJ8Qj!kIo@X3(ARIlXQ7*5+%DvlI%>wB|bS7oE7+yy(gEf3Lqk zzI<M+t|MbwpZ~O_ksGrnX=Te<WlvF%EuGl-Ny{<)3-8Oyi=4WWLI2lYR&kPGi{{Fm z^<nSYue)ykS9{%^HaGE?_L{}IFaH?+j<@_af8&4tdcK8@u1+U=q#8xk*b+ZPUpZj9 zwV&g;t5D9mE&Xz*^e4QXW2oR$U&E9W!)WtDl2N+wVKu{g)^m1C+H0Eb8LZ>{w)w)U z2h$sO-xC#<ewU;ac{J^+_53Ua_lJ{DFrK=1edb$<u9+Q9ExN62bBq^9N93N13@9x< zue6|1&*_4>F!Q{^V=hh~AGn+>>&uUut+7CIKKGUMwdW)am7QA57BU{-dV0X>OsJaS zyqgCP@<^yYP}MCyt08bj=Im@PpDWY+%imizoSLT>t)!cC^N~}~^W`g!=DwSsvf*l& z^wmkfjXM|p4Y2MIJ-zwvd^hIsmXEXgU323cy1&b+is?RK*eTFj;ck2Cj6>?_w`%uo zmT>IeyMA5qwX~$)s~cyo=(%y~v+1qu^NdN~t8@A{pFW~A|D&Xc(T^=pC5np9zk6j< zmA>?OdFX7*z)h#C?;Qy~P*qdBWO0UGfv!Z(m$Szk^z}uLpIORvm&xW`S3q*^{>QTg zxy0}DOwF6T`19SP790h~1izcRKlPG6wY|vUM%Lm_@#UTZ4=ouCPQ~5LT(j^D<4*Ou z=s6YLSD%LLKBRHCp>vb@1zn9pCHIq~^D?_^mx??(Vz~AAbm^+iyf>Z&R6jO-{ii;& zUP`yqXKP!`GjY`7c-gfG#d-z?hJ6eS3<4;{v0iayUP%VH5f4g{+#k}?1QQby9wf}T zvBBuo%O^W;FKMvhR1iykkaFUTw;tEJGne%}yZle<aGgD^bJ6>}Hz#jmYJ%RQX{RsP zy%c=)aAozm=QSTV=Nj}*ety{W+|PNIKPPl59+*ARvqf_H!96?*2RM%K_9}?!Wm_m- zYba<i*Oqn>R(4jHz{f7l$PnPo$RxsyYxatl0R$Ks7#JEFK`i)O7AFIEE(_!VWD`JR zD3?%XLy%4Q#K?ecZiJJ888og9!suq9&K)3|CBTfuEbvSM9^+8Q*&)V(yw%WX%z|QE z0b<l0k8y=4!^z0T&1OY54m`Mw)f`B74|UWD*_=I`DCU62ps*T*tpf`&2oia_cu@?3 zbZ4=e1aT5-4-{e&B&3}AP)q{%N3j}&tyuyw2;!u25oCjq8Ywu^7HDo2wUkFTtxO!* zG(B*ckH;X?><KXl;y*Si6oa6d6~!o4Hjq+o25tr;W(I~mQVa}w46Y`HA)y6fxy89r nMY*1amTrbsX36Ho8Kx%r;pHU;E}1^25g7)#!4^?L&iS?gMuIR0 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit.tcl b/proj/AudioProc.runs/synth_1/tb_firUnit.tcl new file mode 100644 index 0000000..e97fca8 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/tb_firUnit.tcl @@ -0,0 +1,114 @@ +# +# Synthesis run script generated by Vivado +# + +set TIME_start [clock seconds] +namespace eval ::optrace { + variable script "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.tcl" + variable category "vivado_synth" +} + +# Try to connect to running dispatch if we haven't done so already. +# This code assumes that the Tcl interpreter is not using threads, +# since the ::dispatch::connected variable isn't mutex protected. +if {![info exists ::dispatch::connected]} { + namespace eval ::dispatch { + variable connected false + if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} { + set result "true" + if {[catch { + if {[lsearch -exact [package names] DispatchTcl] < 0} { + set result [load librdi_cd_clienttcl[info sharedlibextension]] + } + if {$result eq "false"} { + puts "WARNING: Could not load dispatch client library" + } + set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ] + if { $connect_id eq "" } { + puts "WARNING: Could not initialize dispatch client" + } else { + puts "INFO: Dispatch client connection id - $connect_id" + set connected true + } + } catch_res]} { + puts "WARNING: failed to connect to dispatch server - $catch_res" + } + } + } +} +if {$::dispatch::connected} { + # Remove the dummy proc if it exists. + if { [expr {[llength [info procs ::OPTRACE]] > 0}] } { + rename ::OPTRACE "" + } + proc ::OPTRACE { task action {tags {} } } { + ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category + } + # dispatch is generic. We specifically want to attach logging. + ::vitis_log::connect_client +} else { + # Add dummy proc if it doesn't exist. + if { [expr {[llength [info procs ::OPTRACE]] == 0}] } { + proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} { + # Do nothing + } + } +} + +OPTRACE "synth_1" START { ROLLUP_AUTO } +OPTRACE "Creating in-memory project" START { } +create_project -in_memory -part xc7a200tsbg484-1 + +set_param project.singleFileAddWarning.threshold 0 +set_param project.compositeFile.enableAutoGeneration 0 +set_param synth.vivado.isSynthRun true +set_property webtalk.parent_dir /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.cache/wt [current_project] +set_property parent.project_path /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.xpr [current_project] +set_property default_lib xil_defaultlib [current_project] +set_property target_language VHDL [current_project] +set_property ip_repo_paths /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo [current_project] +update_ip_catalog +set_property ip_output_repo /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.cache/ip [current_project] +set_property ip_cache_permissions {read write} [current_project] +OPTRACE "Creating in-memory project" END { } +OPTRACE "Adding files" START { } +read_verilog -library xil_defaultlib /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v +read_vhdl -library xil_defaultlib { + /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd + /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd + /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd +} +OPTRACE "Adding files" END { } +# Mark all dcp files as not used in implementation to prevent them from being +# stitched into the results of this synthesis run. Any black boxes in the +# design are intentionally left as such for best results. Dcp files will be +# stitched into the design at a later time, either when this synthesis run is +# opened, or when it is stitched into a dependent implementation run. +foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] { + set_property used_in_implementation false $dcp +} +read_xdc /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc +set_property used_in_implementation false [get_files /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] + +set_param ips.enableIPCacheLiteLoad 1 +close [open __synthesis_is_running__ w] + +OPTRACE "synth_design" START { } +synth_design -top tb_firUnit -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +OPTRACE "synth_design" END { } +if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } { + send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING" +} + + +OPTRACE "write_checkpoint" START { CHECKPOINT } +# disable binary constraint mode for synth run checkpoints +set_param constraints.enableBinaryConstraints false +write_checkpoint -force -noxdef tb_firUnit.dcp +OPTRACE "write_checkpoint" END { } +OPTRACE "synth reports" START { REPORT } +generate_parallel_reports -reports { "report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb" } +OPTRACE "synth reports" END { } +file delete __synthesis_is_running__ +close [open __synthesis_is_complete__ w] +OPTRACE "synth_1" END { } diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit.vds b/proj/AudioProc.runs/synth_1/tb_firUnit.vds new file mode 100644 index 0000000..d3c7a9d --- /dev/null +++ b/proj/AudioProc.runs/synth_1/tb_firUnit.vds @@ -0,0 +1,550 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Fri May 9 16:03:09 2025 +# Process ID: 111655 +# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1 +# Command line: vivado -log tb_firUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl +# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.vds +# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-515 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4099.955 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16533 MB +# Swap memory :4294 MB +# Total Virtual :20828 MB +# Available Virtual :15956 MB +#----------------------------------------------------------- +source tb_firUnit.tcl -notrace +create_project: Time (s): cpu = 00:00:15 ; elapsed = 00:00:27 . Memory (MB): peak = 1680.684 ; gain = 327.840 ; free physical = 4724 ; free virtual = 14640 +INFO: [IP_Flow 19-234] Refreshing IP repositories +WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/repo'; Can't find the specified path. +If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it. +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +Command: synth_design -top tb_firUnit -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t' +INFO: [Device 21-403] Loading part xc7a200tsbg484-1 +INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library. +INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes. +INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes +INFO: [Synth 8-7075] Helper process launched with PID 111843 +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:05 . Memory (MB): peak = 2498.047 ; gain = 420.559 ; free physical = 3566 ; free virtual = 13535 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'tb_firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36] +WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:57] +WARNING: [Synth 8-312] ignoring unsynthesizable construct: extra waveform elements [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:63] +INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:45] +INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17] +INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993] +INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951] +INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643] +INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458] +INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b10000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] + Parameter ACASCREG bound to: 0 - type: integer + Parameter ADREG bound to: 1 - type: integer + Parameter ALUMODEREG bound to: 0 - type: integer + Parameter AREG bound to: 0 - type: integer + Parameter AUTORESET_PATDET bound to: NO_RESET - type: string + Parameter A_INPUT bound to: DIRECT - type: string + Parameter BCASCREG bound to: 0 - type: integer + Parameter BREG bound to: 0 - type: integer + Parameter B_INPUT bound to: DIRECT - type: string + Parameter CARRYINREG bound to: 0 - type: integer + Parameter CARRYINSELREG bound to: 0 - type: integer + Parameter CREG bound to: 0 - type: integer + Parameter DREG bound to: 1 - type: integer + Parameter INMODEREG bound to: 0 - type: integer + Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 + Parameter MREG bound to: 0 - type: integer + Parameter OPMODEREG bound to: 0 - type: integer + Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 + Parameter PREG bound to: 0 - type: integer + Parameter SEL_MASK bound to: MASK - type: string + Parameter SEL_PATTERN bound to: PATTERN - type: string + Parameter USE_DPORT bound to: FALSE - type: string + Parameter USE_MULT bound to: MULTIPLY - type: string + Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string + Parameter USE_SIMD bound to: ONE48 - type: string +INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754] +WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:394] +INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1011111111111101 +INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] + Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 +INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0101100000011010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111011001101111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0001111001111000 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1110100110010111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0110000110000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b01000010 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1010001001000101 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1111000110001111 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b1101010110101011 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] + Parameter INIT bound to: 1'b0 +INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798] +INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367] +WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0001 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] + Parameter INIT bound to: 8'b00000110 +INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959] +INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] + Parameter INIT bound to: 16'b0000000001101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b1110 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] + Parameter INIT bound to: 32'b00000000000000000110101010101010 +INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047] +INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] + Parameter INIT bound to: 4'b0010 +INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921] +INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953] +INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:17] +INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:42] +INFO: [Synth 8-256] done synthesizing module 'tb_firUnit' (0#1) [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:36] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2578.016 ; gain = 500.527 ; free physical = 3483 ; free virtual = 13442 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:04 ; elapsed = 00:00:07 . Memory (MB): peak = 2595.828 ; gain = 518.340 ; free physical = 3483 ; free virtual = 13442 +--------------------------------------------------------------------------------- +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2601.766 ; gain = 0.000 ; free physical = 3475 ; free virtual = 13434 +INFO: [Netlist 29-17] Analyzing 53 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] +WARNING: [Vivado 12-584] No ports matched 'CLK100MHZ'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:8] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:14] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:15] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:16] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:17] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:18] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'led7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:19] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNC'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:23] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTND'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:24] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNL'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:25] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNR'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:26] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'BTNU'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:27] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'rstn'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:28] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:32] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw2'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:34] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw3'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:35] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw4'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:36] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw5'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:37] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw6'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:38] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sw7'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:39] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_adc_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:91] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_bclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:92] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_dac_sdata'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:93] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_lrclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:94] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'ac_mclk'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:95] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'scl'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:202] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +WARNING: [Vivado 12-584] No ports matched 'sda'. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] +CRITICAL WARNING: [Common 17-55] 'set_property' expects at least one object. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc:203] +Resolution: If [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object. +Finished Parsing XDC File [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/constraints/NexysVideo_Master.xdc] +Completed Processing XDC Constraints + +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2668.766 ; gain = 0.000 ; free physical = 3452 ; free virtual = 13420 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:10 ; elapsed = 00:00:15 . Memory (MB): peak = 2668.766 ; gain = 591.277 ; free physical = 3385 ; free virtual = 13378 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a200tsbg484-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3385 ; free virtual = 13378 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3378 ; free virtual = 13374 +--------------------------------------------------------------------------------- +INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit' +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + wait_sample | 000001 | 000 + store | 000010 | 001 + processing_loop | 000100 | 010 + output | 001000 | 011 + wait_end_sample | 010000 | 100 + iSTATE | 100000 | 111 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit' +WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:62] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:10 ; elapsed = 00:00:16 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3354 ; free virtual = 13366 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Muxes : + 5 Input 6 Bit Muxes := 1 + 2 Input 6 Bit Muxes := 1 + 6 Input 3 Bit Muxes := 1 + 6 Input 1 Bit Muxes := 2 + 2 Input 1 Bit Muxes := 6 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 740 (col length:100) +BRAMs: 730 (col length: RAMB18 100 RAMB36 50) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-7080] Parallel synthesis criteria is not met +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[5]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[5]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_initSum_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/I_reset_IBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[5]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[6]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_readAddress_reg[3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][10]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][12]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][13]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][15]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][5]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][6]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][8]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][9]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][0]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][10]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][11]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][12]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][13]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][14]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][15]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][1]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][2]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][3]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][4]) is unused and will be removed from module tb_firUnit. +WARNING: [Synth 8-3332] Sequential element (firUnit_1/operativeUnit_1/SR_shiftRegister_reg[10][5]) is unused and will be removed from module tb_firUnit. +INFO: [Common 17-14] Message 'Synth 8-3332' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:11 ; elapsed = 00:00:18 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3300 ; free virtual = 13357 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13231 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:16 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3243 ; free virtual = 13232 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +WARNING: synth_design option "-fanout_limit" is deprecated. +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3264 ; free virtual = 13252 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-----+------+ +| |Cell |Count | ++------+-----+------+ +|1 |BUFG | 1| +|2 |LUT1 | 1| +|3 |IBUF | 1| ++------+-----+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.770 ; gain = 599.281 ; free physical = 3266 ; free virtual = 13255 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 366 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2676.770 ; gain = 526.344 ; free physical = 3266 ; free virtual = 13254 +Synthesis Optimization Complete : Time (s): cpu = 00:00:19 ; elapsed = 00:00:26 . Memory (MB): peak = 2676.777 ; gain = 599.281 ; free physical = 3265 ; free virtual = 13254 +INFO: [Project 1-571] Translating synthesized netlist +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3264 ; free virtual = 13254 +INFO: [Project 1-570] Preparing netlist for logic optimization +WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. firUnit_1/operativeUnit_1/I_clock_IBUF_inst +Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design. +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2676.777 ; gain = 0.000 ; free physical = 3561 ; free virtual = 13553 +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Synth Design complete | Checksum: 1fc2f979 +INFO: [Common 17-83] Releasing license: Synthesis +86 Infos, 146 Warnings, 27 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:26 ; elapsed = 00:00:43 . Memory (MB): peak = 2676.777 ; gain = 992.125 ; free physical = 3563 ; free virtual = 13555 +INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2220.538; main = 1897.222; forked = 375.091 +INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3718.098; main = 2676.773; forked = 1041.324 +INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING +INFO: [Common 17-1381] The checkpoint '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.dcp' has been generated. +INFO: [Vivado 12-24828] Executing command : report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb +INFO: [Common 17-206] Exiting Vivado at Fri May 9 16:04:40 2025... diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.pb b/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..5025e353ba537f34af2cf8001ac91e37695c0681 GIT binary patch literal 276 zcmd;LGcqtT(KDRHtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z<zZl8V3^CxP}J=4lo!N)E5yLiV82(Gp@`X|RfOxhvQ4ifNc^rOLxqX^QYjF7tvrbQ zE6>o-=X_KF#6GJEBIl|xG;DKzss>{B8iB~WMhpy=E=!F;?6npklmA*UFfceBwPaxM zaF}Gp;S}oT?i>>2bJh-|WUf5}gM#Bzdl37r3y9q73KD5`1F?I(LF8R;28ITQr9L3` gCm&w-kN{VscxMksKR;KWwSgefzkwi&js}6)06ObLN&o-= literal 0 HcmV?d00001 diff --git a/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt b/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt new file mode 100644 index 0000000..c69e47c --- /dev/null +++ b/proj/AudioProc.runs/synth_1/tb_firUnit_utilization_synth.rpt @@ -0,0 +1,175 @@ +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024 +| Date : Fri May 9 16:04:40 2025 +| Host : fl-tp-br-515 running 64-bit Ubuntu 24.04.2 LTS +| Command : report_utilization -file tb_firUnit_utilization_synth.rpt -pb tb_firUnit_utilization_synth.pb +| Design : tb_firUnit +| Device : xc7a200tsbg484-1 +| Speed File : -1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------------------+------+-------+------------+-----------+-------+ +| Slice LUTs* | 1 | 0 | 0 | 134600 | <0.01 | +| LUT as Logic | 1 | 0 | 0 | 134600 | <0.01 | +| LUT as Memory | 0 | 0 | 0 | 46200 | 0.00 | +| Slice Registers | 0 | 0 | 0 | 269200 | 0.00 | +| Register as Flip Flop | 0 | 0 | 0 | 269200 | 0.00 | +| Register as Latch | 0 | 0 | 0 | 269200 | 0.00 | +| F7 Muxes | 0 | 0 | 0 | 67300 | 0.00 | +| F8 Muxes | 0 | 0 | 0 | 33650 | 0.00 | ++-------------------------+------+-------+------------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. +Warning! LUT value is adjusted to account for LUT combining. +Warning! For any ECO changes, please run place_design if there are unplaced instances + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 0 | Yes | Set | - | +| 0 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++----------------+------+-------+------------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 0 | 365 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 0 | 365 | 0.00 | +| RAMB18 | 0 | 0 | 0 | 730 | 0.00 | ++----------------+------+-------+------------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------+------+-------+------------+-----------+-------+ +| DSPs | 0 | 0 | 0 | 740 | 0.00 | ++-----------+------+-------+------------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-----------------------------+------+-------+------------+-----------+-------+ +| Bonded IOB | 0 | 0 | 0 | 285 | 0.00 | +| Bonded IPADs | 0 | 0 | 0 | 14 | 0.00 | +| Bonded OPADs | 0 | 0 | 0 | 8 | 0.00 | +| PHY_CONTROL | 0 | 0 | 0 | 10 | 0.00 | +| PHASER_REF | 0 | 0 | 0 | 10 | 0.00 | +| OUT_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IN_FIFO | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYCTRL | 0 | 0 | 0 | 10 | 0.00 | +| IBUFDS | 0 | 0 | 0 | 274 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 0 | 4 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 0 | 40 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 0 | 40 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 0 | 500 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 0 | 285 | 0.00 | +| OLOGIC | 0 | 0 | 0 | 285 | 0.00 | ++-----------------------------+------+-------+------------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++------------+------+-------+------------+-----------+-------+ +| BUFGCTRL | 1 | 0 | 0 | 32 | 3.13 | +| BUFIO | 0 | 0 | 0 | 40 | 0.00 | +| MMCME2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| PLLE2_ADV | 0 | 0 | 0 | 10 | 0.00 | +| BUFMRCE | 0 | 0 | 0 | 20 | 0.00 | +| BUFHCE | 0 | 0 | 0 | 120 | 0.00 | +| BUFR | 0 | 0 | 0 | 40 | 0.00 | ++------------+------+-------+------------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+------------+-----------+-------+ +| Site Type | Used | Fixed | Prohibited | Available | Util% | ++-------------+------+-------+------------+-----------+-------+ +| BSCANE2 | 0 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+------------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| LUT1 | 1 | LUT | +| BUFG | 1 | Clock | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/proj/AudioProc.runs/synth_1/vivado.jou b/proj/AudioProc.runs/synth_1/vivado.jou new file mode 100644 index 0000000..8e623c0 --- /dev/null +++ b/proj/AudioProc.runs/synth_1/vivado.jou @@ -0,0 +1,24 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Fri May 9 16:03:09 2025 +# Process ID: 111655 +# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1 +# Command line: vivado -log tb_firUnit.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source tb_firUnit.tcl +# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/tb_firUnit.vds +# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/vivado.jou +# Running On :fl-tp-br-515 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4099.955 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16533 MB +# Swap memory :4294 MB +# Total Virtual :20828 MB +# Available Virtual :15956 MB +#----------------------------------------------------------- +source tb_firUnit.tcl -notrace diff --git a/proj/AudioProc.runs/synth_1/vivado.pb b/proj/AudioProc.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..1245da19d09b8e54f456c55ff6ce4c76f24d9dd4 GIT binary patch literal 73145 zcmd;j!N^slS{#y@o2sButZAi?Tu`cDtDst}V6BjvlUPukngZeKDfp)5<`-2eX!ts5 zS}7EyCT2q<tQFD|GxK2Vw4&5hg@TOA;>_g492CK_%%YM~s2G<3j})_sg@G1Za(-@Z zex8vKmq2n+YGO%hd_hruR%&vIm4Xo$7l(m?m4Shkp(%oGWDa5*npqg=nOT?^adNR6 z8=31_m>3wbaj}?~8<`lfbFmtln3)(vu^F1{nj0BqFck1q@JwK25Mbi6<B|?aO)E+* z&dAJ5SMUr_C`v8JFU~B<FUm|U<`Q6)Vm2~1(PB@|FG@{M&5L3)wA3{+HpyTpV69+< zn6QzFYXPHMVrEWiib6@gLQZ~Sib82|Y7vTIl?v*r#p>1ys>NKMX$mD7nZ*hznMJ9| zC7|#t&d4v#Nm0lHY0gVeEmBBIRmjX!sLU@dQpm|HE>Xx&gP4fyHXViZe2{%58L1$f zf>TRMGV{_w#wO<Erz<4pr6?4o=H{2BDrA=EaS5<Vu^1W~TEKl`<j&=)pOK%NTCAUA zWRjPeoL{0}kXVwTU!GZ<p<hy<Tb7ZMqnn$WsvqjAo0piI3KC1p%qb~K)lDraP038m zE71qJ$4G%o&N(qpy+k1`GcQE}<ecJy)a1;x%+wSGkcoOx?4Uq1GO@^DC}68#gM?Zq zBbSm-equ^$ib7asSz<~)$P*y*z+n#wE<I5Avltp18zG$Sz-6ajT3iHnx_)Y2aY>S) zzOSpRene(YW?qGU8Q3f%10xeXLw$(B`cPg<Vo9QYW&y-C#)ihoad?N3i^n-XH#ada z#R?Qh0xVKYrp8*F5aUXcbBtCoaxG^puFNaRh)+o^&P>l!&@IU?P$)@?Ps=O{&C4uN z&@D(TDp9CNHcvD%FeoWbN;k1E(KS@iO-sznFD;4B$;{0xQ7|zuP|!^)&W%s4C@D%z zF3HT#Q^?OtjnBw0QP9m!O)ZE|EiBC}OUy~lD~T^kP0uVYNi8Z?&@D<W&Mz%WPK__l zNGt-S)cmwG1>L;-_?%<~-QtX*)b#k=%)I#G%&Jrc(<oLGT|=-3St?i{!EMDQ7F?28 z1WF<b$iCnbV3A@rG_geTDoD4nkzocy0bF-AmxE(TNosBZSbs^rLV9Y6LZU)WW^!s? zajHUEevv|2YGO%gQ7Sl@gA$*9evv{-YFTD7L<nq;v4I6VdvkMf27^K~wK%gFlz(C2 z2F|0#Cg#Z2XLA|2=a-OX9jg?xu_2-~Az+;)a$<1h;s>QnP$+|=RJ9mX3>cc4Yq3Fm z1S*?g?uEs36q}Kuu89Fks*2`P2}mp|0i`H~l>F3Ug}nR{g^a|qR0U^OM_+}U%%q~k zqDnoG>6T_@cui+FGSsy+G6Dq)yx_^_^6)LqDakC!C`wIC0Xa7{FEI&J41pp9IhGYl zi$T(f3b~0DnYpF8paMm;SfQXOKRLCyIJFq$1QrtuBMU9o{KVqQVk2fQMw2Ku3te*q zbCjS-<g)ikEX_*>7nT{RIR&XjFjK&(ptvBhJP%}5az<uON>OT_0wf2)6s3ZK5@eG( z)F!AUprQfpFMlp&4^*Rbz;>plD3oWGWGDo9x+s9-1Z0p2)F2}cE;d6$LkkmQsF9|~ zQF@q>i%GRufJciJ94wIB49^fjAwCMOIf+U6MTy`HX{CT(`9W$O17nmb5GrV@fW0C# zGO@JKGcYk%fLDhmMh1GOrj`oU3g~sBv8kCEOc+rs8XB7#n{px7R_htLB)~pMEzYb0 zg>!CxN@)%xi-D4nnK3*HdAPU`g_BV<S2(!Hq@=VjE=tzVNXgMh7^_#7ks`oo#Ky&B zY$m{D!RWvk#cH8zW{eV@rx>}^GSl<&!Npc-9^Cn$q^gjdpI2N`R0^uyRf`4KK$+GE z7Ne3};^0zJp**oHH7&m=S0ObgH8(Y{q?qR3F*Qec$Jh|mV1QR#L(w~C#yGvRlK2$G z$;A$>>x?3}La3IUpk^Y{l8F(*wP<N+Bk``~<>F4x&nqd)&jHoWMloCwRC6(sxk#=y z#p&u3j9jWI`FW|3niosfRnSl^R#q+61Z80(Q!`iwK=T4K7o!2FWHzL)XN*kEkc-mY zj9gOiJd9QZK}%&5OIY!4#LvZ>UyuqaSIbht@xa8zKvn0Xn66g_DUA$a*_X{i*UZoq zrPka)lKWY?nBDzcj6kjirFrsNp2f*UnFS@q`b8!B;6`X^US@G-ZhUfnZUNZEoLsCX zhL)DFOp3+P#~HcQ@uncvVx%<0Vq#)x3QI&tK0qWOI{O8sE+#Dk*tl4nLfzbH90MF& zEQXe*hR_^L-3UPT0X<>>n_o~OU?WL6fQ^gA(<#)A#u32D#cFPBW`ZXRoFG37AbWux zF@V)GltqC*U82C!%*50JcP5}L3gBL#Qxsr&hO#K|2@Nr(eJ-#tv^2HE9R-fyehe3^ zAEU{o&KZzcl$e`Z0vd4g^9)f)$}a^C!{l2jSg0o%8bE*os29Oi!37x%pe!EY;XtQ& z#0(LXc-%r#X~xOL?h+heV&Q5?`=~UwG&eQD9hKgwQEAL&h!mBM&W^#(L9Xsd(P^Nd zt58x|kZPrnnOBku8YV=ER1Z|+47l`=jdMXY%Mia=zNluIav39=<rC`b@8XJTAOXj@ zqZ+8srHgDJNk&DY8s*4kk8D(Eh<}i4uxm(sfMbY@D{^k|^N$D1z<pj^0`8Hb1fn0R z;bvSW$cD#z`UQj{o9W^i<mw!P*F?Or>_l=b;|)kBk^&O1U!BPDE8b+|>=+aj>FGz3 z69Z7A!-C5UDLSAA2D|!@WG3DaBrVI~HHx$x=Y#4~6D}hppL+U{oZ+%i%?siRKr+wQ zG1wcaU@@^!PckqtBnhBaD0l<Hm!#;y8xZ~hBnLz;YCwc?1tSGSfMZCAYmgsCSU@UG zVo4IhB7mf@z?+|fU47!wA`;AnRT9{Ww_w!3u;#Kv3Jj2em;nM4!Dp;Ls<Gx=rbxzy z2D`?)1o#Ibmz!>mKEbYdi_Jh(BQ3ei(TwyB^+7ff#PtmDiNtGY4630nTux|)LOmPr z;u-=f*AY!AKYv(-f-fBKmZ+d`2=?@KK}u}?ey%1KcwKy&{7w&AONUO4HOw{-N@MLi zBUeCqW=cs0XtY8TG!0RZUsM7b1<p%_OooA`NfDDJxrrso846%EsM>Oo#=Y63n9VFK zVdEVvpuuR+Fj;^R3m21#1!zJT*5sq+ARy+z6FV2Pi4pSHr3Gm21U_*5ijm6_>=UpT z^V1ZN{GgCotWcVloSz2@hSU`BTuNqMaY<qxbp8x9e`{i42p`>N=VEnq_74p~_8lJ= zPq1@*VoFL-YH?{!35|!@*twXEEs>hH<_6}VIYXLx*J*Hj_ail9mye4F+`0iZ4+nK5 zzon+HxwtsMz8=&*{y<G1^Kx;6Dz0EpcfUdHX=+Eaf0%2Kn~#6^;4QsAQ!|<cxcHzg z3nxg+V(|L=6E*$K&&3P%_h9!nwd>}9!ChIsqh>5~b8&|HxeWFU{hyJ`8>K}BYJ4KM zz%mkxL2DeLZLrMzykdov)a0DRqSO=}g{0CFh5WpnN|1{5%(B!xaC^+$6h6<1-u6Oj zeS=z|mY}w%u_fJ`WJaL%Nbn{Z$rC?5p&>@JA1Adiv^2sq^=6COYg6S?M(VYBq7HeR zs3#d18XBPX$SEG~hJ*o~dQh05fzpE_Ck{>M5Qjzv26*Do3pEanxD0T|p`jUQ-U4|v z%D|Ay5ef+qdPOKk&`=zqW^{>AOFXM6s!$^|i7NqjgqoRvBNQ^iXaJd#GBkvZI6`Ry zLkxM)_!~$ah^BG^fCM1@5&&9Iq9lNWBu!w6af$eZhM2_17r>_dGOJQk4Cs;|sF}mT z@ePVuQ2ZO97uh$+ANRr+K=g}nw4kDTgwtXA(gLI0LRm*ea*=Lm2+HD+=r%M&E7GYR z;gA3t91*^Wq#Vx9#p)Xx;buXHENx+Cjx>U2hPG1fB>9;T#Sip~1PtF$J=Z}Rf;3$` zN99~+0LpKmN)*(dGB7}E8B;yiK>~<g5spznp+q=Yxz3y}xy~3*%Zl<`2k`^FBEiB8 z%{M5KK#j%?Jyu;|Bqd7gI#4?oobN!*TGZAn)pH#rfan$B7(qq#2#0jfhEy>NifT|% z3u@6~3_wsl!XW`PbR*n&0J<+!T~`Up-3HX}wO|hydc`wF2vI#_o6@0n#VDRAE6Kqz z4H~QfwWQDoqNtv+Apu0M2*(I2sz*3voMkAL<j{69xI723X<T(f0%-U|IHc`4v?3fd z6a|_!Fa$AZJuU$WpurL0B+n(dxj4Jhz6dulFt^0B3&jd`=vj$N0r$|ep?VTpmzT21 zAb0@KDFQKr0;Py1t&7XS#Rgtq18F_dE{}3>u^1Vf(WZ-w>IZtn0yf{EM8Y@PuW4lF zVl)QLAUpem7B-rI&N_g`ByCqTg0>Qxn8WfSXlp;}l16fR_eKNK_NV3qvjNqcf!M=@ z9;us?i<Q8HGdXdL-j9I{#?!9Ef%t-o`{qF1cu<po%B?@_;X=Q7MhhX7VvL-4MxTbH z$D9R5r-h>a9XO&v1ZZ-K`W<@g0Yt9|#|SElBOJY;qEE)AV&M&%grst&#vUg0ierqm zQz&svatq%lGz2mRLEFOG$iM_o`!EW%h3~}WfV+inYy{f82Fk%m7@C>E9XgCmO<4~B z9zgVpV2q$biC}U{ZS({SnY5#AgkvOAlv112C4LxjO7TD(_AsGW9AktIN*t4xiCMXr z!<?OI+)L-;Vly-`ur#I3c^ybzphpZ~_Y6uDTq1ud1lALf5Z<C~2ab)43GFN-6mK0M z^I$5ZlfV!@0Tmz_6douFJ7Ny+nP6xKQXM1H6Q~E|A^btlqw>(ag7ug}x6HiE;*8W3 z1)`5BG{JUEp*gN&3Qf%|^b8C^#}7hJ?KL$p&@(jxom+@;OrePd=m<oxF!C{lCMHIp zV-(<r>gJ$U;2~T=y3__B```zfSfkpf%%uoE+fl(IF)t+tbViwTX>mz@u7YDpNl|7} zX-R4^igtQCm)`cBLp%L)D<jt?MtHKq9Y_i|55godg;|>FSr}O$4#G4ww9qp)F@T+T ziF7!$@gPlM^p3JKXi>JAk!u4Y%0MN7e?duRZe|ta#L|F_#Nt#1!_f$X7YQE*#UbW0 zTvC3iCEzo>i}N9;FN03~%SkOs)k8YY+`s^I1TyqISuoqk%)n63+{_GgcDaF`fdTk1 zb7K>8Q;>k6v5Bz>_@HF8!^}gtbRF{&b1K0{!>Sf5gn|zmgddv<-d0|eT9A{N3_eE{ zbi6i`p}7`&9>k5HA!bwKC{`m&T|<=ic?6dc)Tof6#JpnAS*(zB2lGq{=)7{(Vg=`b zP=(^u<ovu8@Y(51MivOeK{YJcY$KH8VZFID1By}$Ag5YG9RhY}PJVi3vO+#mG6%Vr z+1SKViye9-d=#spuBo{J^6`{*Tryk%kaLYe1%HH#vw}1DjM>D@ypm$@G1NvTCMYHt znSl;?g&%fp%O&lZmsygTm;*Ut7nIHN(iKwk(lhf?!3G$cSfChSY6jZq4>w>nBbQh} zViEYrWsv=DnK`Kn(W=F<V9kc+mRj79Bg9KfGINTJvbfTyaxy98C|$5O_5D&SDvQH1 zQ&RKeeG@@Pi0f6PBnvQFFh;Q%8R!~<4|RsS_W&c8xL-c_l!ami@QDklDd2Ow!N<lk zn_8G?aTeqx<~e4hCZ-s1b8$NRcpDlR_<BUq)9psAT#T@p2sT3_T~iAa*m?4d0!&;d z8M&0e2P>pj6r?7X6e}c_DCDFjf)3BmOI65E0^J~>$0fin#bjy<KZKl*i>ElX1a!eb zL26M+<v@9d)zDnm6x1;1sNk5u2=dJylA?r-izO#D#fYxX2lXuAYsWF8<J3@#4rKpO zCq9hn9v`q1h_PgkAsHVq|4=7BOz0jTurtwzOMJlmL!J0ArF(q9hn$B=c?I(ib>hQ} z?(u=AIv<kd70f@>i4SwS#|Qk}*<q4DVE&;(d^m;pInz5njNqf|!y`W6{-I8MxX?X5 zhFN>p2<9K^#D@>v;{(<{94756Bba}v6CXizj}KV;aJa+=%s<qLk5IbD2dsTKT;c=f zA1cI0QE^Eg-Qxq+J{&Ib0rL-LeC#4={ECH(skofpv0)55sdbpd2HZcGv9X(^*kI*i zE-t70V4^XsF*01D1Lhy9L<il+5{+SPk>L^@F#k{`I_N%>2)|x&xI_o;rWF~572QV? z5hq>@kDfW)KUB#bbRS4GhBZWnOYVUAhbqxQ_i;pH*tpwpi4K^5Fr(uLNi8crE}q2X z_{5at_+rpKko0cxTEY+L93G_>+&`G{vY(`Q;pAdZOpZ@V&dH{Glnk>@h$YNFm{CGb zR!K=5sH`%~hTh?K%VNe0X{&#@xHuA%<8z7zBCo)DRKuiNfnT1287JfvU%3MjC9q!A zaETI_e=wtjv=v#PF_h#Sy4EJ_T+BuWu;Ld>e4HL?E3!~LM2!eZNu+;-;HgK3W`w{z zgc%`Q7`f!(n+ou)f3+C+^{=26$nf>A9$ae9`MCw4McF9|c-9Vsm+u;xn4+xxH8KNT zu>dz~$S*rLv%s?K+|&rV?A*uz+p_Z<E=BCi!-Gq6a}$dyt+-T+1z4q+4Gj(9VP~Yy zrRtZDu|mB(wJ24g1h&XLHARmLw8q=e5OlQ|YXvLh{^Fm5Vr{<?7t+ddg|Nh&%oNC? z_@L6flFZyx1uN7Q{=8h=uoeD#21cL-|3+ryg*KCFu>kxEXqs=XI*GOwWdkGETEtcq z#NvA7Ai%Y8$Pl#WCp9Orpg1)}!Bznh9)_m4wx&VD2WjJwrJ<gYIo7Rd#ulcq{Y1!H z(~Qk6KzqFgY-<|5Hx9X=ZiUm~(t>P-^T|(40d0r~NGvK*NX$!72+7O^@jdh4yE#DN z1m8bHZ+Bawx?7P;9_HeL#G(=_g^FbJL?Z)(lH#Ov6AKev!vS^db+jz8kCAIPB1^y= zjwh&bZq&k_LCkPw5OXs<b8}Fpg6-Y3wA3@QFofj<<ehb+8HABRfQbw9o^(hCaV#jv zsRZQ+b>uA}>I$G8JcvSWWNjS1jh4<2F>>ukr1M1LLTo_Nx;bdKDaJl!V{;QwxiMgs zK_!=QW?ouqQBi7&f?KdJc$-^sNn%N=LQ!gZCTO1)c;6LhGab^^WT2*#g@F<5f?H88 z;ozY7<kF&|)Vz{lknZ@R)N~_WF7D*~ypp2)oY1_?k|<UST?+%yzBYKPsSdS-P2)<T z_MU7k=7EA6UZ-GQ8l->;z&=u_!IV%?Q1DAFS8&Zs&Ii|I7)k<)Qp+;)ON$XoP;4J~ z|5u{MKq6N>iZ9C(GfU!&6G1%@9R7zW2Oo4}h*yb%f`Wm80gCqtSPn6|xFo+Q6|c!4 z%MA_iDgj%LT0!Hnya2vgGd?FjzW}ev;4_#BTW(0Y&+|)53Q9`|SPr_n3a=87&kc$8 zIXJ#k^HPY4bCBHxeQroboM#4yIEJ|5^%mG}!j_}?eBkrjEJiN(R8VmNEpE#*OEMI& zmA9qfy}Dqf;1+;tu{vfM%wlY8YD}OI=Hz0}&r8+K$S*-JkJ&7Ajg3t~TUOx(@+n3x zMM!l3vNQ*L)p=TekwRHwQD$ONPAa%A5MYyHHa3FaGbzg@?H24CpP!eSkzW!Y92B3I zT7gsvQ1dhyq}oKUEF(pL(TI(U$qY8a$ZDZ$Yy{dQ4!>QJ-aQ7gjz};Xkk*JP=)4Tf z)`*!IsDvJ{)(E{L4D;Y-NXr{^ngwV8E<Z0duS5Y<|7RALWF{A*p3_Hf*J5@^T~bRD zGjpH^@gN)w>Om`5p*mI_wWjCi;?>sG)%7i{NG(QJ>V~RRk4uMBK|#S(!7~q3At@** zm?=1AmO!d!1qG-PwhD$|5m5SpH?OdpWJEQS%n&9SQ|2ZllMJz%WJHGVu$yFtX%f9t z66Wc4@L}@7ne^!$KxU{}K#ofWQZRrAP=Zp6^Gl18Qx%|t$tX#l-YzXhb!jwLB#Il0 zt+-r*1B$H_%uNgwG?Mdk6mnAY(n~U|3=Ir4xtxL=eL-@@sB#KHj=oNY77B(224JSK znS!Z-=74$=v+RSXSQP&bia4Qn8gNBT1G-$=kksm2lwVw|;FMpQmy%di2_9p0EJ{sO zKt8BxKx5T|OD!O=C^07|HAkViGOr{fwK%g_A-O2CB(*3rQ6aNfAuqo~Avd)Ie7U)W zktMXT8^va!Yi?ixI%Wsn*xSU&<r<t?Selv#Iw%Kr!jOW7YOyBBpwhfj&<H<hoVh$R zCr2R(G{TXaUzVDpkXDqRs{kF{P%YL2*=1~O3?JRF=CXty{ughkkJJQ>H`GUM_@WPh zM4QGMp&aQ4ayH0h*eNlf!M{Q1Y?GnsY~!KnY@?y+Y{Q}HYy%>ky`EMji3OJ#aU}^# z7n-PIWU#rJm@;IrxtW*(WU#rJn9^ggxtW-vW3aiIm~vwkt;!8!E<;4QkzbHnlvt8k zmI|6wi8s{ujL*zVE^<ssDM~Faj`ws5b&Jo;D=s12@)$VhW|olQ+-0;1U41TH{Gpp! z5?q=~O31FIl~c{QOz=CkptK|yJY55JZnQxxk!fKatz2x*WlEBZ4TqqU4ToMR8x1`t z8xK7vn+!cCn-c5fK~u&No%;rji;2#BgT}=~=e<GWVxqI&pmFig%Xwx)uB<R0axS(Q zaxS(c*2ODn)#fzfGQij7%*jto@dq!i0XLF~Y$30pm1_;T^a;5(I3qKygcQdPR9^`c zv?TVGme49(b-A?hg=<kNXi+T*-Q^9ma;PPjIc|sg$ERiHl%y7=ra=33{!XE8#P{nq z(#p|RTo$A{n)t5WpmH_Q?aM*sYNFeigUZ!Jw=)NotBG!F4k}j@-QFBb1s~CwaWFZW z=)5?X98Gjq988WTIwuY$M-R!2NOa$CFoiVH-M_))Xrg<7gUQiEcLE1y_{AS|!BTQ+ zF=&y!OMYHzJg8MoWJho{t(w#(Tt>K?)WJdM9f6|M^k`ycCD+i(y{25oq`KE|$T^sp zx#~d`!o(~H7*q}>X4S=@axgJVTLzVbiCKm?m`XKb^4wr@FELqeFu9kQ95<NUOH76v zOzs_O`OS=oHIaiUe9ecLdo6~Tdo77@@4!rl1P8?zr6#7pR*w<e1RgYwC8lN@G>#>v zS{pQuC8karn7(FkP<%0H{w@f5o(AY>jA(;cqWW$Fvn&sni;3#J4KgPalj8=NlZnZ9 zgUrdq<i0`XWMUeXgUrdqv?~W!8AnX+8(c0XCi4w07Za2B2A7M8$$Ep!#X~RW5!0s| zTwzR1uWoR;n3#Uu;BqlBJ-b0v=@}B)Os3CfG4zdBSewZpCll399&BzVrm7xnZYHL( z9&BzVrn(+%ZYHL}9&BzVrpg{<MIA9&aF98fm>f9BoJ>px9Ar)=CjSjGClizXb~17` zGWw<#7bm7eP8L*1EGS4#EGkyW1)cd>l98CFpjxZ|I=Q|We0WVpVzEL}YHFTBN@g+W zIKmV?h0x;EB8B9{JcZ<p#Ju!Wg_4ZSVuf6&nK_xcnI#G(`3l9UsSq<^GN2vOiFwJX z#d=%<tWr#dCR%LC`MJ6Ic}9F(Ji(xoXcR!FBN`hSv2rmR8W==@E|)MwIV+Lghy2_? zJNbA&BiA0p$;ZST^@IDMLD1>k7-!&HQ00hk1JF_6m<J7-nu87=8ZieAI-s7=q`{>I zIiU%D#5d?nZ|M2=BlT$S%V-IF7bDkpL;@!eQn=H$nS!+fdfGNJrbgN}GBJjoYL9%s zgOM@#yxkF#woOpewhWgPByB^J^Po9wjNS$IakTWjj*)9MB0XaXozXN5KRA@$ab=8} zhNZbAp=mfZIU_GWCqKPX!8fs>ATuu=RBa8IGwB^H$Iz1ST1Ku_NJ$tYa0XQhHUdS} zh$)42QByGJN`?~9#X6q;3Z8kzsYNB3`FWrK88K<s9yLJJxl|!p+ASxsq$D*Dbilb& zY8t4cF=B(r2{nkcxHRC0s*Z*dJfq+`3)n3)FEK~KFSR5mv$#aTIVUwSue4x5vsf5v zeA;o@ggXZLdHT6qK~7hVPf0D#OwUuuF94MW3QD?ZiFx^@CGp^XvJ&W&)RfeMqSWNX z63{hw84LyRFratEgXg&4!58fG4x9sMweoUCt|f?C8M!pWT_0Ow>lIPuQZFMjtXB&d znU1Xb81smANc9txnwJQ^=FUAe4|I@UY6_@+E&&fl4QSy(?^JvNEfsHP<l2Hr#rT40 zG*u5+xs3C|jMSvk%pC9~Sl|&^kIdAf#G>Sk$`O^M&!Q#iEsR_nk&`rzFdCTjjP-6( zBh!Jsj*i}i5N10AXDJ<!UsN)(?ph>poe^e51`eWuNzI^9UW{1=BU4jQ`7>h10IX5l z|H@p7kkssvn3s|R>hL<37MJAbDma#u6lErrmZXlX^n4Djc;3p$wFyx?;|`?J6g^<= z4GYv1t-vJ*NzquEhkmIetav_+mYg>+a;--sXB;6knwAGFE#qoygcoI&fC^{uvIFo1 zI6<ie`9&q5s%yX;tB;!T#JNPdAg)qy%1KPlcFM0vEk?Ux4l}Q6>w@l>L%M?(iK~s` zJxskd3N<jB6!H>tQx$4F^NJzeWQ7_6dMUA&c)gGy;?ZIS-&|yb6hzLcIXMcUpk*zP zAc8kQ48WlZ*CN3s1`QDi1tE38L4!vR^qS!sur)Q#`K5U!kRZXM2WB)lGGH7~Fyhfu z1G&+!#wpaz9eNw9Avoyq>4DsnSK|{J0=o~GupVRZjd(Sn!x)LR2j&Ib{-JkQ0)J~6 zS0*1VkKsjJ5;${k!Sa(Amj|LmOv}v6EY3(xQ2<|<YoL%?RFq#-tfOE6zAP*=IWb3} zJh2EgsRLOlW^85#7tjMGbGR)hA+;vlnhlIxYZ(z1A+J_Y2rA73Ek45?N9Nc@QAt=^ zVq~UgYyuxHEy$=W27AR;!Pv+QM~?>FKZpC~6q;`~GIFiM<r~obpgE}}skpsE&Y&<T z2+|WX^Av0qOf4<-j4VKF$-q4^RPW#$Zh(8oiAy1*C^4@%ClOpDf(zEv;>@bl6oov< zJPT;K9<#Bjffjo~QGQlxa!C}cp{}X9VFp71{1)R6j9f*k#UYuwsR|m!npO(Q1*HnM zpp}Hk3xQONaV!B+Emp8rfcgl`M)wRt5V7h<wU|qQM~d0R!T`RIP=-qiHaAq9Uj+6~ zaz5xL^^#OQ1tTsl4g&)#FhXY=nVFmEnVXv%v2(E+=ouIo7_o7&7#o?HfCLPUjZ96V z*bL2e&5evQ7z*G;u{W1yKv8NzViBk*0Szn#(A~{B`RSR-;3=Qn%&J7t#1AA4O)SyE z5OkX!JPc<sa`^?N=H{1yj4Mh_0bL=OSE2weqKh;0l2a8jOF$Dwp!@lfQbCGRiZaVm z^AwUQ6%rLn@(XlxQp-|v6hI9bJy6(l39v~q85?Oa=NFV15jdMSIVV3k8*$!o6sxhJ zt})2B@XP)JxikYx!3Bb9u|j5ES!z*9YLP~<CTOvzYOw<79Abrn%sh=^O~?XIOACm_ z%v_8HMj#@J&Dc=a(AZ+wryEl<LrA(YH8sYTZgRL3L-R6=Gjkz1FD<_)7o1377in5? zsTK>cN--N68p6|ykvf;EU%moVUusTjZfaghu|j!jQK~`-DF1>?0Zk!-GN+*-O6CmX zQU#Z`3NDbjNLZdws8MjvNKMWzF3q)40A(i@DP~hMBQ4Id%(BFk{F3AxP(CqCOEyZg zG`EamGto6PF$N`BmI{^$jG&toow>w<Qgc!hL5I32<YXqN<`t(xjAE5yvM`2cOl~et zunRy<ZScBY3uEL|(8$Q;u3D@Bx<k2G2b6Ndp%n|5;|yx7gQ^>tD0pGAYO#VVxJFU{ z-Pm552R^V_k4u0>ipj_V*$XUOOcrL~HN_@oMl4)RM&^*z$YP>v0tzO$XMDJ%RErg0 zfs>+8T$&6zuP&`LC#Mo(t|hX$d|W)>!V)^e8wD~ClwsiJJsT8dq5u~kvNNra%0VMD zQ2c@mL@N_x5F1_&a&oa-S{msY8kvGiLQ^wiSc*2qmZBFha!INdD-@(AW`m;7H#IlE zs8S(XwK!G*oRnD&jf_mp;K>0k=s-3HKr3QUL<Ix~8`*MMYxp{8S}Ek0rGjoNRj^es zGBPsIGc~rbR>*}^eufs7=6Xg(M%JK0H#;>&!B)Z8+*HrN(lCnM&|KHZ!q@~kl~L$O zPA>Ma%%YOg#2k<t!-9j2?6_>u-DqrXXrX6diEtw{M2(T$XlP(!sAp_sg4L19;1(IH z6r-6ITTy8qC=a@GxxzD#LQ!gQX--M8LSj)W_@dUtl$6vIP!U{`k*biKn4FQSkW!io z5^xUk4Dob!^ofTqNB}j-;C0ObMlOYrj8sr$sjC*NE5Mier^BX5!G$=pnUNtp)_AzM zl0n5qL4IaliBT?Bwthx_Zfdc9j*&@TW^#UsenDbMhJJZwafW_Lfo@qwN{()BYN~#y zt1hTB2@(UHt6r3<n_5zul9`xSq7Q0M=sT9CWabAH<tOVEmF5-eLlUc@eo0b1^r%C< zl;i?%SQ#2yfHExH6AKx+#9b>=lS^SWeJ<##Sy=hQYHDU|Y@o$ikdv6_n30;8VieC6 zTLkXr$Cs95=0Iy^1>LmFoKyvbb;y$OVAtps6_hCG79`<URFD+KVQ8dlWMW~2QpLq^ ziMUokh8t9i6%tDnREzcWAfanyfXEXZTx?<BV%JEDOTw)vQ^7Z}QbEB|!O+agz{JYL gK*7ks2$J`V49t*|H6It3YhH>%P-=31QHlT~0DV?5KL7v# literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..3b63e5f576d3b4f3ff5541c92d21346932a2ba43 GIT binary patch literal 119389 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C-`umdjv*vO$!G z1&Cx|khg`<>T?+(3^GXr1_lNg#%BaGR5BcDpZFq(z1NpP=;^B=wD?vCJ$)a94nGW` zq1s2O(GVC7fzc2c4S~@R7!85Z5Eu;s93c?C0n%=|z7s;@P&O(&8UmvsFd71*Aut*O zqaiRF0;3@?8UmvsFd71*Aut*OB!z(ZiP3&0NdY#hcr*k?Ltr!nMnhmU1V%$(Gz3ON zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmk zhJb?;KiJ<dnHd<KvoSC*aDcfCR}9U;j1yoILBM9?ub+XkG#D6C7#JABU7Yk>%Tn`7 z5K6%;s44~q=>9+tuqXp;f1zh^X0Ad=WPq!Jo2QSff`Ne{!x0ZICb<kj1_lOs76t|_ z1_lOZ28IPW`RSR-46IPyAX8buI|L0Gq8K8;qRI>mAo&Hw$%#3MMe&(=B_J*XBLhRj z|NsB%L0SwM7#IW?7#4s88JHOsfc1eSU>GdGz`*eD|NsAU|NsB5$-uzC#=x+kC^az$ zW*STl3Jvld$mGntlGOCnBCuL)7RW<&{fAlr+ARsv2X+w?!vY4-o=TW&Ky0Xbu#1q{ zAlI2fy;G8zo65io4k%17*+X@~Y(xnIkd2^lgxLt9q3Xdlf^6{u8_cjEIK(C1$KT!4 z8SF-o+dz9SIT;uj)I-%7)Fadx)D6@b)D6`c)T7iH)Wg*o)P2+$)IHQ0)OFRt{_{pM zDU>p!6rhpq=NJ+i<mdx-63F4On0AB)4cyW3VXn?0{y|`cj0_7HK<)<lmWzP_>^6{Q z5QfD(%mPop5Lb6sh&~3Sq|^@eOL0kJNossaWkD*~Xi%_#5-B$W14D6%XG%^gLvcxP zNn%k6Lvcw^YGMjQaY=YlW(i2xwWuh+2rQjn0HPd|vl)s@e4&(|V{&$I30TG#!iE?E z5`*XjIo}oPjHJ@yVC*gdMN(2}acEw4UVeEVLsDt6TTyB%h;}M1t_1tt3~ER!$U&HX z0r@Gls0ic;hSZ`W$D$;#u2`t9ka%zypm-k?1mTXJA@RYEz5zb248bA(L9Pq|LH^FJ z!NHz>?(shU{s9dBp&<dGAq-%Ju6`~s#UOuafZ~FIfguP=mqO`fQ2IQSW(O%|U|?{8 z(v?tp6_ox0rR_nUU|?W~htdn6^Z_XC4hkj)28JFeeF#cJw?PMmgm^-2giugp87e>- zpMim4IVcw~pcZQ`PVNc@24)PP;_S=+|NnO|FfbetWMJSp$iUE{z`(Gel!2k59uu!< zWnc(cz`(F#8w7vY&cI-?lY!yE0SK;SU|`5(U|_h$#K7>L5yFPJ3PBpMF)%EWVqgdr zgNT6&G7x?VbvVd-jEoEnAPma@pb`S48WbcTWiUQS41__E3Zg++783a&COG0jr4L9C zq%r{ugR>YY8(Bluf@&9#*&;|;4x|U<u0#d~usPvSwJJzzKs6Gm8pwdE0nwn~25m(L z=?B>cGS`TKfuR$s29#bv?ggbEm>Q7VOc)p#ra;wnK?-+<LL|FD<~lPlFzkk^InKbq zz|O$H(1NDMoq>Vj6q=e|BsCy6fx-`jL2jD|tyyQ|QL}=90UTy4@u+#sz`y`1vOsAG z<}Y-+K;Z%^e?V@>r3O^F^Dr<l+{R;<9RmZnR(X#{%~A#i20jJ`1{P3S0yzYVLH-6| zkl#UW1%<sN9yL25<u!vI9yPld7#Kj!0%tsGK=CF7%7=K=>|tPF5N2Rt$i<^(F9QPu zD4jOpQL~SMfkBjkfnhoxHTxMD7(iKY4IVWI7#JAD85kH2;!$&ufq_8+l;`oNImE!g zAj!bM@EVVr!wd`zQlL0ug5`P;hk*eUS0D_EuOkc$4ALOK;Zbvxfq_AWfq_8_kD6Ny z3=E)h0#x?mN{68IC&$3R5W)n>{}9(8+yg47Ky4&YyD1ru8c;n5Dr<`Hr~x%U6d4#8 z8u6&nV_;xVVqjpHfJY6e$Oknxm*7!j$-uw>D#Lc*QDeoxz@W;&z;FhS8c^A(#=yYv z0FN3_I#p+2VEDoWZYqO=5EPFf|K0@UO$G*rFa`z&P@T%n%)kID<3Nf)>C%^hf#Dmp z+{tBNU;vfHib!fe>OkoNgh5RvP#Fs<i}moRsbyeb&}Lv@u)(9Im4Sglhk=2?3y+#^ z1_lOQP+rEP1|$!Pvot(vKy{@)0|P@f9yQtE&IAL)1ZHq20_1Hl2KgI=LFEM~K0&SL zxp>ro(lRKFRx^V;4q!7t1jq~!2E`Sq{x)V{VAzC5O%DSD1E{Xrg-6XC1_lOD7vKmU zHFFsl7(mUtb9mG&VqjnZ)dx55r~#GppmhHTj~Y<@1xojC@TghKz`y`X_uufS0hO7c zbPr0Xpf&?2{6H8Kejp4ALr|G%1F8#IaEJ3T1_lOD*&&KY%{>MN22ec*>T2S$3smQV zdQn<<%=y8<zyPXuP4KAs$-uw>>X+EDK-v@_KY{XTGAM5{Lh2GH1_lNYjzdxdQU~(4 zDO3&USR7CrB?*ffkUCJE<PT~SGcYh@<53g9z`y`1H$m<JwXs0<fZUS`N)J%GKyCqH zkb7WiK<YsDfZE(3yO7<3t_GwIqz2hNxYWShQ^Eo%hd}m#FvtuL2DRt5GcYi?f!cX^ z)PUL&p!V53=<or^3=jsH0m7j6(<}xC2GCFhsND`K&p`4Z43Y<7kebB|3=E)F|3*CK zECH2ap!OmjHK0BVs4PB$N6lsi1_mDn28OeE)PULmpz``U9yOpgpC1DQ!$Ul3&N47C zfa2p79yOqP85AF%@Thsoz`zg)8Z5!129)MOZE#*z$WRU_j6oO_#vlv|XC6idhG0+| z2#*>*Mh1ou1_lO2JZc0O85lxAbq^jjVvLZw&;pMdc}4~XP(Q>8j~Z1*2Jo<xKOQv( zj0_Bs3=9mBc+}W3GB89jFfgR!QFD!vfgzfKfuRzQ8Z#yah8PA0hGsl!ESMM=K%Mqp zRt5%8`2mUtP@SF*%2SMx_9ZBc<3Q;dNexIHD6WE`YLY<xXa)ubkU5}y29gJvQvm7< zFkzYlQv*^5GDjM!24>DpRt5%883>XGVUQXS2DN2D^+^H)1H&UcYFro?7(o5U*Lc)` z%m>-`1&<ogFeXUdKRjwcWg|!(2ODlTr!g=vfYb@$Q3DEdkUAMWYC!#5kUBLyYCvrp zkUCHpg6sr^9|(iO4}{Uf5SJR{FvO(>6gIFh#H9ulHXwDNFvO(>Bo0ys3PTVZ<X#X4 zxfg^%ZU%)7NDdT+AQ~hO!XSAN2B`ss4M-g*3~{Leg$+m@C=79_frTNm`Jnb5h<`w} zqYOkNV^BQ=asx;V+)mN#C<E1-pfL~_4eIa0)PUOW0V*A3puP;KjRB)U{V<ps&=><q z4XAAns^4HVsC@@h1M0g>Q0*uK<uy=W5JrRQD3}^hzaL}{$o-%`C5#5;X;4^!^nm&b zAoqdVQJ{7Whz7N7LG4md+a06^B>zFBqYPAcgZg<O8s=}1T_E>@{NJDo@jGaI10)U- z1JNK1Q{w<t18N_F#PO*CwY3PT0kyLTsR6ZT38?|K`v|E4wR;Jv0gZ1EQUhv}5K;pg z-yoz0G`>Md4QPCWkQ&hV1|c<|@eM+1Ky7tGYCvsuLTW&58$xP8;~Rw3fW|insR4~| z5K;pg-yoz0G`>Md4X7Q3PYtLn0QFG_sR6aq38?|KF$t*w^_2;!0rm56sR89(kefmE zGA=cs{0>qB>gN$s11diWsR5NwFf~7!AY(%ycYwy0iXrnr;64GUd;*o7Qp^ktu(A%+ z7iZAyC<C=K%R&7`Xnzl69tgwAC{TF^D)&I@LE<3uK{N=%)PVd2QUfX@LE`w-fW|in zsR4~|5K;pg-yoz0RDTgt0~+7Jrv_9{fa(B3YCz)~=xRXq9w;n8;RA{*P(4CO4QPCW zkQ&hV1|c<|@eM+1K;s*P)L<*;(fx(3{z6v+8s8vf7q&7J-5hLXCb}BX_y!@nK;s*P z)L^S~(d`0_ZxAvE)YpTl@nB(K2w;KCXMoMp>?i}ZSwMYl5Dm(^AhjS2k_U|sgYqD# zodFt80EvUr6o>|4kQxvll*T}6K>b&cI7kdcgD|=pQ2!Mqj;scjmqB8n@&YuTfJ+U? zE|3~*bvMi|kU5~T1vH+3ZVpTh$Q+Ow(0BqNHK6eXLTW(c353*u#uEss!B*#@yBRc| zK*$`>cmg3c*y=%;U9d0&jVBN?2RV&_)PgX~99TGm#uGr|Aag-92&1b3jVFM_@u>lg zClFEt8c!gk1~i^PNDXK_fsh)|cmg3cpz#D;YCwJkr9;qo0xmVMFa(V!5K;pgPk^bJ z&C0;AoE0^{gZgNo`U^yZ`VgmC5p_4H9Sf>+K=VwXIXxH+G7p4d@daXo^kZvpz|?@; z3{nH?!-CX<{0X8#7+nphZweB}rv}tFC8P$_mn5VH)CM7>2Glntqz2T7C8P$q4FK{R z41@Zk0$Ap6LG3e8nal7wR0veYfz*T4g5m-!4iaF1#22z!Q2GH$fz*QLS@Ed_mFF<E zpt#1T7SwKlsRgm|sRhL~Of9GnjZ>}r3I@<T9ZW4Kjp0=LIaCNVKL%3^O0PK8zIq`A zn%{z{1+|HAs{I@)1e$w-sRhkr;!_Kn7lNq;&1d3M3+m&-)Plwa@Tmp0vtepM^PBk8 zg2n`3YC++LPc3X55tJT4?MG~CL2{sU1{!aNnG2ft#HSWC&J9xw8b86O7Bs#LQwti~ zz^4{8t_xEOif?>sLF1_~wV-)Xd}=}Ck1(~Mw1G`6NS<N3ktC?>0I@;g0-6KGsdk2u zBq(3Q)PnkM*wli|VVGeg30lVjQwvIS*wli>W*SM>ffPd5uYlTHU~#AzsH_3yd9b;& zj3hz*6p$2%51RkPsdl!J<YbUSsJWoN6i&63U!_3pdysOFxu7{pY-+(})8|kj$ht<z z8UaxM6sOvTuTr4)5zJgr-x_2F$PSnukl#RJpftGwq!8*}(6|z4P8_BdrXHpiG(H1T z4w47WiNWMSVz4j+t5xbK1C3RH#6fC7c?750<wlZcKnkI50QFx%W`Oj8^nhq&_g+U* z3(8L*HBce&8V<PHHAa#Tp%Nf7Kx2fUb~lI((gRWlk_OoY3Wv8K4%7{x1)s=jL1U31 zX_#728w|t;nFShi0GS8kgD`qHfYt?p#6fC7c^aqMwT6<sP)U$l&>R+WT!8Ebxfw(= zY%r9RKvD~8=R>(5t5CyXv!SFqR1#z^Xub-{#Z<fVg_Ido5~LQCpOC`=Hg*ek!{<;T zYb3Rx`%#e9g8CV7_kIo)azIiG8XG`X3z`o_R_leNwhg-O2jm`*FlhV%S#1bN5E^fw zC8@~fg6e9x+Pg2L;*r#LpqUFAH-@Wy@IopJNiDWDYoNe`g+mdNT2P*bazRSKaRFk$ z)K)<yLH+^thoD@L5)8GiP)U$lP`?Pug{y_T_j9OFKU5N=7L@-%X%@r=34_+Tfi%I~ zFasnA4YRFi;Q(qcBAdGck6O^WH)OT26VXBTqMHk93n8mLg~wb_dktCb9VE5eplcRE zc7TLI?KNbzPoZnSK=PnAGpG##VuRGe+H2r&_!lY!S|<hy7m$9CUqEU=d=N&-$Dc!m zK<i9F;vlskzu;5*87c`<3-Sw;3(^jbV~{x54N40nze6QKVj#ajxtMA{hYJ0HN`lnF z`~p^p)J_GP3u@DXJPln-immMl${S#{ItwKEkkn#pdxG*kSgrdC1_>m!pz;aoB(O73 z!pwMqq%x9P&|C~owdM;X^^nwp@-R-d)(a#pk<^0P!pLbI<X2GmfZc1iK++LOEvT)G ztQO=~xLT(LlAcIvv9*6eo&=lwIaDYRNiAqB2i?8MY9o=<VrwfSt4%^ui><AUtTrD> zEv_~#*u6>}Wwl6ZakXi|Y9kj&b|b09)usijjaeW$6G<(uHZ53f!UD<VNNRDlX~Alf z7f5bHQj4vf3J-_$1(GL_)M9I=!qqBul-)p53mS{V8E;A*WiOD_Vr$bPn+qD32k}Aa z30s>MBnS4JQb!qR4I+pSQj4uk3z7q?{TwO;8p{XqL29wJX+d%@wVEJB&^(W=O^dA7 z0!b~lHZ8JR*xmq;7Eu2TXPA8s6^aHag1P~;eh;78d?dA?d0u>Kn~~IFYbztWcRDj< zZ7)a*sBM8<2Y|*-LH2;d>|dzRLL{}Iwgs|USosE4`!`eww5AcH7i2D|{6tm@E8oCs z|Ah)|LoyfCwm?=3E8oCs|Aq=31Q`fjr;4jR39^?#+eqppl3HBtNpQ0LTq}7ANiC>7 z2{r>1P)PL!SnZcu$=gV3L1W7})qbs&e1fDFSKAY8?zdXWw@7M1{Zwq`g2leqN`6LC z3tA5a7RTn^AGMOdplU&J2C4(FsRfJutd#_{$w0<{)Pm{&usBEnDICCJY|-$(DM&4@ z@e;6BwrELMpBJPSTYUj_A_D_^wB$c12c#A>CWu@Qf!q%=6PzAChYGQPB%y1PLFEHz zYy%XYAUA^CkF1s#NiCuBK@3SPq4Gf%NiC>+0JY~~ZUBV~vKy3<)PmM&f!qm_2Vqc~ z07S$5rjDc*G`@gOtu~Tc(7G*9UWT~=6yC_@8X>6#t@S`w3#y+%!4GzWQb(C3lG=D2 zV}oF|R?(86wV@z;K=B4zhlTE5xVg5`k}gQ*g3<;~wT{t}en@ITYl@KF3u+_4&HWrI z6o#Z0G=7V$7Su)nxex5#&!Ix`NNPdjEa+}PR-4WODJMaG1C7;!$|$IIa61)QZ2=2p z>;a?}<UWu(SX_Ygf$Rah;a{jwHIiD8`;gUw(lWBzHYBwmbCA`-+B9Hu|Aq?nA*ltK zgRB<TrU9${7b-LjNiE16WVNt14NNU)oh-;NAisgkK~@WE(}30f4Ha67WG=`YWVNt1 z4NUDCB()%Okk!J*>A-6Lg$iv!QVTK%SuL#n15<kdDc(SGpl}1Z9~7>z_77O?-%ugY zdRmYi$Zs$?P`rXLC~t!Fz|>wuas#%u5whB=NNxbNjgZ{{(+e~AHpny3Jx8#*4`e?G zgWLd0>maikJQ<~6^#!OM4=NYI;vj|SW%a#RQlK@yASsYqP`L=Q59C*n9*~>h=H7oL z^#aKapz;e@EvUT)G6$p=G#2y*Ni8U?gZjiEIgq)avKyopq#vaABObM&vKv|LH#}-V zWjC_g-+0u5%5G$};LX<1@I`kosO&~o%L;ZlWd9c^Z-Tfmzk$Xpk=1grLdpkF_=55f zava0jfgt@1e?x`%!3@ZrF<AVA$|;a}pg4y2ujgw?iXy26#S<u8ajBI?QVWVFd}@`E z)Pmv(pIU7swV-$c1vjXj2GRp+x5C})$tY!jq!tuUAT_w$Yl@^66i=Y^4l@_j#)X@! zc~8<BNi8Uzkkx|PxNx<ej8cwBYC&?yYC-cLAhn=)WYD}P>5ilpB!{dPG<FPE>&YnP zi=-ALhs|$bbLVSGh9Id0jjiBQ8-t`4v<?cN+7u+Upm`c>YC&QQ^R*;%kko?Kgn{A> zHogT*XJ94swIoZy3`m*;jTPflTaTm`)&@t9W3ZC>T9Tb$2E<%KY9}M91?_JD`2&}^ z3rSGB9!V{%4UWs)%_OMZPJ-IqNNQo@%DCLHp9HmsNl<$nNiAsYKECk1fTR{Q4~9?e zEhM#|<2CT9eSoAE)cysPldwDw$|s=w23DeZPx3980ZBWcb}F)3P(FdH^<<R#iKG_P zo<z>apnL*Xt9eh788k=--LngtzeiRJ+9w8A>&Ym^i=-Ab|BlaZVkD@QM^c*wZCB$; z4;mz>HAGSi+M@}|$DsZ<a@_(Bs`*-yR!C|=Z7h&l<njSDZVFb*z`)=RRSOyu0I5L^ zGtj&lD9k`%11eL!k<^0P1ITJY>!?9$!R4eUqf`KrT97!13ri26xn+<T%v@MM7UTxl zxH5W}fz{5}g7;%VYGLEbxYS00ECuahhVB^$nSo1fERtGK_<+>G+zXl$1i2UHw=5*J zpmqVWTF~A;xLVD7lI2KhL1V4h+yFLrzLsPQl3I}e@Tr}Mq!u<t19As)dVrZb4@oVk z{R;{=nBPEim>~Cp(}O3Y)M7kpL35aJwVL-NS0bqe*$ql($Y~N3j$m^c7#KDnsRgye z@u`K41%dQ}>MT$=fcyo*p!yBorghPlgpCD()Pm+Lv8e@zgKRQ<EC{3)R%e0C1YwxD zV6}3|@Ub9}T3DS0QU}5)YUPvRV?iLbc*mm@lHp@PAho#0n81Gc94Z7G3j(Qy)o&pC zK^VmipF@SVLBkiM7F14x;uz!?kXfKLQJ^pb$uX!TOCCT{3+i`+)PT$cVVJpKwd%=| zXOPr_`rSCyY9&kFLQ)GVe{rh)94hn_NiDWMI^4ZI9#TJ$)PnlxIL+<%km3go(m>aP z!^(A#zd#tpZ<9Qv6p++{)>q*)cbbQk0g_tKdJLRuXL?9EAgP7b0U-N97{v{9J){DV z)PmM$<1}}Hhg1TR+8k)z0x}bXQOsT9A(fA$7F1v0G<Ug&R2`DqJbdOJ^pNUBQk#!Y z?GX>Dg-B{a`vP&g;e?0ORwT8cId`0DKZgn(KvD}j(*U2^OGs)b;d5`4q2yB}wV?B1 zaGINCDER|P?KFJm<{3(Ig9=Aznw)`8ZIPj*98@i+9EGKIs1SHO3RM1r-8ElJQUxjj zQVUD#P$3MpT2Kj)T2NXCaba};s1E@*H=<Tr4<rfQCjm<9ATCTTXl)8yZIz{r5l9lM z7L?XOT$ozWo==ckP&vd9Q7df*l7y;-rFEzf+`VA6^R*;xp%NhX!qPfa2t%ziR05<H zme!#{aJ8^7bB9WR)WXs_R0u<@4^#rA7PPhlWCm0St`?N{Kz*_Rs02tYXg>-*wXkt; zkXq0>5Rh6>dkSP0C?A8u3|#(#`kP4R5>gwDq!zTc17t2#2<~2(xd~7SkQ)f8O(Q{V zHVJAAk<^0DY{KW>3KG=TA*ltO?*uXz6sFk1w;f3>XpI|4Ek8&hbgT`e4;E$<NKiWi zNiArf3wnD8+1$k>s9lAm7IfAWK6AGssqKT7J5V8v^spZ)0ZI>q)E-At+Ydd{3YO<V z?KQaHsw`zL;86=&j|Nv8Q7e5Dk6KXM4z9M!Qsxno+6e^w_M8N@Z;;eZgsu;Q`3=-& zgu5Z4R{ATFT3Foz6~YML|4<1~_!3gf32Goi%Sl3N`AJYKLV{XJ64c6(pjMp(wMHbU zwID&Q6OvlcT2*{`$Qwy5tW5xoN{l!TMp6rE|Dv0V+#iZXQd<R`_Xed6P|*Xb13>*s zaJj?4z>tKbwwi$2EF`rx1k{2zkweoNXe}_hdy(DH3N;th=Le0~fXYeqZ~%#c>cU<m zwV*jFkT@>2Q<2ny#@9jp7Fd1*^}9g%4Wyqz+)8FKl3LIl6-XSHx!aJ`g661@%?0(* zK<0wm8sb(m$C1>6=BTil3syT{OY$y~T2PsUO)WUy=4(m5M^XzaJMgLfgQOPZZ+vRG zp$P>P7a+gjQ!9m}7PkHYJ<MS4RYg(@>zm?IYk;H{);Gna)()x`G^PO>;|HZP&{z{J zt%K@Yup1Z{7+~oPH17qPo5AKckQj101F0pHCShp@q?S;cbbz`Sq!yGWLH42h4I~C~ zLm-k`Txk-Pc4Cp#g3=_&40Lm0YO|5l5=xVmNNPdzrufWlMp6qJ8^ou!7fCH>juoHU zSx9O@V@&weZa`8CN)I5lp!^9-51_mWP7m|7Bo82|1<lRjQ+pPw7BsgBn|}kD3BsT< z6+WiG!oY9?NiA&t4Wtf)QPjSakbI1!7B>F|QU}5)YX6B#!qP9u4WM~Qkb6PuKp3VL zEXF7y`4Y)o(EJ-%9HbE1_Jq3^bUq)FTG;#>R4KR~f~#edkmLXrpwROhVQCUwE!Zwj z2}v;|wXnEAR|}2{(7Av}YGL^TT`kyLJ_$*4B(<=4QgpR2bG?w%!tw>WTCll75|SZE zYC&^}U~#BoSop%sh1D&fxB!(8pfm=`Um!i8vJezzAU0?YI~mDb(0n1XT2NUCQVTZs zbEr@ml3G}PgE|2o7hrQ`BqW=W)Pm+gz~WHF816+<3oE0bN>R;KkdR!6q!u&}g3sJd zNNQna308AeB_#JCsRfPy<1-gl&x67jR#sy*S5rdrG?KZX@qc{g-at|d8hb~M3s7By z92c;99^?j48HKDCG#>|23yO149N$7R7c}mTtQNE`52O|r#~+Z?!pcc>zk$s)laOSD zR%ReKfW}(!`Ar;2Eoj^Yr&?<XNj)UBpm7(RYV9Q?U6Is+#$9l#b(WBfK~f9qui;ed zCLvjjq!!d)!>P77TCxjCEv#*X9%kV1EsvI5h@=+QHo~g5Dq3;}l3G~X2&>u{3CZ(F zYGG|7tZL&WB%dLv1(gRl{gx~t`4>qos64=_HcdiO1Ui@lN)Mp)ic@Wtgrqi-T2Ok$ zsWw+a(g{f|D81rTTPPtJiKG_SI#O_4lt@SxAgP7TA7c%(3JJ+}B(<P(_HeqPT0(Lj zl3KjuwDl5_Tanbl=B2T^p;1Ee43b*dyfjv|trC)tk<^0D;lt_P4hhL$NNPdn@!?e4 zBOxgWYNSBxSkQboPPP3Kk{U>Av90%nmphXsB<+#Zg4TQDG<TYWWEhfK&^$U$wX-B7 zbCA^HUGF(pLb3@-E#CE>3ne6HAgKk-JK=Q05(&w5NNVxUJFSqAJc6Va@4VA$3CUYX zYC-*OoNibzA^8DGE#7&jO%jr9ppFSNj$vc*Sku`y2}v0wwXm^xtZH{kNE#uj1&zhy zbnjjXNe?8ops{$IY7a<A#v!Q%jm6_sdqhIA1W7GyEFP<Sk4s2)A*qFp#bZ@_T0(LG zl3LhUJXW>mBqX;XsfCTjV^w=eLh=lfTD<d4S0yALA*sbX?{rf_@&}Syyz@?XBqaGj z4F+g>fXxSBb?*ZSNfjiuu=xP2Y9C8TS|O<gU7dq7-kwWH1|X>g-EV+X?OO@SG$gg4 z0}OGh{U{+>gQOO8Mix%BUnC?aA*ro^j=f^_+YbrJ6-a79XF}mL_qT-PJ|wlEF)^HK z|4T?-K~f7E6T_*NNmB9^l3LK17*4fpl9J%d1)y;ZI^zqcT24txG0<j3s9MnY8BVpl zl9D<|YU}ZZnSi9E6O!5nd}>7`B_oj3HsVt&E-9IZq_zp4T4_ni79_RJ_|(ctO3p%3 zi+o20C{3XBag-z_Hz28n&1ZqsfiQ|%RY}QXNNPcQpmBz;rljN@B(<PD&^XoVNJ@S} zQVW~=0@)A3C~h#2l;i*n+Ct+PHb(_g2f`?7jU^@JkkrEFoj~e97)7nQq@)RwTG+f3 zNF4~HsI`)m^g>b#noGjzH#<qm1SGYf`5~NY9VI2pkkrEFd_eYtFp3*oB_(^1)Pm-G zaGL8ODY*zqEo^QEWF`osnCl}cxdTZpXubufx&D%p=aAIG=0!kef-s7?!IF|skko?4 z_;H#WCMo#~NiA%QA7my7qnH~dDJcLN6o;mVsrd3mtfZtGl3KiL1QI1BZIIMX$7gPe zq+}41TD<ECG9)E4kkrn^XKuEnWF3-P&{<|U!z^D?ate}KY-a<&+q6ZJlB<x^g7%@| zG`CDr@&J-r(7Ap%)mBPMUPDp~I(rtU+FD7;H%Myd;|qrdNl7NqLOp04gU%emX>N<8 zqy&=Mh4{>Emz2~)QVTkd5U07_l9Db+YO$S>01w|jNy#WAwRq1+m?SA#fTR|5-XTsm zOqG;uMp6qpPXVXenUa#zkkl^67Y=hIC08P;1)Zme)7%A;l6#QUV!IOw9uA8oCC?+N zU4_pL%OxcrA*o%BPwgs6$uCH1*WgpTPEwK$G|2%?JD_tQar$keq@)Cr+I9HM-6|=m zg`{>pKD9d}C2f$@g3h+V>4rU$l72{PH{vsQzocXWlG;u9)E<_UEJ9KXI)@Oa8;(gz zwjin9g3sJjl9JPq)Pl~}z-jJTNy!yRYC&ga;8goULUI?9TF`lrIMv>klsto^7If}2 zPPO+WCGR1r1)U)Y8b$(*8-nzJ#%18?_j9PwJ0!KBbA~`_Ky?rZqtruBB_(-5i+-W$ z40MhjPPH#3B@L0(g3g7;srJ)rDcD_cps_2^`OrAketj)fiDWM5oM@bCf4-KQi==ix zzBvB#TIwK@TF@D&IL&2vBlQ$XE$A#uoNAfhNO6KTs6)dHbT$)Cwd`-Cbdc17&XdNe zmg|j_KayI|xzae*^1YENLQ)GlUmB-c!8cM<k<^0DdBv$#^o`UGB(<RPI&rF%cq4Ti zNiFDVSDb2P-bnpJQhO3#yve_jQUpy%L&FzzFCJ)~7nasR<BG^>-2h4LX?*6Yy^-=k zQVTjK3#WUv-biI2sRf-$ic_ub8>to~wde4;!SIdL0wlGddna+4Yw|{F50cso&^zs5 z;Q$&lMGl8cNNO*lsRfOhBCCCar1lb;TF{s&vRZb~<TW%dE~BXhjhP~=RYFpG1x+nz z%oJIzEt1-+Xlg-YrpRg|kknp7Qwth1MOIskq!x6(8K}z+3p3D|DYDu=B(*ot%ms~^ zBCB12q!x4!8nU^dF;ir<hmh2Q&UHst3mP*;R(l6YE$CcZWVN6%Q)IQ@kksBmb1!Jj z6j`kRXcI3q-tMBQ1&x^^tJOkM3p(Q&*$tpEQ)IO+NNVq+nF|^-MOGV!r1k-tTF{s& zvf2tHwV<=+K=Ze-cms`@BCD-OQu_#Bek*??H3dm6=xl0azk$YAk<Fcrr1lB)&OMkL zK;x^(YC&}=s9XZkFbt|&L31I{>K7&qo^u6NFSyi0i$)anpe%|@9GCgH)DM(6D7@gF zg04jXg#oSI1BwH5dqG=2Ky%CJ;-L9_T<*jrj>{ZsiQ}>t<W_X|gXZ@^enS@rZ7Tp_ zbaBu+A!>=^a_3MNCp8{HX&OD8L1_?|IH>K8t{yaHNNaIi?!o0>T=7Wj{EN#yxWsAg z9#CAO#}_Eik(!U7Q$%p1K=lQvJ|b0|RC{Ty9=Qz*@*K!tpn8*3f03#lv@Q;0A50&} zUUI?{x<m(L6c~fd0p&$p=^thvSTVF-BgfuRbN>IQX1IaYD8k|dM1$fH)Q-dz&bZXa zm*f{PB$lRR<_8qzCo`nyB;_z9=VZqlg30pCs(1s2%)HE!%*33`s?>O}N{IS+kT63^ zQfWy^eqJ#{N@`MmX<l+FTr$73gdx+YI6k=~hruZ|Be5(qzbG+>AtyDh#4WRkAuY3r zA-6KIC^>_nC^J0+EasR~o>*CIXlfB}XlTv=<(Ywa7Eqp%k$Jq4sih@@C&W!=Ch<mQ z1_lg%sU<<F>50X~ndy0^rXVp>6frX+keC^Yn4uX+p(Uz9Lkp0JmS`$1z$(pAwOg3Q z8<|;Jpb46T1TE17E#i&L(OhY0VF?m6L{({N01`Ap6Ep+~8lwpsfdoy^1dTz0Xg;zu z0STh{$kG%fh~^_pGms#fk1WkWf~Y<+GPH;{GciH6-N?`qBxnkc8$(c-8^XDkAg&RN zYYqxh17jH11QeJCs0Nyv#haO!qK1&EIY<mOfJ{M-F+~j@Q;=IsQOz?l0O>Vl$jmD) zK_u^ZP;xKNtYRoB&x}vb&nqd)&&f$GVh9QMbS}w(raM!Ectb-2D96yqG~UqA5XLh$ zjyE(kg7Yln4Gj_A0;xoGg`ok+=a#6>H8cRH8xyE0#%A$`hVXzi23c;#;N%$+?-tAu z>>3pB?BmUllbM$qA8%-C24|VWSY}3WmMNTN!Qd0*?BgBp?&=2<vowUWjKJo)IEFaJ z`$GkdjF1F9VS*+WaF!(~6Nlzymc$#vSPY;Hof)5&Sp*ViC@4yXsy4TTGAvLttD%K) zypfp&YJ?hs^P7b+LvW;@bG(~dFjTLhnK7JY0*avE5XTT#h7hMvw|Hm25SW}LT$QCI zLr`!?d_a)DGefYm4_Hx%r?0DjXb6}M@~=~5h--YXN06&KRKJlK+>7Q0Ffnr@2ItVA zAV(LMpm-#u7Um4Wp-y0DfDHsY7;K$?Xh=Y4NW6=yv%iZggP&_eNIXOtR0I-zre?6{ zGc~hdfTliB(18kINLmE(puw77kXn>jl3A7t7DM618#18qq3$+?r3tv7EMXC0XaMt^ zp#eM{7{F7G0Xz^5OrVAt8kjOTh4}e^h#(N*0wSD2L@0x^kGG+Lfv-mtLs4-_9z$_C zLvgtgLvgt=LvgtYLvgt&LvgtoLvgt|Lr!Xn5r{Ab5hftQ6hxST2y=$w<Q#_LlthNa z<oMj=oNN%Cn35b{oRU}q7EDP*;v_*;<P?DzMUZT5YQO-kl;SfPauX}!lXCKtvl)t0 zOX71AD;SDWVJw)clq3d_>G5efiRlc*`K5U&@gTkr5<3W@q9`>nrIH~xvjVJu0c@~| zg||l)xH!o#Es2MQL2^!ZJcw%q36k8*3LlWRAco95NFC|}X9Y2U6oM;O9|SXq0qiFi zLnv*;P*9pvoN8i`?NJ30D#|QQg|L$IN*FTp3Q9|SQqxL;6LSl4QX%{xP{j%r$S*BH zRRIw|R+5~bmzJ3xT+UFEnwL?<;2s>r;2sjp;2!SE5D?_!!r&g{8XV#u<jPPGUs@1f zl3TzK;NuhT<L~V4&JYmf@9q@u?&IXc;Oy+<?GItPIR`sInJzHe6C&jv92B3KR|2vD zOoMCyGhnuWIbI=-?(rcoy%3(WH^c-8&jo58gy$QKEC<T_U?+hkogD*0LW5k96a={j zyM`d~gFQUmkolnjE{-868bbX-T!WF71iSheBAejqV}!~zM&+6yaYI2xd5DWYvH>tL zWK&>b$VS1$pymbnJI9B6x`cQzguq##fP@NynZY5BK_TFTnwbY_-sP8;z!^qhnf%fc zV;I8(l2sT$xhFLRB+5`+l2`&tTbU){`9&$<hM`+hI7GxX56pLD$SqE1C@z8KsZ2=5 z$!AE(&r4-UEh@?{f{-PZ1*xDa*D)ofh@mJo-6gRk(U2h}H7BvsH4j3A(sePYT?bNQ z$N*;=F%*|TltX9`-xX|fafu7an&J}Al$=zMDv)su#U%kgKE<iY`FSY}o_?Mo@nNpc zA^t(Z44y$Q44&aZ5Zf4%K^}z`9iWWJkepXy03r-Qgb|1^1`#F<o?#3rNg<iJsi0CX z-V<EMcrv*9#fL=1gXtg;?G_yG$>8f7@5$g2?*k@-z@$&SKZ8qrP`p2b6R1A+ht|vf z48fr4C>}<8GC1dz#5;isHW0%HT*QHOxFzP~K=cNI$^f_+ILCl_AaO7+&@hN0&?tx@ z&^U-8(9n$`(8!G;(AW*48<hQgz-<CJivbj_@&2VHVBKJjXC8zd?-TD2Wd%W5{;u(m zOziI(?*o<#hlzy4L|i<>;)6i7v~xVzdyrI`l9|Vl0_q_^%AEM(a)#tohLogqP^no^ zS`u%7%rQjf7$I|vkvS#|F7cUp3@#uu7*fhJQ%W)z@=6j5iWyS!<4f~Oi&Ik=Qi@V4 zVeEopP@;tKK(U{i0`^66PIg)ns8mkQ$&QEgf#5<(rD?DhW4r+<Q-D<_!Awcc$<8k= zF+k%RqVbK;_{L~_6IA0&QMqPF+_a?PlKg^#)D$E!Q2Q1|3{vG~WTt0;92}pSXNc+r zP=H|(GQw14j45P-B;*_H9`DHz9O4x3$>0c1?4ZQ&&*0+k=Nj+N;2H!<^`ORUa8Pgv zD7}MPWej<cVkIRwC6OToLIx-2fSAcS3~9k7iA5zKD!+gsskAs4Tm+>S6@^q5qy~Y? z5)cC<<5rZK>YP^sYC(XglFZyx|I!i=vp6TQEHykavxFhBD9I<kxCC4%IaQXVGUVhZ zrhpmArA0-ca)zM@<cuPa1By$NAhzWsmLz9@G6Msc1y+^`E@?7di;5UBK{_(QIx;fT zN}MvG=4Y1pmzFRTm4gHlQ&Nijz(yoxmN@5^=7Fm0lK7zF5(Z~b4eA!;8pz-l?Ciq; zZJ#oPfE%ol&OWZeFtK=N2-h<nQdW7!gJYJ#Gag+1Lu+~zURYvIW(tFUd|GBsNorAQ z3RDfc07O}^b9_NjesXGYab{jR*nh#!@t_b22KkF2*csgC2YElWxER7s1_v6Pn^_WE znhTNv>q0R7!L1L5at2Ry8~l+h0F^J9B{1_rJcRKe9>jF0j?!EP2n%Yqf4m!tAE90f ziU-APYF-H>DuaXK^HM9IoEV$r{Ji2413Lu<DAUkRfx+F+CEkbu8piRSPN8lnoOq{D zH+OK5BOC_`aySpBB{L7<7mxxt4_N^yxFC|jrMU=+f>LNh4kiQ&PpC&d!663WAWK6c z2_g$-qezzKGC-ve_JC6lM3oOl8j1G@`P?5fzEG10L|L$Nd}2yUP-<~$PDy+ws1+Qa z7jFPc2&lq_*oBR-3man>Ho-1zie1<YyRbQSVGHcSmRN-i4X_Ji_oX3rUm9Zfr6G1- z8e;dQA$DIHV)vyXc3&D|_oWebUm9Wer4e>t8e#XP5msLsVReWxR(BX<b%rrkR~Tb; zgfUh(7-J1+W31t9j5U~zv4*lSR==5G^_vM+znNh5n+aCGnPBys30A+EVD*~`R==5G z^_vM+znNn7n<-YmnPT;uDOSIkV)dITR==5I^_wYHznNn7n<-YmnPK&t8CJiUVfC9C zR==5H^_v-1znNk6n;BNWnPK&t8CJiUWA&RkR==5J^_w|XznNq8n>kj$nPc^vIaa@! zWA&RkR=-(b^_vA&zgb}Qn*~<CSzz^>1y;XVVD*~?R=-(b^_vA&zgc4Sn<ZAiSz`5@ zC04&#V)dIPR=-(d^_wMDzgc4Sn<W;%<$)R&sErDckRhg!5vGtarjQAykSV&5Ia(_w zFWwx(K64D~%rR^;$FR&2!yHQtb1X5;vBWe7!_Agv=)SNt#}Ki=5U~V_<d)`?_=Coo z^HPi$VuFLvdJfUXvGJMl;8df4MLNDHH9gwU5Tpj2-iT0RNQ4?ABGee;R$~ft4<5VV zYVg<vSA*LwaPZ*wI$Q~E*T9wFb_`qzZnv1jylsFpXy8h4y9KTUw_D&!j1?GygW^G> z<giX0C<P($qYcp9ge-@iY>?%Q@aTgF1=OF&`oMBX$+Z~NlMPBu&nzxUEs8dXg@g=> zC^!w{mNCR5Wr))}LnGW$#<-<Sa7&rumcl86+Yz|kfZGYUU0{aO1?D(qEO5$L#)6Yo zacM5N#3?S#jW&j7MFRzff_MYaxL-lMA&3I4hG1aO&&bbBE!NL5GRezK&M(m~NG!?F zFV8H_&@U;_Ez3yB(alXw)em*m%}dNp1&M(MNQzQ*Q%g!yG86Mk^oxs<^)pg(^uZG| zptTWtWt1CQP?Vpg?+9CMp;w%lt6!X%8*iwe1X>`WUjY&TEy19MT_6u+7U;u=FcB=; znhqNd1ldwrTm+5?{nWhTk|aZYUsqTCh|HYKybArY%(BFkd?N!R6Fo!yFfd0S$^%Wl z>6fJzW#;6kgX5tzFS9r^w^$!E76URC9E?RNnfhRr&OXKZrUvFFre+2Z0eo7i>>^)Z zXJ1#Nct@8oGTnu00F_+_8mS`FT`;Xw%|_tiLy&{0Wh!KihF)1l3WGi+VF$|Sp!`x? zk`ixZU|^wNT#}fVl30`iF&doSDw0Ywb5e@+EiElA^$g-Y{X*gmObkrp4J=Fy^g%=J zi8(pN`iVI?@dY`FC29FZxy7(_4bcKkoCNHmsy9kfD@ro+>EQ`vo2cQ1%+%CWSX89M z=j5knCdV5Znwfz7lEa`+lNcdjCoR3Do0OkYIS_tBwwM|oEUwJUFDR}o)=$pQEy&DC z4axV!5|fEVnI#z@@6sqHNp=POyk43ITBDds(~^a#I6!p>H39&Ue{vH`GC<vc98et7 zKD(k@M<dT5=M@?kKyV)++exij6Sh>Bnl&+Wr7tMHDQ_l&s$x(znU+}uF_5|unpc{e zT9la_Ut9vtg|ttfm=@B^L&)ic#%UDESID+fE0sdmkU|mwwG2gCVF@u95=P1S87ajj ziD_wu`Xz}4`6-p9`VqyMxe>|v!HIb(N%<AvZb)iH3Al>}>R+T~ChLQ`k?{qI$=QkN zsm1!anH8xi@i~ck>G5Sbpw&$f3#jFCl+~S}oJ&a(!qT^L_j3W63Jwp_x>it~RCW(& z_L)ov!L(A@MLwY+#$>t(rj^PratRJFv2Zmc(@{vesq8MF&=4as9R<@$eHWRK?;@~P z>buB{d>4VWQrShmp%HEtWI741mj-S!r@&2My;OFSn~Sq6nNEUfrLv2h9fN`*!HJZN z;v1ov%C7PW4KXD%oM2k1?4mGdXEGfG(FxuE2-<50!;sNt1_sa>B_IqsPX~nM85tNr z_zoijLn|W#LkSZDgE$id0}nF;!&W8+26tu%p3BU@0Kz^j3=AKc85jgv85nl3FfiO> zWnidgWnkFF#=sE7#=x+Goq@raoq=H)2Ll7>t~t;-^7@<%4DnnH44`{ZE^{$3fUr6@ z0|N-xa5FG~ur3b+!&z<y24-FchIu>;43)ePe2$la0fg207#KjfgO7m$gw6RG7#{L5 zFr46LU?}8gV2Bc6U|<$tV0bUUz%W&SfdO>JrLG_YgP0Hl!+t>qh802#41q!n4A#O7 z43C8v7|shbFq8{3FeHgEFmQ`7FnkkXV3;eyz|bYiz+f!Oz#uKgz;INQ0ensbDC|Jt z2%<q4w0{wVK}S=-#6frDg7(+K_~<m~tb5RTwV*S*Kp0(~RDJ>@0|V$x{0>G22GG5< zCm9(SIHB<lawEEVmlzosKxb6I#Gf-VFyM19OdYjo(7g+wFam`G=uE*m3=9mQbNxW) z^?}ae1D#g~I)@H)W*q1&IM5kyptIXRXQzSAL<6101v+C3baoc#Of1k@SD-VjKxb2d z&YS|BB?U^)ptGMqXF7q-Y66|X1Ul;lbcPG)oDtCZAx{|?7@k4zkp|t%0lIGkbdNpg zehkoE7A6b~44}IwKzGoC?u!TA>j1j98gvf>$o-)E4M2VXg}D<00|V&ne$bixptJTt z=huVIr3ali4?0I4bUr-jyfKiwbr~2KKz4xcIYGAv6gKE~f$p>h-O+$<ALyQ5&^-j8 z`vs8g2A#<dI%^-B8$f5zgU*x(ok<2ds|l3<LFW&F&J_Zk7X&)@1$6#2=&WeaxwxS7 zZb9eRg3g=;o#P5RpA~fOD(Eaz&>5wmvqwQ^ih|Ax1)bFiI)f8*wkGHtOwjq3ptC4J zXH0_P8FbDgERI2ED1y!~M2>6pcn0MS(D`$qbLBv1z=6UK6!)NbM~-XcI0l`G1v={r zbcPk^Y%0*1Q=s#qK<7Sz&S(Oikpw#X2z2%k=u9EdSwWyQ0Xl;RbhZxYY#UITc*(%P z@QQ(f;WYyT!y5($hPMn14DT2i7(OsCFo4o4$S<%o3p%$E<QMex3OYj&bT%L;-GbZ? zI-3sW2T(cyrBRT3LFY_?+y*)q3UuBR$c-@ffX?s%oy`Ms7rI;CGcYjxW?*3W!@$7s zmw|!dA1JdkGB7YQGB7YRGBB_*GBB_)GBB_+GBEHkGBEHlGB5}*GB8LmGB8LoGB8L% z%X@WD`N7D*pvlO<pvB0*pv}m@V8qD4V9dzCV9LnAV8+P6V9v<EV8O`1V9Ch9-~cLT z7#SFx7#SFx85tN{7#SE`85tPd7#SGc85tNn85tP77#SFR7#SG+85tM?7#SFX7#SFX z85tNt85tPD7#SF%85tO27#SGi7#SE685tOo7#SE+7#SE+85tO|7#SF{85tOI85tM~ zLG=(L14B6@149iX14At%14BI{14A<-14A1l14Abx14ADp14BO}1H(i{28OAO3=A_E z85m|WGB7M)WMEjx$iT3ek%3`3BLl-KMh1qpj0_B$7#SEgGcqu2VPs&~%E-X5jgf(2 zJ0k<bPDTcXy^IVD`xqG*4l*(@9Aac(ILyevaD<V8;W#4$1E^d*!^psJmXU$s0wV*% zMMegOTZ{}0p!)J2BLl;IMh1q*j0_A<7#SE|FfuT_WMp7?#mK<$nvsFwJtG6d2Sx^l zkBkfqpBWh#zA!Q{d}U-{_|C|{@RN~&;TIzV!*50ghQEvq4F5m}HZU<TurM(&a4|73 za5FJ5@G~(m2rw})2r@A+2r)4*h(POR872k>StbSsMJ5IYB_;+2WhMp&6($A-4JHN# zO(q5gEhYvAZ6*c=eI^D5GbRQG3nm5z(76ntvpPWa7U-TB(A_qmyH7xM2<T2H(49)4 zyONO0L{K>eE1O_tAgEjdl}WI22)PUbl|Qg@54pSnl{26-!9ZtyfzIUuowo%#M+<b0 z73jRD3<d@U&^h0r^ShDDAJF;OpfU(_o;B#4YS8)9uyO}<_Auy7Vf69`mQNQkFff41 z8BqQN)gPd81#|``tZV_DMG4EFpz;M)hoF}upfl-UWeIYg1?5*znF2Z|4OXsz$`V-p z@|=Nz;ROQ&1GX{+l!rlO$|nW}hR+NP3_lnc7=AJ^Fo41vTm1v7dtl)TV%sn<Fo5a? zP<;dne@_Mm22lAAs&`;zJ*a#Ko$m%Zw+(b|8YrwmXMusrdeGTjuy6;J`^y*^7>=PI zsKUU&fPB^lD0za48W`q)9$+E>rP1YK>Ok}y(1H3O1_J{F?0)AHIP}5H0ny0%V0Xke za6k+K$wOEW64aIfu|PDkJ_Asrh=G9tc84UoKA0atG_t-IP<<buG`c?6xji5nS>FOK zkSiD%RzPWVeXw8#(a8EDpa-5LKxuS+ptEg2CWB~XeFC6EQ5YB)B%n09K4>sAfM{fW zC!p#tKxuS+&|qc&(a8E5K!+kRFfepLX>@(i-~zWrk@Z>dfn3kP-~gr3^+AJ+0YoF~ zgWc)z1BX6na4~>rWPK~32aIfh(&+X<z0Clkk@Y2j4nJXFV90>d==z}EW&qL1`Xr#| z&MQD^bbV0gGk|DheHWnWZ$N2ueNg8!fM{fW9nf>lCqQX*eNg8!fM{fW4$!l*J)ktY zKBzOmS0y0p`vFzYAOeX;bbU}~Fo0-eeH)<acR*=$eK2D|G_t+|P-lgKfuRCQqw9kj z2X+p!z6Q{texURTrP1|4jRW_Eko9$lL;N)XN~7z88pi;lk@b0i4h3XjU<iQH==z|> zF@R`feH_qpBL$!|x<06J3?Ld=p9HAW$-uy%0Hx9OL5*Vo(a8EVK!^S^FfbTEX>@&1 z;}}3RvOWtLNVqsaX>@&1<G_lL_5FaVXOIO;F`(;%NrPmO^=*Kv-vOo3^+AO}+8B`a z9ms=}JSU(ux;{|*2doLymPe|OGN2L#P#RqysLg~;p8`}u14^UoLp~P}*_}6_>K{O9 zbbX++1F_jR0czk3D2=WUbY~+reHHnTaA|<j==zjln$W_<pa7!J0!pLn1GOVzT9N(d z0rfxtlt$MFYI|bS#{l&J2b4zF2Wqck)3*bv{s5Fl*9U6jV$)Xv^>_o6M%M>w7h}_B z0QI;9lt$MFYD;6&Cjs@i0+dGA2Wo#~)5ieyI0uwQ*9U5|W7GEns{R9%M%M?r>lB+l z1*pe0pftKZ&^QJ*eHu`Y8$fAveV~2?HhmAE>R&)<bbX-l8EpDqK-1+1D2=WUG^T@1 z--1F&x?TaL(e;7GXt3#vD1zuqfYRvtK;t^t^v!@q>;fo_t`F4a$EHu97-F9Ult$MF z>L*~+*8oir9Z(uwA82d~o4yQaf+&E}==wn8Vc7HqKodj+lt$MF>OW%BcLSOr9zbby zeW39$Z2BCa5$ge^(e;7G>#*q)xCN?#VKNLT^%1Dg0P5euWRcCZfL_q<0Hr}{Vd_9M zs6PgxK^VCl`~g+ZkPL}ObbX*c97qocBkS7$RlfsDqw53p`#^d?7+GII3dEiYD2=WU z)K>)Q0byi)2B{Ez7El^pAE>Vn(gVWC`aVF_|A5ly`aol(AUz<AtZzda#J(L+8eJb~ zTot4Tgpu`4NQdZ~0j1IPfyQD%dO#RipGO8nUjURw*9RKM0O<i?WPJ>o5Pcj_8eJb~ z3>KsZgpu{_fT}+LrP1|)#%)1*Kp0tHK^DZm3Mh@P57f^G=>cJ6eKT4?Em8)C1yCAY zA82eEqz8nN^#!y+^hH2vbbX-lY>*xhM%KsC4$&t7rP1|)#v(v^Kp0uy0jT;DP#Rqy zX#4`C2ZWLJX=Fpf#Q;j9>jRBBf%Jedvc3mU^)H|_x<1f2IY<u(BkP-y1F>%blt$MF z8s7)$0byi)0l5%;5l|XkA82d_qz8nN^>IMks{&9OT_0%N2c!ptk@Zz{K;oeRN~7xo z%{_qhfH1N?gHDJ(3n-1Q4>W!R(gVWC`d&cQe}K~H`at7-AUz<AtZzXV#J&|!8eJb~ zP6DI{gpu_{bVKwdKxuS+pm_|C9uP*>C(r}YCjq6=^?~L-KzcwJS>Fk$`U_ART_0#X z4WtKzk@Yq7LhS2+(&+j?W1k>BAdIZfq7S0a0ZOCm1I^2T^nft3z7J6KKcF<aKG2vS zNDl}j>s!$ev2O#EM%M=#Cj{vMVPt&?6CnCBpftKZ(6}y04+taclb8t6rvRnV^?}BM zL3%(KS>KAA$SoVl93@B%2!qT3jb(z^=y(GGeV}n?bUh&c4g&f>W7Qya==cBueW3Ae zbUh$G`uHGd+z})W!=O7OU~J@YLGQ1i>jT{%0n!I*yCCaB@2{Zi1C0xV^nt<_Ss(g% z2)aJdSTaZ-NE}%odcPke4^juipz&uA8-3i=0@@IEfYRvtKx5V*edyz+AE4@gKxuS+ zpmA=HKJ;-@ba#T>15yXWpg9Q`8`*#8<00t!K;!8kedzIkJ}!l>4|Jz5NFRDUppS#0 z>jTZ%g7ks>jqJ`3lAsY$28JI{8eJdgu3wNo5JuLwLJFd92b4zF2fFtcqz8nN^<_vy z^c6s9bbWas4N#1%PeBHvPXkJ$>jT{f4Aq7*-g5)0{sELm*9Tf_flc28S%`fzpftKZ z&^^J}^m)iZ^aVg^bbUxeN+|ARkca5wfYRvtK=%h@vo8ahkP4tQx<1fd!r1gxKoe2} zlt$MFx>p#Rz7A+YngFHI^?~jf#-?uqG$GA^(&+j?_YGsy7XeL32~ZkcAL#C3Z2ERU z!|?!=M%M?rhZvi_0tHAsR6uETeV{vuvFX!Lgy=JX(&+j?^Oo53b#Q@3U|}*049NOG zc^ov?iI7FGCJ@jEnjb}|MzCfO&<9%6fl!TLEg+x|v<?KJ8o^pYKp$xC7NHu!+CV@b zXucPr8o}B@Kp$w17@-=$IzT`lXkHni8o@e2Kp$u>8lf7&x<Ei5Xgv)=HG*}6fIiR~ z9E55F>j43MpmjS4)d<!L0{TFACnHoNSm^B#P<@P$Wnci^qYUFCm-FcLCb~Y*oys76 z==CQ0d;q#W(3&KWK2V-Qw(kKnzrKLd==wnGm_Yi_>&+QTko>*?N~7xot$hON17T$Q z0+b>8BA_(7KG3=akRA|5*2kd&(I)_<(e;7WSb_9_FtWY_Q1vIEG`c>}`UsF75JuKl zp$f6D0ZOCm1Ffk5=>cJ6eFka}eHKs}T_0#221pMGBkN1hMlA=C^?~9Hv~~=n2ZTX! z528UhVm74zkN~AY?KhA(2!qst)|-LY=s02yR3DT^*9W@S8C?&EZvef-(gI4O>jSNA z1F1vD9P=Rd2|#IdeW3Ml=z2i>2T=7dpftKZ(B01<b?A7<e29GqpftKZ(Aqn6Js|#s z1rU8JpftKZ(0V<PI&@sI5Tb7alt$MFTH}YV2gFZU1kqOkrP1|)*6D!Mq2qwX5Pca? z8eJb~4Ia845Z_@5M4tzgM%M>gw+B*(jun<d^l3n8bbX+;i|Bg5{ACb*98elvA81W0 zSRESi0IL24lt$MFS|f?31<c(5EwFY#X=HsM1)%j#AQ~OdfEHK_pftKZ&^ktRJs^Gq zw7}|s(&+j?Yac=C&~XN|z$$>!==wlwve5N__yN!YD*{TR>jSL~2B|~G7SICA0ZOCm z1Kn4Rt_Q?dfEHL9P#RqyXx$h{9Xh@NRsR4=qw52$T}Iaf;!l7UbTgndx<1f4Sdcn& z>;Wz40-!XyKF}IzbUk1`w4mdF(&+j?>r}z&(1;yS^#`Cdx<1g_Rx~YOZUMA_tbo$! z`qqI}VG<G00x|(gqw52$-NV$yz~BHaAU&Wox<1f)KWzFmparA{lt$MFS|f-}Uj(#( ztbo$!`atUnvFd{skOfd0T_0$jGd6tz&;l|8N~7xotzX2Z&jVUO20&?aeV{du*z|!H z<1;WYa6k)6bbZ^fYGPp60abqhN~7xot$oI(wE$YMRzPWVeV{d&*z{>Y3swUtjjj*0 z#u}Tx1QXPD2(ms<I|Q`89Gku!%OU0b1t<+#M+Z{}YKMUKBEa~_^nw)-eLJ8ux<1hU z1!VOw_KcMfeG8y8x<1gJ2bfx9x?mMVUj>v#*9TfVkE|ZXE?5oK2c^;Vf!4*s)FRU! zYasdppftKZ(0&YL^)R*ow1Sg>(#ZNiYC!AbK{PrRfL3r4P#RqyXx|6A9uQvuTER&` zX>@&{eFPwN==cXzJp;6&L)Qn|UxKa&#D4%){{l**>jUjc0jWdBC!iJM4JeJS4|GR3 zx*ib!2DD;)0j1IPf%Y1J)S=@8j;Q$oSsy4rfYw2y>jCkP5YPwOg8@>9j(_}wq!)o- z5E`_u6s87WK6(IE{{u>+>%*0gZv2MW^8iYt>%*0gHvEC;+X1D~_2J4#8~#G|L1}b- zxbjiQKZw2wP#Rqyu6(qB5z>=d0i}`kfx;D6K3c#8)d!`~_2J4#3z(t$pftKZT=}Sj z1)^^Plt$NwD<2iGLiANYX>@(K@=*jEL|+D!M%RZcA7!vZ^i@D<bbYw;kpVaI3Nr@q zdTCJjgD}2)WI{k6u6%?(e*|4N1+or|LHnP;3^W3L+y-4AXwMW#3n(vwXc$JHKSI|B z+8+T@3-T+7hGF#iBXoVBJry9epz#+F4a4a3GU)n1d%8esk;hX(eDwJvbbX+`8z6O% zSO&2m7=8W-T_0$V7Dy#>djZ5>0iCAY0Hx9Of%bKQ)S=@H=t8OjD2=WUv=<Cr4~VY; zT@YmerP1|)_M?E*q2m|O1yLWMG`c>}9u{;xApQdALZcN>8eJb~-wQ|`I*x!YG)jQd z==!dqYk=|vpbL#8pftKZ&>kD8QWWY0RQ&}gjjj*0-w#Ctl+yrRpwt1S(e;7$2tt*j zP!`YyN)AvOT_0$_DT)Rt=L1yz4=9bU540Z<suYD<0bSU%0ZOCmyMv+{!AXEFY|4Pr z==wl=4H2plED7ksCIu*st`D@w385Onx&T#w14^Uo1MO)<s7A0XpbL~7pftKZ&^}0n zY6NQmbfM7!D2=WUw09Dr8o|ndF5u~a(&+jgA(W!9ET9W`9H2D1zQ-u45u6WD^*^9A zx<1gJWQ1x2YXx-S&ju)st`D@&451pqN`Nl>$$--6`at_!5vmca1JHFEC!jQRxhhxz zs7?UwX9IK4h#8Y0?Uw~m8eJb~4;-2nFt=bbL|+AzM%M@0HwRXTMtDqt=nH_-==wnW zchR(fxe8Mu`ZS<4x<1f8Td+cOVj4sr2b4zF2ihl$t_8$@09F42N~7xo?JWkWL&pcE zL+m>NrP1|)_9LU~0r3yafa-(N==wl=4ngYB@r;=eeG8y8x<1f8MRYwNe#I<^z6L0b zuJ0pA5f&@}T?ilnrIGc4l!Nv}f@pO71FD_@x*z~uA7~#Xx*ib!0aX19D2=Y~3rG<b zd;+?F-~yCJ*Y_2R9+1!m=mLTrP#Rs|H;^JMcm{L<!2&3auJ1b*Js_a~=mLTWD2=WU zw6_$b4jo%S7Z5l=X>@&{{ix`AKzs%00s;*vjjrz(ND&sy0bM{K0Hx9Of%ddx(Z=ur zs{RL*M%M@02aiqP4d}vy2T&SaA87A9Hhl-63lB~}X>@(y{q|Tiu7EB)*Z`%`_5H`9 z2P8BBy6|8Ilt$NwvQQnQ0g5Z23lAEgG`c>}c>qwQC{zM;;XwwJM%Tv#D(q2oKsX-I zg$Dsp8eJdg>;Z^MRMG&t@W28}qw8bAVV?wa;ei5_M%M>A=K$3vC=a^ufCEaS>jRyQ z09A=Xy@0C!0Hx9OfzDGv(E#OKfG$|L0j1IPap3UZ4(Nh~15g@WA14ld3!n=YRzPWV zeOx&7bwC#^On}np`nYlED}XLosDRSw`aow)K!XB>ihwRyNPyDl`gn2J=Kx)>-~px4 z^?}Z_K(PqQ(SR;kFo4qN`uK6!CjecrAOWS(^?}aLfLeh<{eY@xfG%7>*C&X>z6VhC zFQ7EKJ|P_XPCyqrT!7N(`h;=lYmh)4=R?*9>a&aB(APphpC}G}9R&1=;n3GZK%Y1c zeG>@i1D$(<5^+!t`gl3Cn*^2xSp_;P1<XMs(8tTs^?}Y;LDK@}qL1$)>jNo}23ZPX zpkefJc4U1ZEixbiO%I5RJ}!)`4<s*(L*ESO#NYxbjjm4)hrR~r#9#-MM%M>AV+>?3 zI_`i@3{HU3==v0J*jE6Z7_5NO==v0K=!<|(3?@KnbbU%V^f^E$20frOx;|wb`ZS;u zg9cC<U7rdLeFD&lK?x|0u1^(*z7uHU^U&@%IM_k?T@8mm3$*b_V)dbqmqWYpVEaH; z;mSwo<K^i3aOETP@m*wnAWL!OBlK~0WPKoa;>t(p<HE@LK=$FvM;g44kwyb3jjj(@ zJ`&)A=#zlb==yNwBME+pJ_RU^t`Ao}Vi17n<ABoW`f%l=7f|&dpftKZT>0n%=-@yG z28J6@8eJc*e6&Ld;?4t58eJc*e6&CqqHhJ1M%PDdK0@9PM@l{-Rv&tO1lyMZN@Jk( z2|BL}#74*H^%1&04UlGZO$-bS==BP^KG3;fShO*qm-EQ_K<YtfiGgTzj9$*8>jRxX zhOP(1M=$5m_37a7A9^{Du1^<-KJ;=PU7sEfedy&px;}jz`q0aHbbSUm^r6?&==uzC z==%Y!PZ*#JNYM3x&VU1jJ34*<RsRA?qw52m8;7n3#6JODaPk65!}bk<<UrU2hdXCL z7o04B(&+j?XVii8qvHVRf|Cd+jjj)Lt{u7_5MN_0WIW3NN+at7IT3Ug9!MQJ=726# z5rERj`ao(y=jVZFbbJ7+{sfdp*9SUt4_yz4zhEQ8ohzU;vObVItw00=0|TVg1L=U^ z3h2U^1}KfJ52V5xst?3Q#s@Y)>^lLak@bPJ*nkKI)cp-0{RYqlH5O1BSszH=7OD@# zM#c@BAog`YX=HsMEp{M+fq?;iKJEq7o)1tOSs%!Jd#FAT8wIb2*vA2-k@bPJfX+Px zsRxz$AR2}jKo|b3fYQkNKx!O8nn4US?63u5p9hpi)(6r8I$sf_1%3WG0=m#40ZJq5 z1E~j{$q1s+@dK#8UO;JNeIWZl=Qx7&pwGh!Ko>|zKxt%sAoZZLA3-!aPS_0bUj~#$ z)(5f=bY3J#5Au2w5dQ?!o(oVKSszFqbjBozM#miMAodABX=HsM`#eAd1L}DXATt`E z3pqNVG_pRBJm@@25RHy4pbI%1pftKZ&>5KMdO&=IZ4h^AKxt%sASZf*2nGi5`DY;Y zF#G{(&krb#tPi9HblxV2M#l<Uq4q&(WPKp3d_e>Q<ji1Xy(^##D>guBWPKoYeo%cN zHZo3tF09Ca(&+j?XM!TDhp{D~3o8_$G`c>}IifJN$n*uM`WsLhU0)y$cXmJ*Hcf!i z==wlsmLl5&V>>_>WOzVnbbX+6PGM@1=^s$_4A6xc==wr%xN`$k{SGLNt`BskDzZH= zb_R4IM*);Z*9SU>6{Z%MR)8+#(16nD`oeLz^9EG?11OEIF9L_Y3D5-~GoUoOzDOMU zJfI6e0-!Xyz9<~}7@!M4IG{ATKG4~;$l(EF?|`a50Hx9OfzGpqsYRv>pbJMTpftL^ zSRC%ufG!*{fYRvtKxgM7+XG`ifU181rP1}p<FIcAbiv62D2=WUbj~=;4rDq2y5J-N zN~7xoo$rgR9>(T?E;tc@(&+j?X9C02BGU(;>Q6vvbbZM<{8s^8sL}wX(e;7OA4awZ z#x{U1RIz~4==wls7Q@sc(=VXvKR{`8eQ7w{xd6JrWd)Q**O!h%Uj%f4O9GTe*O!4q zp8#}$iv*NL*O!Sy-wCMt3s4$eALtxs<nVy88=wngI-oSVKG50EFty0E1$1GI1C&PB zmxIHdAE4@gKxuS+pmU~??SZjZKo`_(fYRvt@^ILf09{a%0j1IP<>SyN0bNj|0Hx9O z72wc!0jmB6lt$MFIzt=gCuF(<x)5gqlt$NAgu^}u=t3M1D2=YK7>B+eQ1uMZ1v%*Y zN^t1g09C&ON~7y5#i1_)x`3wuN~7y5!=X<Bx`0OmN~7xookflujxhEOsQL#`8eLxn z4*MoR7yitE(&+jsap?1aF8m3A(&+lCaOeYHdH~wr0bKxuuCE%0z8z5Y2cR^%KG6B> zu&_X;3!n>zDxfsFKG2!&$m(Hi4d{X)11OEIuMUShA3)W=fYRvtK<CH9>_DbxKo=S< zfYRvt8gSSb09|Ml0j1IPHR906@fu>g0F*}8*Mvjg0jT;DP#Rqy=xlt5$*5!nbb(R> zlt$Org2O%o=mI4RD2=WUbXN?jO%UD-sQM338eJdg{C<c^RB{1yVbcmIjjpd9hdU#n z3!4(4G`c>}{V1q5L3jetg-sGr8eJdgjsb{DRPqE={RJqEuCEJ+I~$-2qB@{7y1s54 z`YfOeq8y+!y1pJ9`aVF_|A5ly`g(EbTk#p<-wjY2T_5P)1&9h%G6A}fDg#QR>jT}@ zfT{z+lYlOyQh?Iv`X=CT=LM+x8&DcuALw2Phz+P@2Xq0}1SpNJZxRms9H0xZJfJkX zzR5WB{eY?mAM($@z<{oA3J!f6pbNKlKxuS+pgS*6eFouWKo@QmKxuS+({R|Q0A0AH z0j1IPO~;|{22}k6D2=WUbT0?QWvJu?=z^~qP#Rs|OdR%kKo@)kKxuS+vvBAGUzP%@ z|DX%M(Di}t4ncJxgtr5#{s5Fl*Ea`;eFe~kViiytUEf?B`ZS;m#SEY{y1sch^gV#8 ze*vY@_07kjZw7RM*#anyt`Bsd3M3>@$pGjAvj`}St`Bqv3#twXj{~~EOaMxw>sy4w zod=-mPe5sOeW3eZAU2?q70`ug4Nw|g-x3`589*1NSwLxYeM@oZdjVDd0ZOCm1KqWO z>Ou%_0dzsz3Mh@P4|JCeL?tR20bS6R0Hx9Ot-#?<0qBA@2`G)OZzT?WC!p#tKxuS+ zp!;@ET?pYdKo`PwKxuS+t8v(80bK~^0Hx9Ot-+!1162JFD2=WUbSDtRWvJu|=mNS8 zP#Rs|Ivn;TKo`(uKxuS+>v8ClfG(g@fYRvtHsH{A0jmB6lt$OL5r@7G=)$`RP#Rqy z=$<6h5P|R<pbPIjpftKZ(A`Q9m8j$osCowI0z7nmp!=9mbwGF<pz3!(X>@&{JDeaY zQOOMGg1rJLjjj)L?-QyH2u}gJU{3=|qw53R6$Md=O5T8~e*mS?_3gkB4-=pZ{boRE zbbX+EsZec#@I0Uk{Q{sgy1rdF>|=l~^y7fi==yf!(6<As{s5Fl*S80Uz5?h1!3rpi zt`BtQ7Q{!Wqy}_>paGOd*9W?%3snb%_W-K?1(ZhDw;zW)XFwMgE`ZYL`VQdG7XV#Y z7y+fx^?~jZgSZTp<bW=S5`fa^`at)Oq3VF}4nWnPfYRvtKzEiwRHBj<(1lbDP#Rqy z=$<oF9T1)YbRm@mlt$Ng6i2vNKo?RuKxuS+$8hL#fG(u+fYRvtKzFf0T!u<|Ko?R4 zKxuS+Cveyo09{BG0j1IPf$n`nwF$!GfG(sGfYRvtPT{cc095@6D2=Y~G!A_g&;?ix zP#Rqy=x#cQ%TUP%=mM+`D2=WUbe|on4hXLUx&Uhelt$Ng4u?A@Ko?-mfYRvt&g0NG z1G)ff0hC792fA|);xbfn0dyhb3Mh@P4|Go-styQm1#}_R1}KfL4|Go|L?tS@0lJWC z2b4zFcNvHOc0d<W9e~p4`mW&6w*k5!Y6p}?*LM|%z6|Jsr~)XBuJ0NSeG1S8Q5sMh zUEg&a`ffngKY-Hc`flLRHvzhkY6g@>*LM?#J`d<ZssJdBt`BrKBWlb+dC-Ma98elv z-)$WB?SL*cIsm27^?~kzgxY{Y6+jo%R6uETeRpx#rvY6!VgRMl^?~l3M6n3Uc>rBl z@d8St>jT|W2~~<h&44Z-SOBHb^*zAh&H(5{c?6V3*Y^;IJ`U)FumF@s*Y^mAz5~z+ z(-Tk{T_5NUP85$pITg@}!3HRet`Br?CsZj4WdNN3vw+g*`kvx&=L_fr(FZ7vuJ0KR zeG8xyAuFIXx<1f-%_t6paw4Du{s~YTT_5O9Qm9fCN&q^rEdiy`^?~j&MbQA|oPZAe zUVzf*`apM|LY1OW4bTD32~ZkcALzbR6b(>L19af214^UodxIl9EuaHW4p16h-&-8| zK0wtoKnI}E^}WNP4}Bdx?3{CuH$YmT)eVS?g3;H(qw9lKHz;~Q9Q5_<==z}54M-^p zMqgi!tPi9R*ZN)b_2uaLpw$$}2viJR9}Zhzj;;?{O`+-m-JJ^62U}l`t`Az>VCX|% zUyiO1THRpiLtkHxt`Az>VCX|%UyiO1THRpiL*E~Ot`FDx-3}4R%FYQ;8eJc*^}7Y4 z5PcO;8eJc*^}7*bP<>Dub`C$xL%7!OYKTMh89-@tebDL@W(=Hu09F42N~7z;wSG6_ zKV-dI0hC782g-lA*6+@cfVgu3lt$JEaulxhy8)6AeGyO^T_3LXyAPNl_fWln(#ZNi z?u4!11=)>^Iiw)=2|#INeIRwvW(J6filO#!Kxt%sAp2nJcTqJlFdTr|a{@{u>jSC9 zwSM;i3&ejXpfs{RkbSWAyC5@=afLL*e+^I?SszFpuJyYPj1c=gpfs{RkbSWAyC5@= zv4IT4J_{&~tPi9P+WZ1BQE&qr#J&zFjjRu3A8h?DiY8Eb2DRq{lt$JEQj2T-t^yOp zJ`E_1tPf-#Z2d0C3}n1O7UI7ZP#ReuNFA>Ay9-z$_N{=@$ofF`L7SH#BT#UJ9K^l^ zD2=QSq!8EoT@Uchs0<7NP#Reu$UfNmU62{bSU?_Pp9GXf)(29DYyIvCsQL>~8eJc* z^}7iikZ{R>(#ZNi?u4!11=)>^8x$b!?10k9`atTS&2A7A1t+jW?Ss<D`at%<*6*Td z0^M`12C>fpN+at7sl~N^_XAY@4=9bU57+wL73vWCHb7}~eYn=|CTKwPWk6|keYn=| zN@zm#DL`p-ebDX*$g3#$0#yACD2=WU*ZSQKEr@*+pftKZT<doov?2ODpftKZT<dp# zK-DwoK=h;Q!?k{Q162JED2=WU*ZSQIU5GseP#Rqyw3~(!mLQIT9z>r8lt$NwYyIvG zsQL#`8eJc*^}7@FA@<FH(&+kdt>5)9fanW=(&+kdt>0xZgy`dd(&+l2-B3_apx_-) z^#`Cdx;|X%cMFUl_EkV>bbYwi?`jxB^cg^DbbYwi?>>O4e*vY@_2F8-JHrHG-vTI& zt`FDx-2hXFz6dCdt`FKhM+r+1hr<k_PXJ1z>%+Bv_W)G=2`G)O57+wL3Ui2k4Nw|g zAFlPg1{M%~7El^pAFlPgFQDo_KxuS+xYqA3u!PvR0!pLngAQAOf)E8qSV8n9KxuS+ zxYq9qSVQzlKxuS+xYqBUfU3U$rP1}_TEE+11F^3IN~7z;wSL#a7NXAqN~7z84$Gi~ z35fFns{RL*M%RaH{q71oh<zKNG`c=q>vt3EA^I|)G`c=q>vts_Ao>)bG`c=q>vu0e z)!%^9==yN2-|cXO*f#-6qw9kXSAl{M1v@xF^m#yObbYwi@BV<QXK;q-N7sjI{q6>+ z`W;XjT_3LXyBRJJdkUa5x;|X%cNJVA`ZS<4x;|X%cW*$|KY-Hc`k=#jC}9cWOmKtP zHv>we>%+Bv*TWs6F91rT>%+Bvm%#&~j{{1h>%+BvcL!Ac0Vs{G57+wL0#As26;K*o zAFlPg8eR~622dJZA9Q#V6oe@F0aX19D2=WU*ZSQV-Vpm1KxuS+xYq9m_(1eUKxuS+ zxYqA-_(JpvKxuS+xYq9;fT}+MrP1|4hi_5B1jMQEgV@&qrP1}_TEA=H57B1<rP1}_ zTEF`Os{R9%M%RaH{qBMQh<z)dG`c=q>vtmpA^H-aG`c=q>vsi$Ao?VrG`c?Mur??N zQSb?<`U_ART_3LXyA8n*`#PXBx;|X%cP&C7`W&D%x;|X%cRxVY|A5ly`f#n^T@ebg zZv&J@*N1EUZbBGDUj~#$*N1EUu0%LQp8}Ld*N1EU?ggm&8&DcuAFlPg9T5=wCO~O) zeYn=|Iz&SBc|d7&eYn=|{(!1yh=S-x*N1EU?gps(9Z(uwA9VTzC2fK@8PO1X3ZOK) zKG<{!NG&o}h=J(SfYRvtpwlPFTEOfZQ1uU>G`c=q>vt!_LhPFXrP1}_TEFWN2hkS* zrP1}_TEEK>57EZ~rP1}_TEDvks{R0!M%RaH{cb@5#J&nBjjj*Z`dy7gh&}@-jjj*Z z`rQXm^)H|_x;|X%cV{F)>{|e((e>e4zZ;MY(H8-w(e>e4zsr#V(I)_<(e>e4zk2|x z{sfdp*N1EUZbd4@z6L0bt`FDxU4t};J_{&~t`FDx-4{^xAD}e4K3wZ}7o<b%TLGof z_2F8-8<7FgmjI>F_2F8-E077%Cjq6=_2F8-djhKd0+dGAhim<ALl(ro4k(ST57+u# zi)@HK2PloM57+wL4^Z_#pftKZT<dpN<Us7(0Hx9O;ab0&kPFe50j1IP;aa~dkq6PI z0Hx9O;ab0Y0jmB6lt$NwYyECVKE%EWP#RqyuJyYP1rU86P#RqyuJyY=pz0Y4A^Oqv z;ab1D0jhonlt$NwYyECU5yYMXD2=WU*ZN(BVu(HsD2=WU*ZSQXQ1uU>G`c=q>vt!V zK<t|VrP1}_TEFX23egt;rP1}_TEELs2GPd>rP1}_TEDvks{R0!M%RaH{cb@y#J&nB zjjj*Z`dy6*h&}@-jjj*Z`rQXm^)H|_x;|X%cV|>W>{|e((e>e4zZ*~m(H8-w(e>e4 zzspe#(I)_<(e>e4zk2|x{sfdp*N1EU?ui<ReHWlKx;|X%cQ4dJ^xc5c==yN2-@Q=> z(f0sKqwB-9e)mB=MBfW2jjj*Z`rR1~5Pb`vG`c=q>vsbhA^IYqG`c=q>vuVtAo>KL zG`c=q>vsj3A^IesG`c=q>vttuAo>)bG`c=q>vt7eA^J3+G`c=q>vuKUAo>iTG`c=q z>vs*>A^I$!G`c=q>vt_WAo?7jG`c=q>vtVGA^JR^G`c=q>vui6Ao>EJG`c=q>vtV` zAo@I@G`c=q>vw-Z)id-$^rP#;wSIR4RQ(Ppjjj*Z`rV8^h&=^R8eJc*^}7oF5Pcd@ z8eJc*^}9Eq>K{O9bbYwi?@pKiv2O;HM%RaH{jSF}h`s<Qjjj*Z`dx-O5Pcj_8eJc* z^}9P3Li8Pg(&+kdt=}zJ4$)TurP1}_TEDBY7NXApN~7z;wSM=(CWyWlP#RqyuJyY! zc0lwkfYRvtaIN1B*ay)U0j1IP;ab1TaRj1I07|3l!?k|*z)6U{6HppmAFlPg73U%P z8lW_~K3wZ}4X#4;SwLxYeYn=|zPJU^_W??y>%+BvcfkXQz7<d!T_3LXyAjVI`Vyct zx;|X%cLm-+^eI4TbbYwi?+W~Y=#zlb==yN2-#r0Ue*sFP>%+Bvx8Wzmz6nqoT_3LX zyAhc=nRyioE>36%1cTPvfCjGoq4%KOK%0nvj;jI(X&S|&Auz&2AbN!Rf3SHURGxJ~ z?<fMf%?VdW0wg(#M?+wQhXC{%q7fbngU9>m<=4s4@@w!!&ZtWUT?jz0aT;{)8mSHf zm0z7m?XOZ?1F|DEfJXg28Ujcm0KM)4No15ckRbpnzmWTnlDH;n2GWtEc8`X@APIrY zLE?^4XFx&#RDL11zYK9rY(tcflA|FoqC;TGi1z*9^E`U_)im1v8hnv7>Y_mt0xg5a zC8G`jg#f7hLhe6a9c_Psf@Ktsh5*(OfL$MnRdQ62?jZmwzmWTn^KdP2rn}2VEgTJj z!50G6gU?AL+C}K)7aOh(6C*m5Mm;|o0+0|0hft&BAPE8V^2-Y9t_sGt7ZwUKDDW^J zXlVSao@Bzah(qPw>RB;Y)W3ffdhYS;<k~N<FHY~A&cMjT!XcpG&_Iy!Lt_JD&o+kF zAfwmvwm1kh1om0i3Gpmd&}<EwFr{gUh?k`&r-FdD(QCo{t7oPg{_cA8b63jT^*i@| z_rKJh-TBM@@^zzq^Y<0LuP?lBT)Xno?~Q%GC%%jpzONo{E<}tIKx!JpIp%2AvAKbq zv77ghgMe$`=jDtlrxuEMEnsn)?yRYITrsY5;<Sqv3d$CJd&0FpSRelIH)hx4+DiNS zbF<gQPj`O3_G@j_%g5XH)!)8a8~1X3ZSDWU6~8}6eE%5o{l?X0VY`d^V|N~3cPBag z-A3*2Kh9nI{rvjg!u@~U_G{M^z5jpOn%FS=v;2Vce|a8>3dXk=5Arq}@U%X>;B=B> z=T>*O7aTp8K3efD{&FKC;pJ7YJkjO$LX-Y>%z5GMwSTq8?rT=^e-$d%^*)`J8K?KQ zX6Lrg&u^TwpZmx5?eF(rV}94{&8yDad+)j7_RnumJeHZCUtCg`|38wiOg^@5U0T@g z;xk`kzs$GJPTTq}+<(6O{k!jlKU++fV`LF<U?7rsP|p<qhnK4y6wYUP4;k>VUHG7V zZjMAV?+zoOWCK3sjjfqi&A2qCJ?M&C;`LGex@Vl```0(7d{3;O@j7&JeEXv5(@X8^ zO)8Hp_1l%Z>|bG-_5VF@pD({V#oa}6|E_O;|IB=H`p>WbdrtnUw=J){zWwv&f0ORm z?>+y#F^lLV8QmzZ8*Lv{!T9!)A>ScT_%g5-vQO)iX?Hd#;4xcw;G)D%&kdLD*dBAF z{?~Oajnyu++d4&c+3{tn%fH^0-@JD5+NkX4Y1=HKS6{dNGyBoqmG;}c_s@UeU3mZL z@B7R4)ZKjk^}6Ev*D-UKKhN<jTYvGd`}6B_+MX3iE&uXrmio8vul~Oi{Vr*1{w@Bx z^pVPt{=dJDC+&Z=@jtgq69W^6LIaV+2jvfpyHh*<fdcy=XM=$Ni$i@>o9d4*inIQ$ zE>h-V^I<)AP_<n8L~`50wI8=mI}`kChxPSP)%3I9OKPiErL6cl?cb^Ee)~#3?VPNh z_iz1fB_c93C^s=O)eBiLs+P0-f?Dms!*YPt@$IQ?I`6Hz+XXH%{qa?pkn?HQljzgg zve}!??|rpnxBv5Nm1p-@`Te(VY$RB0Kx|>*5cuKxfaw4O&uoxIj(jc-0;~at)5>I0 z`yN;HJr`Sh`v>PEmQPwMr^K%~`g&J(t^ddH`O$hy-^>kvz48B*n^s$|T-dk&{quj7 z_Pqc9M*Pp5d+P6#Gk5&Yotc|5nP^AsiA`X>`jkr(<c5uc3<3<y2Ld#EbGXuDywon# jtWtTZo`1@;+V|GY<aMt@If*J3LH=vJ&m<l6knt1%_0({n literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh new file mode 100755 index 0000000..2042196 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh @@ -0,0 +1,28 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : compile.sh +# Simulator : AMD Vivado Simulator +# Description : Script for compiling the simulation design source files +# +# Generated by Vivado on Fri May 09 15:49:39 CEST 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: compile.sh +# +# **************************************************************************** +set -Eeuo pipefail +# compile Verilog/System Verilog design sources +echo "xvlog --incr --relax -prj tb_firUnit_vlog.prj" +xvlog --incr --relax -prj tb_firUnit_vlog.prj 2>&1 | tee compile.log + +# compile VHDL design sources +echo "xvhdl --incr --relax -prj tb_firUnit_vhdl.prj" +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj 2>&1 | tee -a compile.log + +echo "Waiting for jobs to finish..." +echo "No pending jobs, compilation finished." diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log new file mode 100644 index 0000000..d82ee6e --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log @@ -0,0 +1,11 @@ +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh new file mode 100755 index 0000000..bf50b73 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : elaborate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for elaborating the compiled design +# +# Generated by Vivado on Fri May 09 15:49:42 CEST 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: elaborate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# elaborate design +echo "xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log" +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v b/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v new file mode 100755 index 0000000..ed3b249 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v @@ -0,0 +1,84 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + parameter GRES_WIDTH = 10000; + parameter GRES_START = 10000; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + wire GRESTORE; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + reg GRESTORE_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + assign (strong1, weak0) GRESTORE = GRESTORE_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + + initial begin + GRESTORE_int = 1'b0; + #(GRES_START); + GRESTORE_int = 1'b1; + #(GRES_WIDTH); + GRESTORE_int = 1'b0; + end + +endmodule +`endif diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh new file mode 100755 index 0000000..60b6436 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh @@ -0,0 +1,22 @@ +#!/usr/bin/env bash +# **************************************************************************** +# Vivado (TM) v2024.1 (64-bit) +# +# Filename : simulate.sh +# Simulator : AMD Vivado Simulator +# Description : Script for simulating the design by launching the simulator +# +# Generated by Vivado on Fri May 09 15:42:20 CEST 2025 +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# +# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +# +# usage: simulate.sh +# +# **************************************************************************** +set -Eeuo pipefail +# simulate design +echo "xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log" +xsim tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch tb_firUnit.tcl -log simulate.log + diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl new file mode 100644 index 0000000..1094e45 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..beb3da55cedbbaafab58db3fc1d325340832c9d5 GIT binary patch literal 67691 zcma#Z%*o8FPzZMnb5(G03~_XF40cs8Fl2y=2WRG%<|LNn7coGA1C;*BE|&pif~f~m zV2Ytr7D8*TgV5xX1`G@gFpSR(W*m}{Q2Qk}<4`avJQ@O{Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd!lDl9_?Q3N*>X z0kPiWtR$Fm0!-o|G#D6C7#JABU7Yk>%Tn`7(3CPl`7@yNRvu73bl%G|I5SrvBr?EN z!OhdhRl&f(kRgBO6gIgGP6h@BLna0WEd~Y#W(I}@Ir-_C$qcMe-5^t0z%y5d3{eab zU{PfT29W%M;^f4f#G?4jyb=(Xfsuis;s5{t^&l;V3=9kc3=9iEf(*<I3&8q75-<!F zU|?YQ_y7O@x&QzFw}5KM%qvMvPb~r)h)th7RO5fBJ};=NgF{^6ef-@$of()I7{C}5 z#2~+^hpIEEN2oKX8>lm=8>%y?N2xQYhpRKF`=~Rhd#E$0>#BoY>5XJkC}l<|Km*9n zF(fp|(Fg1tkh@`_;|TRE+|lu2uFfI;L12Z93=6=%2Za<H0|VG?Ak82Q3$0kFTSMZD zOA<>`!TLb{2E`f(hdX+P#0NY22Kcx#1c&$sxiSO<`8&G?2YdRt$NTvE2Qc`Dh6IF$ zFn|@h`nkXqgDlkm*~GxW5Co-5q4Y8+eGf`&fD|(@Fcd@S$x!+Vl!hj}ppXzxs167P zm1Yo-hVUyvE@xnXP0)f9s*97mf`Ne<11N!h`Tzg_4h9B>6)X%477+{#GdLI+PM9z- zI9Oof3ziHF3cd^s1)&fe5yrsa5YE7$5COq53=9k)%*e>V0K%#eC!mmBj0_C^!VC-n z&<qNi{{>-C5(Oy)ITxfCgkjMGN{(<j1_lNgA0!6CphyAHAPi!I5+{faGD{H}$sige z2Ew3(3$h-R0C*V~7(g^gtr}D<NDYWD$iTp$15NNDkVL`YhNK3h4&)XP2B`=60hFEn z@u;zcq+W1lhs71TIW|x?CE_v1o`HdZoq>TN3+g_Q86XUD3kZY!Wy`?80P<%U9yP8E z3=E*~Xu_iglzF)r7#RBSsL^9!VBlt8V3>tR4Jdqg7#J8<<52?&ACTMk;8A16z`(%A zz`$@8j~Z(R1_pix1_n@m0>up|Y(N+kHXsbLLj+P%FuaGxEl3^|7Qze+3?O%a*r0d^ zr2|<;Z~+cd0>&USKxqM_CW(Q8L5P8Y0Tk~bwO|F%bP7@j3OkT_Aaku585nS>abaL! z0J+HpkD5#d1_n_E1_nPoYCvg143y{bsL5tvU=U|uU`WEFCWnE6L4tvSAqS6|Tm}XP zP!(H-M@=3B0|ThuY`~)?pMik^RAhm|3xq*o1q#On!Ye^R3}V7DD31$3(=90Pg5ntz z$12eD0Ltqi3^E6#36!r5gjRBb;vA$NMuQfNfYKz$0+84Rp_QB<HmI@&(V%h(WCjR> z<UwY^>;mZniG##IGzi1gC<w3Q1i1$k=OA%>YCw6NkQz{4M^^*N`yg{cc7f~#<#j@8 zKzW^z8c<#*qz07N38}#rKIm@77Cz`|KzW^zU7);9NDU}o!PNW(r5#2{xd#fn2VyHZ zL3vb{fq?-;gX%C{MnpZcn}LA=lpaB47bx$-Xpngz3^D^07a%rBKQ@2C)PUj(qz07N zLF!@pKx}k1pu7$e$EOCA*9oZs<#j@8KzW^z8c<#*qz07N38?|)b(k8E-#{3YU-Y5% z9jFckiGkFB%6U+JXDHe01j>^jagbV2-UZd4xYUBmW0+cKdl_6`g7kpI;pPf2a0HbV zATf}+Mg-ho3Q`CyXN;ls2Pn;g%mrb%xx5P;-I3Iq5HL3msuq+6KxTl<f(n7#JD@fV zI2<O&c7y60kQ_)Ys64`_HVveZfq?;}7F1^9R4cg35tNod%0X&D=^Urp$+6v_IE1MM zg*8646(EIBH-PdHx>`_v0Q;?JiDM&@T2P)vR|_h?!D^+JId&td1=V-xYT;oPVc|3l zNiC?}!>M+<ozp@jwV?VBr`l>Ar!`1wLG>UgJ%Z8^NDqjHyFo+UX$z8CP<@E37Nib@ z!G3dJ!2oLifRuyc0#qJ>%meX37?dwSG+6EA*zO}B4m5m0brwFgXOPs&LDM8u2%OG9 zY?!%MK^&;Lpspc4a~~n8Rl;H}+;0LaIX@t&1$B4P&4sH?)N}fWq!v`a<5bIG?8F0= z1ce!>?*Zk4O#p=%+}t~6PEt@wkXlgt0LsNw+h^{i36%t?1@+&cT)0{g0~}@!7EYk{ z9f%K7tBEBXKyqNU0xLPap$37}g4!HVE=UP#TnMb>jD|{r)M^tj7t~$@83IxZ>c2p> zf`l;4t%FK})Pm}DC>K-h<k;?Bs3b@&tgHqrgtm1-Y_Q)Z$9B&_QVT1qLGmCBiVF}8 zQ@a{TEvT%9azRQ^-8(t9dlys^<OWdr3*}-}dk!iIQVT18!3wds_Ysm>P#F*9f|aAY z_Zw6aWUd*$xR@N<&BX-i#e&p=`eLBb3sAWLG6IzUK{PlV=EZi4AgKk_b;xQ#YT#<; z#&*jgsRfmv$ZA1lB(hpfB(<PE8nRkg-32nAVQy@<3CMAv_7MXE14tdn9FRLf0RajJ zc->U8*U1J+EvOE~r`82YEvS3|)kQG7LE!>7_y1+bASAW0@&T6{Qjyex$_Hd~L173o z7p&y}Wydlw1Jdq;l@I9Vf|Zo)b?N~#AZkIwVA%Wy4zrTIPV<n|g4)mc)UHNS>jO<Y z=x%_Sy9-IJF9EeDk<|JTP<sVQEvV0m&%O7N)CLeR7nXKF=@C|6p!*GE7pN@<OFJO7 zgwh!-J%H2_N@s7O?ggm@r8AIyxZLm$NiD8)23AtC*NF>Mc|iLIpmc`KT$ox3B(;Rn znHrK>SUrU9UYNNCNNUw_#05+(cn}=wURa%l%UpLPb76HBF14{pYGGwHDE}gt53ux` zi=-CTuEwRd3aS>=x58Ezf~3Lby0kd9f;bEe3?Q}G>Ozn-SnbjUjuSu}s9J1wAxIjm z_QFC(So#H-i>+-0QVWWAhS>`pr-3+7bFtN%AZf6<3=9m5K^&-BZ1pBc8m!iCf#X&X z2dWm9CP85f!l3dOBn@_h#sbH~AP!V5EG|ImKp3VLY%T)>!!;zeuzUeh2f{G5U~}DE zoSq=5#a73Hq+#ZM2XUZofaMF2nIMc}uDXj8BQ#Ng!WUZ|3o;63F08%)sRfk}pmH6Q zwn1(L<!z8!5Sw9gY_|ZCx#rM58B8sxz5}TRn>#tSTNz0$EWd%=3c@Jvedg?BfTR}G zrw7{yQV4B7z|Cb~U~ocG3oE0bO2KUykXo?*<IYZgNNPc27hrLyVwfAi?qy(Lh(}Tj zD@&kCQO(`q?39V57Bn7&&s<pf3kqLYS&h})InGWMNaljZqVSp9ili2_`3pJTKz4z` z0qnQQvE8t`8zc@Yqmb2t+Djm{pm+quaXXT^PH1rqs^dXwVdl<7QVT05(ftNC*UZ^z z9g<qmm<&F@9YInH8cV~eR>|4vHj-M<I5AGOyv|Oakko?43~;Lb>Ey%-_9Ue215HBW zRD0XWNdZYMXqE`4+8s_#=16K`?H%+m11nkK<m3ltK->Up?_gCs#mOlZNiD3sgH>&l zlT!_nT3CArtJ*Xtr^!faVeK8PYVDnzRw1c{wRf<p)pl|^h@=+Q-odI?!pZ3dlG;FM zeT-EtlateXB(<QqKb&##(b0(w)PRSUsloWnz2oR4i=-AbSAo;q3yw}ENNPjznS0pL z$s0*+7(TUI9i5Vp)Q00zyV%jG5=kv+!W*Z1iyfUNAgPVSXKuWs({d!WQTWulIy&t` zQX7p=t(BwGRV1}B_|$4RI=w+s3z|g6={FHaCuUIR2O7tqu|J$@KR7r^BB_nX=Z32e zPWnh{6Y#0s=iuauq!!y;BfLyq<lq#Iq!u*(h||4=4o-zgYLoG~A<e<59Z4-{0R~QU zy&Rn8BB@QqXRiAShRsN7L1Ume%{6v#I*Ft<9iO?X4o>%x)Pi<2<1|;w!RafKTF_WN zPPJ?fPTbIjF{myCjl<$p`_0}-5lJm*Y#*oE=k`wKNNPc2{5aKKw0H7FQVSaE$EkLQ zy;CxhTF{t3PPNPJohp&kg2s(;s_nIR>PJ#rfG;k}?46b(sV&5(HpJd(H<DV=?sS}P zaIkl}h@`d{pSe2rPS26lf))+oG*{Z*=`WI6(7F$tYPsy4gh7KB(DDH^e~(k`PCF+} zB(>%E+}mmAWRIj4G%k<R+yXnNP$adL_{{aUbIL|iTZK=pg`HC)lG<u~YX90gO-E8& zgHP=pTc@>1YHRVS-DB%?7)fm%KDFJpPB)R%*5gw<N!#f?lG+A*YOA%KSV0{bXdHv) zJ#fZFwziWrl3LJO4V-FIY@7^{)HdUDgQty?JCfQKd}@ttoMMsGw&GLEXX8|iq_z#8 z+Go~Iok(ii@u{6}?KB@rZ3jNJ1=dbmk<@nLQ|o5!bQ(!*7e2KX)=m$R)Pm-qaK;6L zwbOSbwLSREeQo8$3+k*w(?c&lwKJ@ol#$ee*5%-ILz|V8C6d~HeCAeJIr$^0oq$hm zs+ChJlG=&*)P`C)RU@gLgio!MmD5BdwUhCwePijg97*jId}>cwI_*VLI~AYW8J13$ zk<^0b4snKWou$)DB(>A=nX6>!^dCv>418*ZES*F_jR9zSn2AsA0}Cf@B(<P5SUBB# z-onWdN$qTW=5Dlb3P(~q2cO!R7EZZHYUkopTV&zXjHGrRKD8bePBW3z&c~-#%))6s zl3LKhSe)U&Y~geiNiAs37pK~D=1#Yf)Gos3h7IOUACc59#;3N++=(4D&;gC(CHT~4 zm^;ZLsa=Xst*yC}0g_tK+ESc;`(WnejHGrsK66i)IfWsqU4c(+ubEROlG>H{)E1aI z)gY-|g-@-qnNvTKTF_cToPIlC>a-9^EoiPDr`m<4PMeX`uEpnuW>cr5NNPc62jMg~ z&D7~SlG^q7%ndSidWocV13tB1O`LussojWA?L!kMUeF*JG@Wh2r?%9@Nghcp=sYBx ze#<m*GDK1fTHk<EZHS4JGm_e^_}n04;uMOcb{jsmp?jUuk<@O-r*@99QzepG(7I=w z?#(fF>PAw#6B>4)aYK+E(7GFV|9En2_iQA!yYRWe&Dd!dl3LK(GLU&#$Bkr+o$ev2 z1+59eskTYai3#K=s5|%Kb3>}0lNyrRefZRx>p6KLsojrH?RQ<LJS4RT@TtA3>of^T z?LmBM59&H?LsEMPpV~%Ur<+J>593oCr0ettNiAp{4bJd&(RGps4NybF_b5JdWptgK zkklT-r<POKDHTcWaeQh&={R*DsReCn!Rg-TI!>#R)Skp=?j0Scb4Y4WF)%QIhNNL> z9VCUE*6)G@py6;DpSjy~oPHvy1+6{8>E3BNPNJYiXHav`;xji+$H@>$?KymES#_Lz zk<^~Yr}lxiQznwy3uyBUASvW<s0Rr^-Fp#DEoe;~vf7zQYA>Ow1+9rgR=WjB?PWBz zpfz#GYR@C7y@I9|v`!pZ?JFd;ptW6~sDZ^XX#E_rT2_z;pnkiCW-e&`9I{#kB(>Mk z)PmN}A*;1UQhNhUEol85vf5B2wKvh!g4WL=tIbDJdkal1Xk9t7+D;_3x6#ys*4iPf zU5upm4w_ofT03O5dy&-MMN<o!PexXI9ZBsyG_|1lIApaSk<{KtQwy3KMOMoVN=(pr zdw`}EG%ty)RuxI@Lk0#0(EKedJ%Hwjk=5!TsRga|!<mo!)SVoW)ILUY187bg*<24K zwNKF80CEqqT2LJcEv`XKFb2(+g3>WAagctnLMQ=J4=USmiG#u(suWCt)Pus9TH?6e zNiA_uIs-WzW**4>_`(OK4x|T!Vd|mXRFDuDgTz7I8eHLq%bZd1|NqGee`<vrD8GRt z5K4gJ5tOfRnU70-d`W%*LrGG6T4qsbUS<hHVo`ENW;~KWdQMUf15`HN5XOS4gNifc zWag#D$D5kL80JuhnE{Mp%;1@qS(2HUV`dm{0B2jq8^YM;rtwBFwuxE1F@s-fNl<Ef zVsUY1dY-9SyeX=HdAu2_fJMAHs(@v@1&V-?LA)hHa(-S(QGO1{tMP`&JgA5A3sQ>` zOESw+K`Izfc=3j)e3;KHpbSF;Q#cD25QYY@kT5hrsI!ErGlT_?p&@9U4=5^wo#T^p z@{_X}f}P`wQj1edK#a`1g3^-U#N2|MR5Wf_VoqiXNGvTgrzEu~H3gvxRRp5YJvfNL zJtUaHJsh;T)yIXwJ;)WZ)wLkLv>?7Dw}2tQ$0y#$-`U$8wENZFDc;@3$%nz&*~i-- z!gO;Ec7ifpV6-Ph$~`y;ysz0kBp6Hw1o^mt88BPG9Ip^Z_xKQ)UI@?G8)5>4=K?hk z!t)JAmJ13FLE<_)284tLxgv=Kxdyu;s|fb+bVKHc2DmteplAs73vop;G}zV05Xr<~ zS05u(t}!at1c@6O>>32}9I^p0F=SI<V#r3p#GvK{`8&sld%A>pFoeKapn!x5f|<b~ zjzJ*|p3o%Y2~9Dc@yH1Vg$GFn{_&`Zg8^LtqAb`szMv>SIkmVrGcVmGKM$Pva`F>X zf-^GHpb0ax#4#nMD7Cm4ln68Pl8aEdnI*xcxgZ&^E(FuRw4|W4guxTteE)c`La_1v z@tJuD(?L9h;UFHwY^aXXTm}dWYO;U48%l<NdMGG9xwNP#HLoNXyaPNqC_XQ>0?LW8 zNzTtJE-|oEV1P0W?GzZ?{airh5+sD<J)J_`V8IRM#5;w$xif%*7~wb+9#|Dv0m3gJ z1#lj+0#IN<B!f$H5fTNk%nuU+1rpSwp5PFJaFC@TQ3R0%vr!~Va~Ysg2z$V#4Mdd> zMuLd<2l?C|Grmw02t--1b9`b-N>FNXX--LeX1t++L401k0Vo-u3L9b<Ho`7!j9u6S zyRa#CVKeN)=GcWTunSva6*k1~OGE6wG{o*pL+rjZ#O_N&?7lR_?n^`LzBI({OGE6w zG{WvnBkaC3!tP5W?7lR@>PsW64l%~+4r8p&FvjW%W2}xa#_9%RtO0F|HJpvH2D35N zP&UTuHxsOWGr{UN6RdtS!Rj{?tbQ}W>NgXtelx-9HxsOWGr{UNQ>=b7#p*XxtbQ}a z>NiuYelx}DH&d*BGsWsRQ>=b7#p*XRtbQ}Y>Nhj2elx@BH#4k$GsEgPGpv3y!|FFP ztbQ}Y>Nj(&ely4FH*>6hGso&TbF6+d$Lcq8tbQ}c>Nj(&ely4FHw&zOv%u;%3#@*# z!0I;(tbVh=>Ng9lezU;pHw&zOv%u;%ORRpg#OgOotbVh^>NiWQezU~tH%qL3v&8B* zORRpg#NxL+P{RVXQ2`P%#1t~Z6f(vXGQkuwMHezhYsKWnn`78#j$xfShHd5;mRVw$ zV~JsoC5AbcnC4)(+0qQ%7nbH2A{H1TmLQSb(wq{1P?tC_#fTv$I0&uZ5N#YApBWEM zH40dy<BL+$qYVu~YQX7@2sMU8s4*f!jWKRDrZD&5u?wySk6myzxa|T54}P!1mEd*_ zTnTQ+z?I;3i#g2O1~`KTt^~JR;7V}21+K(cfgw03z9=;@1=c47r644Jv;mr%kmb;m z4YHgO9)0kjfcg_zA6O14xfX*unn9`QnZ+fkMbQSakdQ$U1*c)$GKP4h3~`!gXoOqJ z7`K!OZYfjTQaELBI|8>Ga619F3(Ro3z#ONH1x^{uSa7l`F3km(IK`#8(Z=wsXrRDQ z5N{Blna5BNZwR6o^fU5vQ;YR;j7;(}lk-dT3ld8*^vg4gGxSRebjvbQa&&W3Q}sh# zb@LK)Q$b>&k&&WQ-PDrOl+47u68++$Wc`ek9DT%)s9sq{3WI(@QGS+wMN(;IPD-)9 zrKP2%o<Y2)Ur4-xiGgXnfrW{Ier8^ANn%b;v3_DsPJBU5Vo6$lQEst*SY}ybN<LJJ zeoA6VqCUtSV2g@NQsRva3@r4EOA_-^5{pvkVOL3NMM-8p4Q<LyO-+S)D<vL$RARiL zp_vKD${ZSnBrZF3lk!t4>0@zTX>Mv!W^#OS2{;;Q?^7fTk-bT+8~`2c2L&GWvK7*R zJj7r~zDmx|NGUE!OiMG=FG(!OPpK@`k0{Q}jY!T9PRvV5%C7)tm(+?9{fgqu+_Kc7 z%(Tp8a6XDJNKDR7OiwM=&&{kzO^MG*%uA0i%PFZWNQGEHt>8eJ9MCJHJV?MkcPvfG z%nvBaPu45W%+)W>%#AnHPfE>5ECYK~A2b^SvJ0%XC?yk8emMIS>zf*wo0yszKm<Sm zl9Qhf4y4k&%;L=4Vtsc%7czB%#yH8;3K}paQ!8l1kxZ>Vp&`a(YIO+?FtKnoBvZFf zXowN{T208;YDT73-_Qs*3kvj_ld0Fu#o3iit<H`?L6H;$l_{C_hB-Uym4WwWgXUUc z7&K=G!k|5mAPib-2Euxb3=AN=h>?K-gr%7n7(f_w{s;&&F*7iLa5ysq0|;+mW?%qe zK^6uE5YA#@U;yE3EDQ`FEWpaZ0K(p^3=AOL#>&6|!k~SvApD1wfdPcA*ccc<xRi~7 z0faZOF))DeYc>W35LRbrU;yD{b_NCzp2yC>0K&J~85lrVjDvvzghBoT`3pva*r4?l zFg~d?NH1v35KJFvJq~D13TRyeXw45yoZd9(JVVeK0HE_4L3`jq`}RR>&^~)R1_toC zu$~MI44}Q!pncAuy~CiryP!R}p#8I;J*l8Qp`g8<pnaL3eUYF&fuOy9pgnw`y?LNL zcc8s=pgnTS7#SFju|m!R1)VPeIyVAzjuL487jz~A=sY9P8495DhhT04os|f37sx#z zH-h%xgHA(sg1Qg1w;Z%*9OORG{%X*^Y0!RXkXu3V2E)i}JPM%eb1I-T^12_GdTjIp z&_XrPxx!EyT^~9hX2uH8qBRBvh8<8ET^%Sbz|<nsJ3tHA7#J81KxuS+$bLfBcL21Q zje&vT0+dGA2TG5~>S62#(Bdx!28IqOjjj*6-5aJHP76Tygi1hZbpJrN5X05O7#E=G zZ$N2ueNbVTayZ=q-E%krN~7z83d7aI7#`4lYynUjT_02!rW{UlK=(TdKxuS+P+_=w z7~=#~;sTUL*N46y38t?DDlq{{qw52$S%RrWrX8RX9#9%xA9QIwvKBD=2UI=yKt<>| zkYEWY0bQ;Q<sqmIPy=^BX>|M0*W<u+W<U)rfYRvtKx>O&YLRILsDT<#8eJcBDJZfQ zFuS7&lHw;oX>@(irHEj)$b>^NM4tzgM%M=|K9IG5**~D_8A>4f(e*)#53pKfVgpqD z4k(ST4_bU6YXP%wK*Qq!lt$MFEk3|%k%<Y=fSv)R(e*)#4`eN1wg)tz1E4gzK2Ti; zR)|hO1DXR$qw52$l||PA;_rZ}KLDlC^?~Y8kUDgnQ3?tF0w|5H5446BT@Q$_PzKSb z0j1IPf$DFNI&^#ks{R3#M%M=|R?)RFOelxgHv>we>jSkDFf=hRFnB-@u?c|E==#vt zcf!r6gxJRcrP1|))`r5>A~AMA)gOS;==wlwagmh6nFUo4`zoL`x<1glT)1K+hDJ3+ zp8=Fc*N48Y52p12RQ(Gmjjj*0RuHBZnVwMtv2OvCM%M>As|Z;=j2%!5(H8-w(e;7O zWP+(hra9^$`UIdfx<1f3KgjA~>=y^16$(@USs#cEI(G;vg+hHGpbvCT8j1!e=LZ3O zptH@ON>QnU_}vLQ=L}T?gooZfLvQDR&OC#tL?zMNXXyGsXJMo2fbh`UXXyGsZB>X$ zR1&>?hOQ6PzD3mm;i0$B(Di}Z#1NIJBzpS{T_30&jj98}%YYUj1yCAYALtxAh)Ptl z09t@lKxuS+pf)?I4hSy-T7V=#X>@&{c0NQUD(L_%Ks=x{x;{|f096NsrvWWM44^c+ zK2U!Gq7s!9fEFMUP#Rqy=-f3_9T46RsCw|`0;GEuAhHY$puP)?jZCAbYiRWeR|4vb z!8u3_^mL7`57b9OQVwULr%!Z!pnerxF%knky`bv@^%0Sj!<p#m1zjJgUkO)?#6V9k z==wl?KP2UFCVF~7*9Yn^!WAPi(9;XLK2RSMNjaQ}o?g)Pf%>Iz#YhbF^n$Jr)R#q4 z4rik0cXWNuaFwWx2ha-c1(ZhD2RaiDRR@H30$RacfYRvtK<C3jRHBj_pcUK&C=G3< z!4-kdii2~I7!A-0t^-P=>jU-ek(9%k7SIaL0ZOCm1C0m36(cbO^dR+-1e8YB2kPsB z#yXIc!<iqT_WXd-$ofEvK;t7I8Xd2IR;U}GG`c>}IdkZGK>Q9Ph&v}hX=HsMcY?+` zK<d!@;|b7;I0H%}>jS9=jgNq6bi4w3xXK17jjRu3A81SkqzBSk0kI%h0$PD9Kxt%s zAQhl-7!Zw)GmIhrD}d6-`at%<#%|EZ4K6_KxdEk-^?~dFomU5<(eVp?h<zWRG_pRB zeW0`JKzcxJClC$89nglx1SpNH52OY(76zixv4$zcJ_9I?tPf-#X#5PM2YsBv0op+E zfYQkNK<Yu~+kt3we8K=?-vua*tPf-#XsiyT2fcp#0kww#+Q3292T~6@_YOp(V-FLE zeF0D!Ss%zg&{!}?5Bm7c2B<wdpfs{Rkb2O0cpw@be}LxKA5a=uAILt?I3!39hz+7) zI0M=MD}d6-`ao(x=j4HCbgTevfN4N!bbX*R^U(Ex_#Ebta1nsg$ofET1C5n})In5% zSP*;zYR>~GjjRu(0yMq~qR}yj8N?(3D2=QSWFP2UJ&+#sajgl^2GI;CjjRu(9yFE< zqS3Jjv_TXArP1|)&f7!R1Li{;L>y2WT_5P|J+L}7Vh2?H0Vs{G4>aD4rUlF`fHtHm zpftKZ(3yN-g=mBZv>{~xrP1|)&gVnZ0_Hw|s(%5c(e;7O>H{l8BW6GwU<;r$x<1gk zeP~+1+yH0;ECNcS>jRzP2Udtia6lVi0#F)VA870zO$(TN0IL23lt$MF8t(@yL?bGo z4Yvj;jjj(gM}VdU%r$^E+$^9px<1gn0$3p$@dB#;1C&PB2bzmO(*ou$fHwG6KxuS+ zp!o~1LNp=*+Tcro(&+j?a~^0~z+3@ngHHlVqw52m5eQa@Mx21EzW}At^?}X{MAHK1 zHb5JS9Z(uwAL#5rutGG#0@_e?fYRvtK<5aeX#sOTK-K?%(&+j?X9|K9q7f^g4a^Nt z8eJdgd_goVU~U4mftdlN(e;7O8U!muBP5^=Oa&;7t`BtXAet61_X1S?4JeJS4|E10 zSRoqG0d1I0fYRvtK<5#nX#sN`pbb+GD2=WUbT%PaAsX=msvbOn2ffb^SqbQzLS#OS zy#d;w-2tW1?E{@z2vdtpXFwaY1yCAYAL#r-Wc4t%0<=M^0j1IPfzC37sYRx5K-E8h z(&+j?=Nclbhp{I>8^SZ7G`c>}8HX^n$g~HvAshgu(e;7OJ499wXG0sp98elvAL#5u zxLPE}4ygJAP#Rqy=o~~O<#1*Jv;kcKrP1|)&P0SOMq+3{8_)(&8eJdgd_*MWaOMN3 z`WH|dT_5PIM7Uxk#tdk~djXV2*9ST`5lK0m831i~M?h(GeV{WG;fj$M9MFch0F*}8 z2Rcs?NjaQ(0IL23lt$MFI$II07>Q8<ZLl{$X>@&{a~6@5!<h!q2D=56M%M>Aa}ll> ziSYue{sWXo*9SU(5lK0mxd7VGUje1j^?}Y}geyj3L_iz*2~ZkcALv|0B;|0X0JNbm z0j1IPfzD`zD@J0RfU3U$rP1|)&TB+c4rew%I|v<68eJdg>_)g^B!&gFgWv$A(e;7O zaYRxMXMTXH{{f}Z^?}ZGgeyj3tblebHb7}~eW3Flk(9%k3DAy329!qE2RiE!t{90S z0qs~QKxuS+pmQIQl*5@9pz3cxX>@&{Ga%uLkr*A&4#@;4jjj)L9wd@-IMV^zA@P9H z==wlsL&6m!F@8YRGeA2g==wnCL?S7NGdDoh?|{<i`aow!!WAPiGN2uq0w|5H4|IMc zl5#jx0osw#fYRvtKxaw96(ccjK-E8h(&+j?=Sm_ehchQYJ3up_G`c>}8Iy3uNDL2X z2Pgnaqw52mH;JSi!Gv~zIG{ATzC{R?D6Ab&^#`Cdx<1f3lqedYoC0XasRBx)>jRxh z2~~<hX+S$p22dJZALx8a6b(?$1E~5JP#Rqy=&VYpQWR<iw8OOkN~7xoom+{b0m=!0 zcDN#-G`c>}8J19`C=>^@!zBQv(e;7OvqaGV<s5*jKLMrD^?}Z|gepa$Dxe*;1}KfL z4|L8YiUugh0NPQrfYRvtKxbY;m7-8Dpz1$BX>@&{^Dj{}KsgJb9lRA#8eJdgEKI0U z6e<GR!ApSB==wnCVxnk(as;3qJP9a`t`BrZCR8a3bpopX0+dGA2RbhkMFW)60PPrd zKxuS+ptCcfN>L~aXvfe2N~7xooui4O0m}IRRsRD@qw52msR>nzLal&yC^tZ9bbX-n zHBmG`ISJ4XWd@W+*9SUl6RH%2l7Mz76`(Y_KG3<FC>o%g3sChppftKZ&>5Uir6^Pf zv?DqJN~7xooyUoy0m^ZJc0@g(G`c>}*_=?NDAW(AdIo5R6kQ+aoK6%CP|gOZ`W;Xj zT_5PoPN-58Dg)YqEr8PK`atJ*qG*6}6rdeg4JeJS4|J9%R4EE|1FHT3lt$MFI@c3L z1C%oX+VPzMrP1|)&iI5XMWH;P9p3;bjjj)L-Y1F%7zf(%<$%)Y`aoxY!jvM@JD}<h zKxuS+pmRWx)x+2Y&<=A2lt$MFTB8k9i%e@kJIn@98eJdgd{AWdF!lqe`WH|dT_5PI zP?%a|dIq$ky#Pw1>jRw|imV>S4uE#FBcL?8KF}GWFtx}u2ehLt0Hx9OfzA^}Ru5wz zfT}+MrP1|)&K8BKMW!pD9rOk$jjj)L&M2~a7~25aLAQX?==wlsj>6O;(=VXvKR{`8 zeW3G4k=4W43!okQ6;K*oALuMnm|A2y0@|@pfYRvtK<APotB0`#pdEV&D2=WUbVeym zEi!!qs{R6$M%M>AuM}B5jNJeo5a@u?==wlsm%`K{(-zPH0S73Jt`BsMDYAMP`vX+{ z4=9bU4|Jv}Of53K0y>be0ZOCm1D$V*tRBWrfDR;NKxuS+ptDY4YLRIP=s<!3lt$MF zI`<S=J&dgY9Z1lC(&+j?XQ0B=BGVesfdm66jjj)L9xAeW7~23kkYE9&(e;7OMun+G zrY)cY2@X&iT_5P2RAluq_6Ml?A5a=yALz_fm|A3d1#|#n1C&PB2Rc6$Sv`!M03CqH zfYRvtKxe7K)FRUv&;f`7D2=WUbgnA0dKkL^Isj1trP1|)&RB)1MW!pD0}u^R8eJdg zyj5iNFm?lU0HOm*qw52my$Vx{Om{#BASOU*bbX+6SdrDk*b|@w5Hp}Ox<1gEtT466 z^bF_#!~!UduJ0+bVkGtg=m5kDD2=Y~8IoFL<_zdS!U8CbuJ1XrVkC9|bRZ!DN~7z0 zfut6h$pIZm5P;I?`d%U{Mq(d;sy_jx(e=GTQj5&2fDS-3KxuS+uaOlau??UD5Ef7x zUEdodwaCmDQ1u_6G`haG$cmBJ3!okJ6;K*o-#a9=$jk_6M>GLSqw9N*tQd(c0PS!| zKxuS+ACS}{GfzM}Bp0AGy1tLdijmk2(1v#hlt$P02}vz7(*oMSbb!+6`aUBoMq+<} zHo$&BX>@&GkkleGS3nyY8=y40zOTrNk=O~)3N-^tqwD*Iq!yVe0j)R`pftL^@5qXg z*cYG$>kTN4uI~quT4ZJiv;dg^rP1~ML{^N%c7SFS4=9bU?-!C<WabZ8LV_j?bbY^( z6(g}XKm%+Clt$P02T3h5GXpA70j1IP{Y6%c#LnOVtyW=RD1g%F`u-uQMP@2+LiA}s zX>@)6krgAcZ$Q<*fYRvt7$C+X>3}dJGIKKXDimCtp!2>EQ3km3ozM*)1<-Z_On#Ie z4S~@R7!84;6arn)F}$JV=25qfhQMeDjD`Rx1VH6i1!L+h{y*8pvd2GG?q8oBQ{Q%4 zR!>*+vaYVGbEc-`!l@}Gms|c!GI{xI`jH7yLX)pt(w%&1(yqyuf;PQ=AG6L{b?u+u zs+kjYJSQ)?U;6I(>wV|b`;V8tfBdra+_|4~?5+3To$cYW{?lUa_#cNABbYbu51r|; z#`t&jf_HZ$zFhNG3DVbl@b5~T`LF*Sdv$WocCIbB`i3if|I=6T`g`*F4*M4FFyu2o zl2|DdmcMP!>A8gwlI_-y3aVwojy&9E@#S$rwoIO(Mb+7Nw`~#<K64O_9BMyjD3o~_ zZC%VXt6IZge?3cCpJDL?u?+c@2kr>5mb~WD(Ft{^pULEHu9Z;H&vcvLB|*CF)Z(aw zFLRhy&vp}Ud26R;t+8QRxWgv~Rx`T=4PQLDa%%OMcAsHx*?u-oCVI(dXVxdvwKtS+ zXX;XB<(n7kP&xTUUvmEGnQui6zOu3QeGfkH<+tbzi$KP&=d}#3hB$m?=Q<O!zTw+? z(HYe)jCa#TB5q`STW9rqlj7k6-^Bj<gh*`oQpL*iJv8BIEtkaH1qWWu<eCw)<iM@( zq7(M`HTE_#UE<f?@HL+`<@wor57Xll<E?IMD(vrOs{XC9pkf9S`*H0BF~JYcv<lvs zz<i@D;Z^lU*JIbU5`Ouys>ua8{Eg@GS!@5ha{IM=i*^Py&YZ(^D?c>hT>xuIe{jM> z6V@;K0SPa6b4iqmM(lKET+A*S@pn0sT5-Dl-|M$5uWjo)(8kj&-o7U`q~$onEA5@d zIa7PLYbexqFhvJ*otU@kz`37XC*qeKxM#|xu`b}jgF4nJ{}&#ZX}MYcYPK(Pa3*V? zxMsqyvs^whYZ`vFi_EZcWjw2|X;7TWQYOIE(tP2cXU@bsug>4yYQDF6JrlRT#)AGO zI)^Sz<C1u{<iM%fA_iKT8>+T2si|vk_~y#0q92&>_&Arx{Y3|^y%(KO8`C)V$gfSs zC(ef_<R9nAIbl#yc%ple(wvtY+3!7?w=tBDFUa9%GuNERMGY(UH465GFkY5rRm%%< z_*>59W4o;3*?*B4H*=U}{eM5@I`ej2!#hT<n2H3JZx+%A=4mtEC{AUlUdNMgek$Xe zl@nXL_UZmo*WB=>l9fk4Ea9oU;EjcR0(@UHDsv{j$`>_|)ZFkbo>e9IbIP)uJwA*t z?~2^G70-B6S>%RgAmh)?qBpX&7E~-{V!y7v;Ey!xIh#cdEB|X0?77&ssdz@+N+#jm zZVAq9juJ(CC8AZfMlhc3*EA@e#&jz_G~pc=YsvcHgok>pU*y9QG8Z*G+|!$qA6ePS z<hEO5!>=+{AHLuRj}9wuc+JXI_KAIi{HjAr-<(CW<*!avs%&GDjt_L$wf4X@eWeY| zq6#uA-mJQzG`C%3LVZ-@-mm7<Iv4I(%fxQUI_3Vd12dgP4ZaGp_W1`N_%dB|#*P@q zuk*DHwgxetm1Iqu7vxaA*Ei+)jN5LEcjt;m+^}YnHsU!n;dlEHG0oZt#>2s)5t$kZ zB{P{;+Xo*=X=Tdh*HHMmgh~3f+x>qny1w~K4_sR<GC@~kL-7WtPsg<iUP^6Pt=KU4 z&xS+$^b_8T#Ikoi*DNSl$#lv-^ns5(leHe>p?iLJ#iLXHv@k8(xpE#~Co9*A{XvZ@ z_ljJona*T<Tw{Ul+5>lXa=nOKbl}ict{3+g9k?VfVo(#)IJMMl^4!B2`<PZovif`r zNq9R~@WzBhfwnm|TH8DxPv-KNzxcp4bI}QVy&C7v7MUQgwc+~=rmpka1sfv2EDgDF z>65mI#pc~i%lJYb>{!(B(qH4lKGTHwKdc+nRU;DT^Df-b-xP3TvXD^rK7a1n?-u;) zy-{Z2p?jH$Z<cw6Bm2ziMTh$Acn`H%X8bqZ7R0{u`Id&}ysUk5c9aC%aOAdl@qQVr z?38;8S!H<oFEsIK$zNyUb4$;5WWTyTn~{C8_SOZ>QU2T(9{1L=%9{LJ#4597{?#VF zDCvAfcRs#Nx3eAD=f-YrX#P_db-^*eFyuxjcXPobD~+&Z?NS2^Z*B{XdS7m<89SZ0 zEoS_hDpq2!&zsv~#=p&CB@-&#xGh%X?^r71W#y5&rJ?!R>n#hK&+Lmj;P|^h_k}`v zlUTt8TW4;oh>En38^%05Z}!O@_~+Cfb|d~6%Le&bhn33W`CDz-7Zyy+o6%ku&26Re zH;CIpV%?=CKCSvLuHA7N0_()t7cWoNE)BSw&^X7jz~E*Wx7CWhVcZrT?-sMlnw*L{ z;P|UT_r-$JU19|j_6BfUy@*-ID)VIh)h50w?X3;XkL0&5Xg(Mmb->Yb<}_t@cH`R_ zjO@YdFE;TVtIlR*zx@7c6Q9s=*Vef^3^>%+HUCOa(k`8_%YoY>qt2b%>O{%bhURZ? zqb@kUbJTsX;P(=-l7Jbzr^<L)yvSe5Dmx`^EvpO<chm*Pr?ycC4!(%ceX-zIrtX7; zqIqH^8-Dy*u|e9F_l=wUfxO3zZ#wN7YQJ+vx7$8q-(YQ@b^jXg=Lvh<xUDkoEMt{f z^8R`gU)19j8(z1vJ*+C6Fn9CTIgTY4A{VgAJQ2@yWZ%1YOGERc-5|dhMjdec860)t z;F+CK2M&gKb6ZKouVt0-xxb24R^{DQCcano*PHl)Zn*Wy$e3)u(8OnCoyo}l);!CR zedi=lgm`gVY0QxkEqioGVa^V|U(b((y;|@^SNB0e#Z<A9fSr-t781N$7c{@Rzonu1 z<madhj=7&-#CNn-T6nx$!76L=?E(|uCH725_O;4e8=Bt;>AqMHe4UB!llRsI%}cYn zs%_dEYqdR@tEJNOXRZ_B>jGKaT)m}%`S<dgvIQ?5w04{QI{%-sY-b}!1!L-s+CQry z?TVvICQmf6Y@ho$;dr63%<+IIuQDZF)yd6MQ@oa6^_;e3XZ$3!xlvZBlV<Jq@$yb7 zGXK7K^0Yo5Pwf=XTQ60f#_T`;wPMfu^Y8nM<@%pL{<?SD`?ICF`|rP4dUIoV-6j9r zz3=`jC2hReQ)=FE^xE(Jmlhmi2)I{rZr81b$v?SPq=`ycM=*X(7MT&TuHl&_mrv}P zhHs`^XYQ<Oc&Eks>=@UJ^`QxglM^&^iX_ejCuDA9I_51BVd=$qxr-?~oi(AGr};zb z<Fh9w91G?0xVPxQwZmK+a<_JG+N>6olAgOb`lh$0!hVm&W@ga<o1n(UsazWK7almt z#Tv3c;K3`&iiE>6gEI>5^Bj2aPUFjB=XXXOch{_M`sL8rsV;iq&vd3>>E+kf&UhQc zvF$`gzN`q_nK$6-<&5mYhJT%0I#)yt_INZdmDfn9S<Ix(uaWSpg(>@gh(pcdog2gJ z4(&8a(^i-nnD9E6%R_$MfphMn6Ks7O_i{6DaBXYc%l|~bpGUGpG+>W^<Kp9@0sq>W z)TPf%zPad^8|$&MK!?9;xqM`nHKav0t_&8v@UM%>c)I3->Sm_m?-~pK&tT%N4?j@w zH#fCNN0oVlE1zP_laGf^OgI%SVqhE6xV2wHp{|QbIzG(dQxNO6x-|_Y@r`ruYbyMn z!&E&(f3s^HH!Gi*#)2PdtbJTu8uby4lP8M?{GG&<Je>s;rUesU+jsGJ-dWl3EMIg+ zRT$&j8)Zh(X=dRL<?O7_zJ)sc7hn~$Thj2*lS`*Ikn!<Ut~Dhh277%Qz4Q4G$bB)` zz+M}1quGw7`a-+&8^``z`Cmc>>Z2Na|7$7iy!mZc%i6b08|E%{+)?~;ud=fhyS74f zP(uDrrcckc3JNwdb=ikJcoD`rrGD*!lM#$p|7#dbyWyDD{c9VS&zq$U&#XmfSVb|u z_17{e-o~`cm-X2?z5`;CY!8*b=XdgG{_$cwyj&#W*LJ33n=ctfhdt9u*yYi<bq~`c zZ_yh+T9_uKYi;;i%DQBINW$|p))e`m2d5-A+*W4UEuC<5uJpMY+xNCBuRGMOI&kn9 zcZzMm9k<5CcbPZTaw^`L{>fU&S<PKz!LLHrV}9Wdf3vxKwuoN%vy&;9Uu(f%cGk4G z5Qp-`vZ>q7oDFoaUwq)uZBYZ8n8v03nh7<tn6%?V4}2+Ny;djIplif)$hGgjyyPVb zO@*ILOwrPMQ*J8loyugrTSH;@ex~YnO@;a<Cidl83vL8FcwxjkrGC|cleSzd{>3$} zPJiHNeT%K!<>5b#8_U^qPV6;yt+-gdC}F-_kL~*R%wNObs$`!!GL0+a-I4<#dJMO3 z3mn>;yWiYMrFak1uH_mA<&8|grfY5ZAk511n@eYH*nuCGtYJGgpAoyPD-v-#kn!VR zksB5LOp}glZU_x^_*KJNrWfe&t%~*AJ03_dKbP<2k+fOe@Th9{i5$yYF^o^QFsc96 zTJS56^;lo1!(U@ApE=7Lo^2PMvCEI~?QPK+l3ELXZ(&Ne7jC%gpm>8<<j~tP=9>b) z4>UI3O?oJ>JO0oiyT%#Sk@wku&3?P8JLX0l<Kexc5mn&~+qNsVR9ox0wuRWOZFsqp zYfY^;<Hu~#8$XsZP3qU!@Kv03NqkU(`oxJhm8|0%_wE&$VDHh`yjV2g&lD#2bnOLy zd|Cb0g*j|pe&EI`hMb9s0(wP%&rekB+{%@)ugrX=Zt!Q33-^K>XR30&&<jmix2WOY zOfH=oXU4<Yq7lDZnU3*mZuq3m>a(0HW|tbn?)Zd+`TB{8^6H6+`{%Z_-ql~TH?2I< z@JKLM$%Pxv+!h{kD_CW>*a?ViyJ^B<e%rAs^2s7TtL52@>^DWDE;z1V%PR9E|56hl z8-Erf`%(KWNA|_yTN|1`t^Ph??&9W?GP(~I?3yiBB4F*nZLvap>w@MZolyrIKZEMI zS4z4M7W}Q%eW6giSghc}-gs`S6SjgPWd(@>axv_G#g)WM13L64t!-(37#4NGF*98E zLBgK>VkH5U`^5?jY#q6+R@BCETXe*OYLb1+S!GmqM_q7y9jE(1;qMNyk_(k_+!iPD zHY|T5BfDmf=9_GOo;TCeq;7<Zec5)UyXwUIHLS8cMNtPFKh{QFIQZhnf(@Jfnm??u z)~MGj3S^(my``b~Rr%Hh%{O?X4mkeJ(|w`vafVpIg*h3H>?^;DpMH1P@i%YO1;@07 zVg(oO`g2>IkX^+p^QHfC6Cc<7t4w@t&$AubmrfHaFxVHzZMEXgQdSus`KwKQS@qmp z);kP1_}4XCe4Dg(N%OJSTN|36FzLQn@KsIsfkNzsCO)g<S&ZyACvRQQe6KR<fa5>w zs0#-lSm{1kP|+?{Vjz{p$o@2a%Yx>Ur=t!yel3l>8_m8tovYg8@_~Jx?Fs(55sC3@ z1#dgXA9b#k*L|T-*dbPM;m-oGk_lFt8gD*aR<QZQckAm3SJ{-XEJpUb*;^Mh-^q<S z;P_uq_r-z_piurXNvtH`R!P1kUxC5A^{lcXo1zXJ{Gy=yVnJDtSb;$NI#yYea~GNT zCW&V|vaikF($M^6ZPW$F^r>P66J)a;+4pXBOk@{ldw8&P!u=Han_gBDXD&1Gb#-rD z(0oxy_rZdiDPkoCD>E3`uWsJ5pgAI#+hRrhQdU`&yo*eHv-q<e*;g*#($M@(O813= zad!MoON$rFwk&AAS=@YR-YtU-_WW%L^@^Ma|1rro*}ibzuz!NWc8~k*?f)91E;v5) z(0!1w!-v~SW3He`S@i{lJ+?AE;Vycw78JFL6&URG<hEMDyQQJ|$K<GjyVu<R{gju_ z{bUPjJn-(BoGN?lV`VIdvC;IIzAmw8R?1m|PkCBDsyU0Waq*}|&$8;tNquFqY3j_= zHIfr|_T;!cF*-d{Uw6}-$ZZ}8X*Z2J15>n(-S@3M^QB;3vHkPnxBK~Je$@QDd*1T< z>CK;InszT|{kG3(cfZ8HVne3J2OUo(INlg9(b@aLl}|vf{!qc&hN*`|1?Da~a4UxO zNVvv^jZuszo0y_si%i%T+Bo+t*NME~g#77DpU!I=Y`EWfc=GE0ugmz^^R{XDec@u~ zIVut`Z|#8-MXVwE0SRxoSg%~yGT7+Jcy<?4Fu(SKy}^w$(?u`HTE}iTGIwPBdy-4% zOo&6lN+$0})|TrU8;Y9Q^Cs37WOuzd)~L9(T2$cvq65eHSXI7jY%oo0J0tdT1C#Y+ zkqL7b9=IpO`s93Y!h<4Kk@dj|8O=<m%C!wHg*bfe=jyRt++ZbSWU0pY?SQV4Opo3t zmh~&%S$`2TFp>Dzec;0SXB+SB-_7VVcSS>)SK~~6%>~sfnTq2>9R7>3iq$P>c;L*X z^VgB_;d0Rk&NDo3YIo|(UThYLuy$kon8}r+6XNhGhjp7?n8Pn_t~YDGAINRu|0h0e zEicbY+Z7Fe-iu09crhORuWeBBKxb~<&$V1VGV2>&X>#?XiUjQUXzW}qdSOpw<I4Bi z3;s4R8Sf8v*uMI}o&O>i>=U;6^tEoUcpxUp=O+GBV!eU-+<Tt<a^?jmFP-G#n7{PE zspBF7d%YUBZen`0U3){}ex^zNS{uGDVY>7`G$H-J<tC{U`yw0nuH-tQE-DeRvf+`t zsKl-y#;5Bw42ov6=Ly$*Fkkp<a--r#caebmYY(`@GoJleoVv|Nc45Oe5!N)nAcyi+ zregU(hy4o<9GWgFutsacmt@wK?b;hYrL(%k2PEX~pPW+EA;0W^+ZpEVCkhO9oe180 zXl}`_>-WsREWCfxl6iWLZn(p*!(4A-7B;+@E^_1cl7>J1A`&-NH6*z=E_^N$AZP9$ z);!T!G@!PfDS5L<K>Vr$ou_SX*yTNWX|~ae+vK{2L1>Ue^<pMt`!5IHcJcpPSF)Xl z=j2~c#=o{)I&WrAd8u5{!Q`DE?qIR-z^P2Gj(O`3+<MB@5x@SxF*a6}_kjtkS2lbR z=6YkZxIyRn=_fa=BN%^f*EBG=3w5yZ-a|6ANiTBe>FCMrNO=*%I^{E0Pt59uSLPxT zyS*8|wu{WDh+#atTy(~*=P8lATlj+#)K)dTGhuxurn#Vg8&mka$Bov%3O3~4Ik7ij zhfBLcMK6<ge7M68Ki06ica68z<p1P$ZDVh{GB3#Ck0`4dU(5pTDK=4!YafeFunTRR z+rzYJen7(eEY>IcmmN4D%cb$pr*YwNk$``@nUwXl7f4yTsvW-($hAU#`GF%${CAWN zAAF&t|B0(y|A+s#!}nQEJd0$W?o%7X_;$6(jGwERc5T--xE|o}Kaf@I-?D}W-ds9+ z--Sf-w)BT2JhkQOI2WFfyOimXCF?qQ#&Z1)g-gXe?kzcREtkvVe@Nq8cbkIUkDB*u z-`vl~^YW|cg!;EmGwqlKSw-%zJ#fK>b;|jGgeOj{A@++8-00<65iUAohd<-lw_HAd zT^Zksi_EAzt29$)SG%UccRkiG&owqofMqtX^#$(tZ5iIy{=4~`Yn|MVl$V#eIMxRz zJiX1;kr$SbyPE0IZjl=mevBs*yuzB-I*U%ITh3&?S!6=&vIF<DSfAK0J)rJ(K(^MR z;67W;jaU2hS+2b@4fr#S$+;BVa6RG2e`nv%2k)c5-e-1BN)|uOw6Ly&NqDm81s@HA z(p^lqo@*O?_GB$tAHJaRj``Mx#(%a^7aSgjM;&k|XcsdHc$LY>{Ls)lg)?)(CpFy! z1uIW(sTIE0nb;nAZ&}cIY-ilVbL=udo*#B7ooasM?u&bGZNC&g>GO{>`{2pDW!+UK zwo9VA84HT1iy2J#yHw2NLUjzc#EJjj+)^A5Om1dLXzUB*mg10I&B`;y|3VX+lBRCL zf~r0-6M@|!+!8C|7qjw$Y8bXz<ynr*E8{a5ncr>`Gnw#ndH9ZIU-o|o%`ViYK3K$7 zly4Dhe%s-HhHl1!4|ci<3OfS0r8H^-xFtB^*Rb-2bVVI-DA_A!60qBuTcX2$1uL(K z++`-VN&hc2u|?@;Gcv!tu0M6|;>L4<Q3o8%*0J(_5zAm?{>h)=$lTby=a6iS#RvX% zjqg<N34HIf`Srr|%l3u;YqaX>9!!?vxVwgxXUhKNth_FA7ns;q?Z4W@mK3X-u;AY$ zF_Q@v2{Us{c})7RG_eJ}zskgR>+{wHjc0yuZD`E%<CZ#6>&Gqe;{Fm=-ZeVat<|4W z9`3ecVQc!H?Z~|Jeg-4+L-nl-8c)^lIW)J#>VyBT#uxuir{-ra5WC34_9%Gkg2rPu zx(N!E%fw7B><ZwP@Td>tmU?k#1uIX={>7}kU*272V*8}4o1w5Fnp;9+-wIaVmSf9P zpC>G+*&${kFmn+rPssBuN9K*9Q3o7qw~Coe(5Ps&-cj&CK8E>hDYLlggk7QB5*hi| zn%InPZ)s?Jr>C2t@O_V%!3F+x6V@(iJmjLApdfL%iOp;C)`rFpMY<UaiW<cX1ok>{ zORZRYm5J@q>@5o#zbdy@bGI>nKWs0%L;l~z6|Y@&RphTVu|-*LZD{<mIqHH#`Wwl^ zv)`VxlKqi>*P*P*{D`Q@h1qLZd7h+aIWjjcjXL09xSEx><yr<K^Hb?93mQ+jfs)92 zF%yB?i&%NClxH|HciKiBa40@Av+BN-N9)#x#&0UR84AYE+!8PJE;g|hJ<nuh{(E}M zg2qFoaS!?QWOgKHD(*;pu!zm8Je!gE;W9CqxT3!wwD!N3*#>G<)ZYkBl|BCP@blyy zM#X{`eR@*=NzB@MrpV=r(dn6Pr*+a5{8%KrEF4p2ygb$OQ#pF(&W?<<YetJ#MxNGb z=kQ~hInzqCIPK=5j>s(SVDEFq^I!j(^Zqk?%=On*_n$xdckg@gJli8yoA-q8yY*3X z_rG%oKPM%JEqUJid;g|?GvqBDl9n^gp0PK(GGK|C<_6nP#+i~_Z_-33%wB%rUlFT_ zUigE8UM4Sd?Sk79Id^Mwiuuf19<DQ3!+&^gVuW~GnZ&l1#A1neyoZ+=+!1c`lX%B> z*p2<3+gx_7ehq_N&Wxt-Q!Jy8ssFklWpC!he(<F^AD6DDU${f|GN#MLq8B2U9eA^p z>&4ozgncU;4py;>$%h@t*v)iWUsJ&-u`h3bRRdGfWswMbKgP~IOtZa3Cq%70@J&np z&V+{w5=Cjb8J^{HnTq(eHrNL^JlxME^RBe(jo8xdnis5{7^n7Y8d$kAZY>s(*zdyF zJBw+uxz>Wxb|&@hwFUPBJ?s~-TKQ`m{FZ0^^6kv&yqWc@`SV^evINV^$VCO6(MT}y zZhX2~RA6UB<JEjEg|cR**Zad99@Vj${a?{=#iQ}9t>4$UpIqN2&0KWgT_V?s+K|S- zlSKk7yc-X1V)D8lu;BWKgS?V_P4y8^!xySvT+6lMuVdrK=b{%XzQ)|to%~yC!PJn1 zUmC0>ejy3pd|1Eeg(UoY%_R}D{=g%BQG;7+4&02cDX?Gs;K1Fl3kvLQ1Kzzh7N`ts zyn5Gva;3tyex}#&KOCIv&2Vt1N*|AIW?dBH%2uwN_yr9<p^bN6i%j_4%Jh4-NWhQ1 zOzr127JOM%xhcA;T6@8#GFG?CTq|n58b7jfWz1QB;K@|3jM&u&zO1#|p?KKQ;EA95 z7M0z;jC<#Dohjb;My%PBOGj=^!$ls}Y4$4{PSmi5d2@BdE<Et7Ty(+?*T%EGTpqTo z4qQzxxPQZ4%%Whr{9D;wEHew2pZ<1p?uv#Or^df8?RF${C|I-|Qg`xhD;5o~@^8GC z%C(}#z478~k$~OajUVSRN!5oYd`e~AqNa1<?Q;GQhxA!Yo8`kD^w%Hwvy)3=O-RDW z+guWBLlb`bK_mXj!V8mL#fu132R44qa4hRS@{cP=Zehcfja)hB10B*jnRZXtQ24IP z`Yk`iVd2^X51F|%{zf+5K6YU53+96NUm5P?vwn!XkiOv4u2v&{x9`CYZ*94H<R3R% zPiJT<&OF1X>nSFBVOK=s%WRPgI~^Nu-q%=AzMtuHeb9k~B?lg5pPz6y$;7qsX}PvS z(H5rF^FtkSHZr}A4{&&t#cC$a<#Bi2fp1|@r#@SGVbZ(LTqpKE^SP<}`?uDD4|=S8 z{Xq_z%N_D3GHHox8dObX%CZkg_+!RuvQ5L_=Mtu<^I8R;itTos=4XF*R$k=YZpN1< zlxDJ9{njw}?Z^71FC^igvE7bhP6dnU2M=AC^ys;$!78l{e`;A>qPW)FTitL&m}|{{ zXU5Kt=_m7U-ic;h=`4D~Pg|k5iD@^t$b{c>n0|+AE%;!|%9kJN@KTPos{i+cHXina z6SNOsnDipAJ9Ybty`GI9okcGEXkeOrTzi3OeB(<|t`{*259Gu$?u{0iu|Jxz*<Cc^ z&u%8S$LFS<Ei|hsm~PKdex11>{~yDh|LhC@xYcSX1&c=1?q^DhWWDD9`JikF!$C*Y zY3<WY^n)F;dYNvUYbtDZY`i;<si;0U;eRTt$i09of_(fT4lirDzNBd_C|bp|__RpC zY7K*ZQH;IwwG4K~F|KVFnQ`x3v$T;6i}SlZ5>ZJCzkd~{{5H67JDywW#PTf-jR!YI zU2ym)qMMMg#f4i+qdt;bqGQdaCbm`f7n#_SUT;~@`0M?ahQ=cf+)^3)7O?Ux`4*+5 z?#{gWIM?@Pe!e^FZAu>8<I8xzoPX<t%JpI<7i`_QB~Hv;!^-=myYAsSGg+4K+YS%C z7q&}D#4Tp!nNqigmA9figOPda{VYf3i_5n*G~U|3bwT5gdEQUIBrXuV-o!SkJ)4nv z?c%Kq8o%i1CM?(-!7X*7E|6Q|MeJHu-Y4}-Sb2Ezt~arD#p)&`e3>F<67X+&{ElvJ z_Jc=F1#Ui$vGkH!vCoxTqC<8WEAJKiMXWq3ewUiqW_53AXbg$umdc1<%F2^cw}O?o zB>yrKThaGSN9MokTN@e=6-Qk-V6e%@kB`l3^40~7xyh~7o|hY|)!84|Z9M4x%2_wW zZVfB1i~kiSwyg9FN9K>*e-GU)wc>cUtMS-%Cw|ijd*ZkyGPZ76(D)@yH(|l&7BQ0v zf0v6HT#&AxH^+|m$^A8~JUo0?o7lSKFEO#Xsb@GcKW!2-5ZLL!Ew!R9lv|=Bb~!8W zmHKt8JSt|Hj?8C|Z*6G2VjOkBp?dq%-G1j{V=OrI);0Q^iGRc;^+IkDE6)?V6|B5p z`mZywaV^hwWIhaPD7@5;y3kO*R?Hya=S(pZfwviq%v+CdS<v{yPd8yf<D@!aDG$F( zOl(o0=E+I+->tv5O7Y!^wr2Q#QR=1SuK@13Ys5?@%w5jP^JQALBlFMGU_Ta^OR}+L zJMo(a?1|)-kjTBz#CEDbgOPcnY}5sZtI>+f`I$F%MqP0DtDu{pU>M6Sm2vJ06I)R6 z)&-5<jC2zeidTx6T!>xE%JXDa)CGt7y<!Fd6%pK05_#8|*u3;J9hvX7eEzEXR$<2u zHZAra-Z75M7c-*{IJ~vc%}|(korz7#d+UP6CtkV<3$}W3OL?rn%EV>`N}F$*qYgOa zFJR?;W3}{x{C{Ti>iWkOjH%aZLBkHmKYsixb*5uR>Nce$J2T@_!G~woxGo9aJT0?F zA!?fCq4vy~!Gh`?Jg#$W{9K|XH+JNtU8|Wl(^N3k<)+c8p8~q4=VWg2xcubVuK4xu z|6142`CPU4XUuoY>+g4+t39!|rtrnrejm+kzq7>_2ITdNN^tf5*uTjB+oZeyUo^Bb ziyF*Xb6}=><%ZNL-+AQacn>|ZZMf9=i>D=Bb3@TQrbXPM5v!{%$n1Q6+p56c>X9_F zx72q{g`K{QYh}4S-UlV5pNWwa*z{X_gSjW;&n-;s#v%bW;f)Jfxir=XC8RVnt@^HE zuq~AFYr4n`39SW|zk+Vc1Pj{~IJYs^pLpBZs5<kp$c4G<4&1rP^<uh6M8v{|hgGc8 z-UT^SbTeuDYbxvtZrr-}`N=#%`Sl0foET58<citNe&=aBbNSDUvzB>Jn78=AIUClL z>6#n1pJOiPvHNj+!hYoqDH|dgkIrLq*4JEM^U7!@yYgd^09&WVjhb95<}W*NB!|`H zxt2j_pu_Jp*0j214e!)gpZ#0X@Q<5IXU{^F*@q2Q9k}!x>c)p537$_kb9KyHdEnMj zt`7asgvYnJJnpSK5Ejk&@-5dJoAnJk`uuzUJ*+GF_AS`q-(D^mnbi%C(nWUE^4mPR z_nPlc^<8~AKC#(NA13?`7O-;O&wa|3)oq%_f?v9<$MQZOm^+6*@Ar!TMjKQ<?qHgA zUemxdX<v`P+gi~Xo!SekdzgynhdKN&VHMlAvf*J8>$JQOhaX|AVRZ`|GQu0T?$=cK zw~9$RKXSotU%5pGu3ePdp?J8_{>hh<jjD6!Fm1XYkdQx->65ueK|wcDmwkA`3q#f^ z|5qJ2q0P0zZQX>sMr9M2Ub$-;6i#BAwOM3_RXpR{R`9xzcW$iDqU3fc{(iu}bHDC} zB_G6DdCE06e0kQoDY}I}AmM2<SI4{s2X6VW9^vN7(Ft%U+R79yEiz$Wc;no)TqpiT zHtt=>^eKN=klFErEUY5-3l3cP2zA@1=gi(p&ovfQ?PN;U77bXhZBV$KX;!qzjH)Qc zw{yA9>?xf1M(plKt}}b%8UL>2(n%3Dn6vo6%=F3!|M(v_SASkyGE>j7VkeXK{a}YL zeyrEzJ|2*5=Kp7SWIm5h=AI=DDLZsNCuGlIy5z34!Pu4Y=6THx=FyBly+tinXe{^< z%i1=JOT&K6ffK@9EB*yHZk*ViTD)Svb7SXW(F;DB2BoXm^G*~z;NMxBmf-nJh_z(8 zmce&f)-U<t2@e%ndA4hBFbQy|Sid@D`<gwmj291!MEvexdbC_)Ltz`!Byr6RTU{7m z&SJ9OuBq@_oAsOA_XE5)+3QdItY?|V;})a4+x25E7mw_!hEMIH5+^kl{7Pj#rWfMy zSBn+2{Nr;N>oU0zhuy0WoVhQ0p*FN}=XSk|cfaumCOmZJl9;#Vz@_Q1RLRZct(D5^ za$Re~H)Yl%@&O5t4Ovy@hbFuhWnJ=pr(4<SbB<gm)I@Jsdoupi*D5I3$J7<BWw6DK z@u|F)LD7DuRr>=I*1T_&-o*cJ!t4LMI-WhTTDx68Gq5gG(_T>9#AF;F;IMtcfjgpH zFV=@8JY?sRxVQSirS+l$_ZA*FRWBkSTf8=>Z|e-EN0UWvSi~`&l;p~p`?yisNalyL z-+6wWmuo^CzWwHUb9Ze+jeBGBa?yZ4+nJmfYj294a)0fC6E3VF_6rZ(C}F*FJ}6<) zl7?qRtjn%xFQ{G4WZbW{;P(<H-Y5T_isrp4dEkH7!M<khnPxVw_m`O1+T61onV0s1 zI$N1h7aX2ugSzAMK;3aaZi$Zdmz&t6e038Pc7p0p+l8z=OX9CIu~{ikKY8+?!?(Fn z7aZOf=q4!qH`L8YC|D(C5O5~jk$K_HxQBXrGC#zxJN&$Lz?s)2?-~=^Dtl1xd-j%w z#$WPV7c?H>jym8_y#8s?6q5;ES&YnY4{uq}c*cEeL*qNms0$A7R!1Fh_^+g!v7n$= z%)nqxrX%yx`Jit1HZhZcpUq+h0=046QY#XVzMEVuKArDRcecX5`P)JLQ;QI82@ko| zth`%{q7FFx(vG^|@Z3o^L7{r9n8}6Ro}dv9Q2k@Gf|W<4emyI1%e>1>Y^N4Z{pFpZ zuxY)RK|pQ4n2CV38@I%YdQgosXB{h#iT;HqwxI64hhk$a3a(#rs?L3|kj;o!H(|l= z1~HQfzvqe>Tmbd(HU9W>OGv!i?8SfE;bnquf<ny}kaL%a83>%sbY$MTKAVyGqifU! zhvZ%{g9&?Fxur7hu4m<0Qoov&x8zsU0f%p?x)}@1%eL+-lm&&}KZpEI?TiG2NNx#@ zd7wdpv`j|kr=LMRZ71D?1yP_7mEPLW_^USRf<w}5F@p<t7P9g#Da&MJerp)|SJh;~ z&3JB!7ob*9QKoLf0()m}DUCU+S$TM#gT^sJ;~wrWl--fd$^4MFv0ZA#oyDv?F59yl znYX5IX=wZrz%7;0voEesh;5SamIaO1K!XakbHq$8%v{IHlXCuA6I+$4Zi2#oCvGW; zHP@Ngy2>*hnHTCt9dP)mshgp&DVSSg#k;+3etm37k3m6a^+A7KW0lf}0Orn>pva#k zW-?*cVpg6l&odpFXQoCSa44TEW-<XZ4)g*vw83Son~?Bhk(fz<RB5w}Do@MtOh@La z%3B&5Ux?^tEGU~LW*{(sEi13dvn)pD-P@&pe37c}*pXbpn0lr5$7|5ag}K+IbyXK% z&TQ>j@>I<`N&Vy`6VGSMd=`~jr3OW*n>%M}-duS(Q}yOcnVx3j<ArZ5`i$F6w(ELM zzA|aXlxKR@n!2Sy=YG|#f4%ejzaM|sy)Lx1zn}g7`}fbkmu7b>>(^%8ez)OcdE7*g zU;o24d88csf5pmMJ+OK+r$)nqfU>tsI1W^m3s0$^k<k2=MbtcY(?{)=^AblnwcfjJ z@Mv4`Y9dSbT!(<aK254(LRa=oNmyyhvUNAhX&aY-?~zSU-zf1c|EJR=S~k;R!xMp~ z+Ke6duS~r3kfryz(3&7Mja>~1TfI5D)~iK4)@z!?FSO<>kK;>8@#Lu2XH+z1t3>3- zH+^as%rR(R@F<f-`mFkj8o`4~tJMT_A{kq}*WEKLRk^0V;-APtA$_4McYX==&A&Bs z!MB{IR6f-e(FzgoM4Em*7hJPp#)5}lO;hufGyX6f)bbY)x$CeYYE9!qk^7wqy|ror zI+iU3Cr>&r>)X<&u3_7sFt?cVl%7&VKC9zTzJqMvg+u-rA9T|f2&ucebmDZogW=mx z^s$8AcieE}Aj?&Iw+$|x3!Yu)n6_)ef^S7lsd+OUHl(l$)C8BlpKUeix3J@1!-HJw z_wZcK+F^UpD_=dMM&O{9ysAc((ZQ^ERgF5vgHpatlgx$JeC2Ms)GxT^vyJ1;v(u9- zUgx?8{L5vLma%9|IH}m;t@mtsUyq;Cia(-FuIJQORH+_Jdd;!w|D1$Qch0PTy$LH{ zJe?8tHkV`C&E^H~7+Fr&wk-J9$)a5|b3tNn!qU^6QtMSCo~8?POk2ZnNY!0_rd7~y zZO4yi*B#h$twPrE<Xz5drv#?hbtTLd<~TLab;CWUrccuab2hXsc;wG%R@JfKQ%Ax? z-*ra{R_&XXurZc%)&AKDoyyR#JL~T&>p9mkpqlZZ5pUD3)tu9Qb2|QI=M4M7?RZ%7 zw87e`an2VkJU5)$&C&IYBkZ?|<HyUK*M6uup6uql7Udf7%de^Q7u0F#^XFMzs-C#u z&+6GS^&5;GkCqGNlxR3U^%u}7(sI12buh`BV^zHOh9hrTOzV9&T$#+W)m%Vl^VLuZ zzq@lerg;lrv2RIe?e|xRDB^fHsr~b^zA5`0FH{H~)VeMpvUh62)_6e?d7(8Q^_wO| z>mA>6?bgHvU+%HImhlPrX3_N3i{<HlzYPalSwz>X-FP9^bZWm!#FLMlEZ$)Z4=1hu zKgUYw_M<GH)m2RioqIX5_RUXNnar6r-)F-aOO{f7g@|`rO~1^A*IZD}_#tu7>%T(A zo}XMi=~K-GMD9*b*!o>i#J(+|_q-p(ZIh?2R9^d;(@S4DB3;(;W;N%v>M0BU+~+jw zh-=Oay)!Lgu`P$z{^<!57jv$vYfIR;nR8YB^n^}rj;w8*({{Bl_@>Nq+Qz&w;Ut$r zOi}sfsWYw>tyf>M-})fed&P_jk%L~YO~=v&*L;#~TI9_cw!3k`kGm|{f95TCQq{E8 zY^T^^O<DDb^zf!lzlGP7vpD|r7tSd-#?qwPE}*yb$J>?4i`g8XzUCBrDHQTo_F&R^ zp^$Ly%TY;Uj%T+E=xmjDd@FO%sF?HBJiiThwsX9S_t|i$l!f=bO2o_UEWPv9B2+hJ zJuWynNz`G-lNV<^1sks3^9iVlZ!)!W2`J(_7`0qz$~^xK=Y*Oz={9}MQ`h(}-DD|Y zbJB^g>wjV*pIdZn`)dXEr{)_C*eBl9d9vV_qu4WrumnZ+ulkz|*gHR~xqkSj+wdUu zwBzUYVE)nxQ74`FZf)OWz&?{b;)G-Qi9<3^{+)H=`xU+J*lH8@R`X30njhZNIpg?} zS?5VYjSP2jz)vP_%Z_=c56N74rSn8#UsJ1P#-ACjGAi%W6ZxY0H&1B(!mV@0F}?fs z&wTBM509))yfd&A5_z^@yEwPyiS)=B%?CH?JX!FgQtVkm2_Ltm#{Y&^%MP7%MfR)m z8x7bu{*E}|__tr@jAPOnC%#$hBM#d;v9Ej^dBU;UU+kGeu>iN_3%SFGWS-a^cjEgc zD)wx_hrgbJ|Bmx2?3h+$5M%Z=fPLxgh!Y2Y#ECsy@QGclNT7CJtL2Klf7teTS$5<f zKO|!kr1M0fQh~epg4L{6nI-;7iF{V+n<q5C`5rN&`JSlG6NP$Z?qY)-?X5B*>^f%- zzOWQ43aGmowa2np;AUD7i+DI=i}-cNuWN((O9iYOT4k=-pE)F>;+LYxepY{@0sBfR zZp#e))I>g`?8p<2-}A(tDSS8JwtTTZJ&})V_O_2&PZK246ZyP$Z=BHl@t@8a$EO}* zMFO^st(Gh1o^;}S#2q=K`PkCQN;`IlIaCzNs$BQ6z2dm~v=iSY{*4CgbJHVFI9|&; zvf6}wZ=}u>h5g*z#RfO}TV+J}(-ZmJiX%@r?mTly#zkzygyvVOI%gbnOt~#r+&_9q zMnw+f!t{t4%~yI#D~gLQ%sY8VCS?-HA})nJJNS0}_Ord>_|8DA=)(NNhh#+jk`>tx z{{%(7l30;J-bMrVsn!uE9Jic5By(k6k|O&@agal=nSV^R_IP*Zkj$1eP~0!nIpete zfD_-Q<r@sx_kNBz;aF$LU2G6xsoB(>4Gy@E+g7$)N!T86;ydNP!GL`tug;SNQoAMB zUy?aemZZr3wKif#^ASazCkrZVxQi#uI_|`G>p3WWy6QYxu)C?%^2UyJE9L*Q`YdC9 zTEUolsrtsPQpw|m-*#qS{M3-z)~>2;d@84+$7u33qcb{2#x|leRnw$qs%{Ip;&aAm zlaG$^r;aqYnVx3qR?E-$rk-OyxAI2Rq{v4LT~A+<`B!)S>$AFlH`cu_-MfGP^PTVC zn_rKVsC>D6+u1q1|DTI?K8f2l$yLet-}+bU=eRINJS=Q#d(9bk>&Y?R``mpQHth*h z@2Xvx_w!Rer-F^p-Sk%;Zv&bhsWzGVscTf)9gGSWoD%1<;T%`frfkk@(!x{jX9zCa zdT<+yXu0BzjQplk?7})lI*wO^ImN8|7gSA7=xi0{m?q=2<H@tT!R|rX99ip?Bhs$< z&Ir4EljZbVmw<Y^gItwOZSKNrO1K;!7juT~oU`BsFU#t?o&kRhn@r~^Ye>FLu*lB! z4XDyPX!RLd`Ja=sC|y!6;`nnihuI3jkUdip7Qg1y(pQdn64<orynv3`=VvFp?H|TR z-Jg`uDa)Ca#xc#RVZpbFET?r8R#fvHED{&KvcE5(bt%|!KbG@{`^#$fa!B3QopDVo zUqNFR^TDk5${PFZ4@%8f*QiuF7<FG&<E}!)^Qfjx+lAL`?^^K3p2KX$Mv22Ke^fQ~ zPGXsQ-+O~c9uuU@d-Z%--w{8B75`+LRP~fr{FH85I!)lpJ7JyADvo!>Ii^+LT`RMH zzb&|^-XVX`Yrbkmjm<%=(56M=LTkQdH$Cd+ytbpB>EI-1j_Gr1l3sbd-p{hsJh~}! z?PgiWn<fXVehW?c&vTINxM0X1vx9Ep0wMoI4=R}phwPo6uu;+R>wf{AjW2U0{LY#S z>TI=kd|S&g%`yk-w!F+&9`B-AzMfZ)csQMfS6pyS3BTjxtt_km%wF)~*!JY8)v6p_ z@4Yr0Yv=HibJ}oiBg;~M<%s7tO`F1n)@+}!pr${e*%TaVJDxn+zpSq(t<-SsseF}) zl=P-m@+uK;6q;VeD@81F3i#a4;vMT4@SCkE^_|j+-x3Fl{;RBzkL`N6W&^L|;hikn zwG9hCHnOa)DTF%h#mQjzEPDlwI?01lxlNN!b6%^Ovf#^Rme*(YFkjZ%EqbuZT4+kW z{XsT%fe;;`oGlFtK7HpD+u5?<)q75{-OUSr9cJ;iv4u34^7bW3F54P1XTdi<meX%s z1Ip{0p6+u9`0vsry3hT>2FDGT-g8LZ_uFvFnZ<R!QiShm!#R(l1=f5tYnoIov}UTZ zMlH)hD|H`*h)ql!)92V$zw&sm)bwe;>Wu_W$D{0=W>u3G6g~0t$)0$YbJf172^(!W zR@F~Q=se7sRo9!aax+I(yvGKg?gj6Xnx4ujtoYAzkn8_!P#gG=D!=*e?#I*bIbE>u z-EhjCqf3k<?6;QVM`O-wKR6vvW^-KIHFLq2T9(&5oTu#kH{4rRo^0{-zR!k(?^s0b zeKuT7WSP3(X~PLgXoD&Ib+CI<xNyk+&V<gXET;dRHe9J{x+VSHU~TF%fh%{XChXL4 z{OimS_QS~W@MVs$Uxtp4wK>CfPF(PUtLf@LpMV;l4ac&>awPP?4W`Mr{kj;|Y&CX# zxtH^rWygXyhAgi`IZplWNNCpP(E2wqVexJbt^b_~6H8e_<7@fX8=1{sP}P;t`IE)e z-gU#3i!59H)gqqV0k@bA`d!_d^UC8LKg-wY!fOl^GJfbB^jaU)nfWwfk0^I>K&3jj zWyiVXM82%~DT?eL7e~x!K4u<y!g15FLo!?R5*68BzK)#Hd`?#9iNbFV?&1s8vsz`I z#8>L)os;2tG+C)4NX+5K5*d;EhF=5O7w?TY;rLTn=ZxbM53!<vziiya0@m%VGFR#k z9+FX6m#oNsR*$=QLeyC&zFYnq4cH}j^M`A)-_?zn(R}B2<O#=me^4b{C{|=()6{CI zF*WkU!5=xG%J;LUpiK;`fL#px#q8yFuNIU^b6a+-PfFyIs!vyBKPmbBvDR6~>|(K^ z34WUl*yq|toN)Y>taHZkeSuifg#T9D#ReALtui9@#}3K#MCm+PP@~OVEbwykgyvWG zBW5(;;MRGv;NLCwitT(txBa^wJd9#^c)0XJ&G#$rvP-@tE3&_3;x3+GIj>cw<a|mZ zUy*&PBKzO$h#Ad?bakF2RLFA|2mI3Jwv@0xe@G@IsWxV*jLUXVfW8M+ZMC4PZF%Gg z$4?ew&lZ%jaa(%CA2=javTmNp!NZ{-izdAFslDQuugYzC!v5SL8J=sKCp14&<A0=e z_Fx96RrCJXAsLr%iHhu3og-&7M|8HzT=7p&<P&<nc|!BEP@OZ5S%Tb_8S4|E&4ltZ zhh(0ZMYYbJ-288w&KbwV>7c;lR;by**H-Ocd&Ti(f>@D(U0bW=iaDp8_!d3iXu!Tz zjoY$AKP8b*s(kZ=<|k5O&lYU$Yn54&ZrifjgnjN!ohJ&rg}I9_%s%hL_X*V8Dlp-; z)R=eZkWA0HR7Liurz2-HpWvOWRI!5#WY4N{zuGH~Zw$qX0_yu(Ej?VqA8S2bu+tP& z37>Z2yY)F@M)R3QohJ*bMYxM6Sa-I{e96{1<M=R0tjJ)`yjDvM-DE}f$L<j`nok+) zJW;UvoOoxB<%(QTlg4_J0ekP6B^+|=z{%kx^D?_v3rbbEEj{!T68Ws2Z<x^h<|ruU zt;C8Z#Gg7O!xOf7LNo7nldtEy)kK3{qSo^hGY?PmTGpB7HmftW+h)?t#M3IqhtC{I zo)Ni4Cvw`vnU55ePp4$MP3x>YG_5nWJIdS0*k*EN!Yh&IB^7DK#ZO;+-@VTK`{(0j zvFo4z{Tq9}wtoN7i+?AE*JVZL?EUwDkJ7|P|JSBWJkj%iW$&BDulso%o}T3pyV<zl z)jXDNS&x9f5>2Ylgs<4NC#+<1eA~)$`i@ECw=*Z_uVXl5zqk7Rm5FzPS-z&*bY!mG z!0C8c<)GJYVH3NCgsF=;y5_lTxE0BAR9`LPaa7YJZ;orPgr~$gZa8Pvv}rT@oNe1> z9e>7hn%#g_UokdGuTH#hX*w0!<f^B%qKfxmQa9(S`@S2F6gADt=A3q`BJ;`A`5*Li z^Sw9t%v$g+rs*l4`U?C0gx1TPQhEvzFSVME$u@<)n*;7w|9g4y;gyNEyjhN>+qPt` z-N@^B(vl_nt$V;PgQik1mQ;V`i1$oQpTY%lHgqg_^p-_hS9!&ru7ruba>oi*sd0$i zZe8%JopYK+38Zel^XJi(iC0##Y(1|Y@vNw6m${J6b>$WR4GwbY3z^(`An235bi07b zy_pG9`2|I6TN1YF3y7>2So6`jY0`ATHD9ZnE^QZDvl(O<sHgMhbFh2W#nc&LKXp0G zZgehq#Lj7EHDkf2=bU1etqWf9bBOJpvY={qLT5BbmYv6jE1OxirrUKr)Y)w5cvqHl z+HVHOzs($B8qoe>ySl%uX6^h1A5~da|LI%s;w;PRyZ!-xGMh}}zDg_$HS*hVjgMvN zccqBu4o#bm3#~Dqzu?bz4zmn_kUzQy-OL3;{_!7F(pHC#m1K2f>Tc6?{8}!cvyt8L ztjxh6ci}5`oe48HbH0l6*>ESH<CVT*#KZe6yx{_CL@H$tXWGn8n7Un9<Zf@m)_y?| z`$-AC>!B{2obD%^8S4_T%XP!Kq^3>Z1=ehzv*6EZ7U`Tla~_M|ciRv+Yr!XXPBBe^ zkiT39lhOr3{&OA_3Kzce$M|4Sx!@H!A)U=>&?^7k8g+xUPw#mJ)bkzWl2^>AkT~cS zua;3Gbx^CcX;HY)ns4e&kIDtseAI9}d6gwQcMH>D&Ap}vt#%7cIV-fLT*L9FzF<zl zD_F<%@k-^zr#ZF$PfVD2n`4!o(}o)}7W{fW@5q*EH@X%)+s!%c*7OD66j@HsaR}J% zwBb%a$E$d^4Tt18r0#ibxa7>zJ6}EG=~n->@_OKEU*>L65KF>V5yy|U9M^t`IG%jW zdCjVI!Iw&w*D+oJ-`JYI<|%5}E8KVx+0?Z@^5klnT)%*yu`Jzhd;`8tX6cr75BMw1 z;(Y}?Op>rX>tE6<k5^Sqx6FlgJ~KGpUCueJ`YXrfRr2Z)59hM*epiZkDa_K_uNv|6 zHH+(c)rhyxS&pt(j#%s+@X4-eYnafKZOaYkl$y;2X9PXV-G8r4yeG%<)ZTBy!HX=S zr&*-u`Ud<=XX(~aS@Fxf>F7Jv75`KY3K`EkxFu`f#DtY9j&JXBOtWlQ@NOc@>Dt}} z|7Nmi{{bakXO8JMIli)*f2S_^Xv?zN#xbBo_Fz_i#k9xAw<||H{>!5JT|Hv8L%=VW zrqXi?8r6yitHOn+{O3N%RxTJ)GbdrODa+L4^NAMSIo8n8h_AK5?lMQ7ZJN;h3)ELW zVk1^`;Sad}J>bN5D;(4j;^Ve_aqr+EnJ03|itK-{g392p=N~V6lCZ;+yEvdqpW9Lb zr1exfsJC|Hkj#~Rhn@HyiEl7q?~V8GdN4PN;h}Zugcy^rf$VE<N1Sl1lH@MFAPMRL zx9LC7I_sFPEmkz4o*&e&oZc!UVt@9KOpjlJBKy<)%?9ifKS!Q$+<Nkm%#pklMfR`t z8x7by+apgner6SWrm*#3_YO<TjC@eXvJ75TUbvh7YB66?rC8Agdr&=5)7>h=b3Qqd z&uf2@BKu=$P>o?9dBU+ole;*ey02B{$l~OfrIs0cX12<xlqV(fMOA}(mIrmtI6k)! zE1K|Ejl1~5>Wvec|LB886TX6KFfoT81+pUl!R;B)K*mo=v1bZK#iHwi_*Ug7CGsU% zZ<^3t)z)h1A#=ouZ`S<eM7|*P%@dlx?bJEr_)JKw=)&H<R?8EA=eEjxd7qrf$E7S* zWU%Gx4$I<zTRp8ZJ=@b0`LwG2x*j~#YWVQbszc7=Yasj9<cJfFHFn&^7cAOaWmKef z&NyZ(a$9=bKXOQ><Xx&F`_1K`A%&jl1yhSA+;3^Mlu(I0;aFG>8u?)0w$zY6dPv5_ zFG-R8>Sj=b=BUn-1$DaI#S<coG@IJ98C&?TJ8riB8pys<ROiV8u=~!$9X?%l;V#Ij zZ%;Y#{rbJxfW1{Y;>5uZE@ICXgrq96KL!mSoHEsUqOfa5tL2KjXPx*SfhziAQDQ|C z?o{aJos-$JEme{Ir3rWOg_+ixP3@~04z<5lsQz)qUG~c}P^iAtIdd?9k=s%u?&u+z z9xG7GOP#w|KyuTB=2zaJ5ru1~cX(N@$Ul5YM&;Rt3C+*ybj~=w0*xE!Z8Tt?c{$>Q z<98;pXA0%4+?Fr&lM?y3w!_<Jpb?rt_NAdZPZIV#w2E138S(%1%KCrYje8cq2OVWl zdj;B?-`4p#@yyF3mQzzDv$7-7rrb1`uVI`Cs_Qqm_D`Idm^LXgY1yOTq-htkcu)77 zVc(KEWoAa&l$lSIvuCEH&6t^T?bO5f<>zK+*Wa;zUV88T{hjMy|Ni@Vor;-D+#hj& z-FrXk<0g7MRn?AEF?#%e)r)zPH5gXQx&+j)9+XlSoMO|Ru=X^ESG@0rb5Tv3Y@5Db z6P{Avm(Xk}?cTw%e^-~aP|g;1$EVC3VwOovZ*S_qRc`pO_^R--z9U*JSM?Pml6W1@ zMstA28m*dA=c%rcp8N4pYwP8xJVD35OIftvxL^2C&@{DAIirT{pq99xNUZOMsM+9g zMjI<gFU-%*xO9nW^o+2Vtt_u~6g771AFOH@oT4w3Q()zIl$+Bm$~EApTa)WMr4_#n z4kq~vhs@8EI44xtG;6o8&ek6Akb0OOq!V_>HusgsyPBq7yiKC()H5pB4|?$nnbdV9 zh@L&NrAtpCA~(G0(Qkn@6O}b8c@9R+SJ0@HJ7^UyIAw2N!rt4Qr~c1NXjWH;4EG;B zq2rUi_$SNMc+U+d?m>q0FS1;<_uFt}CyVKRrwt+93%=#DoWA29@SU^i=|As)`g=Kk z(G{$YhfN^E_#y|j<Yz;R;;M63Cf=IP*%j}-;g~;%*FE<Q*Cs6Z!p-vf%w3ktTDy%8 zR#gj5sh2*;<}MWSN8_Mdx^T!kVVxpg$E)(fI%WEfU+o2TKK_B$VLM$#E=Oe<I=;2# zoOV*^O046CJMJ8>=DTh<G@U~#&UeG5eh#Vc9AQ6|9WNRl%$l9s^$<Lfaa~}F&4h%t z${b$vJvR8ILaWy|OM~63vN=xupODa8&7t+LF=25!r&hid`{k$<_NG;uO-H|}ulOg| zq}r#x;%8>lQa!a5duJugoXz>_p6`Y`4GaDmvuNjRx_Rs&cwo44M?nxv!qRYIlY7$> zrj`qf*iK8>>Mtm=UU1Dv-lj?Bf@?~}9bdY0T&tY5;LVz|l~eaxIQ|S5%-PVh;L&al zvnbDipOaa-V+_F6#Eq4!;^$il?QUF9H9euTog?dCZ-VA+rpv4LHYCiv%kk=+^M*Ut zoUi1aH#p5)@UW6ayS8`1$5NKnf95QB!OXJy@6-i9dUOrvm~NW~=~k;x4t9^K7M@}^ zC1LJj&QtTeHr(6E@^rt~2FLCNkIr+L?V7*fQ#^;*O^1Ne)jMVEw{bguH5bq+<ZwL8 zbTDYSz!kgpgqg7B(z`h8QkCz)O~1YiuKAGO)TS@6Mx;A2s<&J%;^|iwSAMmKw|`lV z`m04eE@n|(uMqKCs_Bw!Q|UWZjo%grtIi8gxnCsLw)x;AP8M%I#h9XxrNQoQkxi%G zD@QDG3HZ91r90Ly;O}h~?>k-rpRcoc+qwl*`)oM#EIKi&v|K6T-By;b{3;O-_p<Q% zt3<psX6e;ejaX_44z-w~lDAhT-dfMmwO&2q@q8B5=Vw5(7>x_Q$g#YB;~!w=zv13T zmZ#@cZahe8>bkFV<3$>H79&O0@oK$*j@VtP!z=gM9u)G1W`wibePlgl{Q`bRG^L(X zSP`up@oqND*L<ajhvF=}@`@2J-?Q|l=Qd}q-6ZRHQI%zNDo2;R+lFK9oL=+%4A|Fl zgQ{Lp?&1qO6N6a3FJd@UT{vNH@vFsrRs5h*xTDol;s%pWBwyF<O$O|X?}9q%XLZgv zrdV=YuBhv1wd|OC%!%(+d`cpp5HF~az{p)ZVOMjj%ocf2r_z7pgywfWxrN2g6t?qn zTfR__oY8z}wwmjQ0$orACLw3_HIRKN|E3AeA6J6Lpt*IPC{%%l7Jl1tTXx((cSy$M zm(CM~pDNtN7j7MJ;=A<u@tz#^xv`*5dM~J}CIITBGk`kjt*tU5t3d<hUSdT7|Kzxf z1$O2JvG9k3E3mKS!Sba7)lIE3SN?-5jNJCsQ<|TFs-%!vtukBq(-QfN+(C{#sdL8h z-DjOA3+h?9ivxb>aa&51Ma*cvln)wEC<F~C<ck#v%-t<up3ax0pRCCKaW81tBU&Bi zCl5JGP?JW8+tOqH*+ViVdg+SnH{XMXp`#;DIQ}!&Idkv<sCWBEoV(cIR!w!xQkf~B zVUHAlv7!K5P>8Mt&67+9jXy_%#-AlYAqsNjbx^bG9;pAy#38?~`Q5LT{Z=PzK@$+g zVh^>>Iwrb{6&c)VZne~qJ>bN5tWxaRf+El?Nm#^;=3A<uS(3I^%ZxjRocJbv-(bML zwp8bd!e1Tk;tQSc8fH&!exoGzY{B*^tujx(Cnxf;wZm)60Gqs5i}~ErH%w^$<O+&= z&{+Pq$QjKy?t%tCG`Nc=RJ66qn54h&SZ%_-vK7=!Xlb=P@#eG>->&wJ2JAbPxGi7I zKX*t*L@z~={V-_U;ia2ck-@&nt(GfnY~Z#3uP;~JWv`^YYgs*|xnfSMWyYIxPJEM2 zN6cuxRwPz5A@1ZMnG(=^z|GH*Gn(U?TV<YrW;58zH%w@L)C`JxO|hbYFedYKJ|$4H zE)5rZrm&5l+j7P8h#Aej^$*OyKCYMByWljaim(1L3p8N==T7Dm#mLi2(Y==2AKEND z*ZKL#DY42UMu(?)rnTJ^IzJ;a#oKW637?M_lr|p;>kd<!#(rg5MA{Xji)S?aK{foA zGg+5D$6TNN`}_HIueW~x{`2j={rBysTi9*%d{S?}HL}?3pWsPtA<sYeZ_Rd9lKy{* zN#iEV6`SlLEe7vcmw;-~gGSk$uhuC?ykl(o<uABKVCLsb_GUk}N}W@Q$YgYUtje-l z#x3BBMbp)D3L15a2c^P=r{oB(DOGoT`J3}vWf8cVu!#9~bfxlM$=ij0{@66}USbjD zSG@5eqUqFfL7gH-$E(_$V$se4f6JOw-zl%KREc=S+H@=W{LzAGGra@K4G$Kv3tq8r zOK1%S_j3wP9-bQP?p4}!Y&B=t&fWzts+z9KscY<OOz2&^?f4e2bBYn!f{rhB4qBb& zJT=c}!@WwDr~1k_9#l1TZ5PP7qP*gd#zCdWoX{!P=rf16h|Ts5s4Z_&ohEq2W>&&V zQI@URET?0<0=`={J*{&I_#f9K`p@IS2g|0Zafb4TGi|yPriwUTWabR37I*wOnd91z zACQ{Wvhw7WiPx@kdfj)~aL$$`m7V3at#`n`r`!f>dAF&q*uj0!&6`te|Kx;;!knw> zdJ;BDIeuL)s8guycy_*!&Q}A+x9J?yZkqhO1fAH-s|jLB*g2QumAu1-L)IKp^Bgu@ za_5wa_t|hNoU`km!-lBo3w}7WWdE7EV9DD9t8@0YE%@TpRQgR>qnhPl)p6k|`ocK{ z7r}0O6LNIDkF4Zc)fIn)n_T5oR{RogI%*c#kr`TVdQix-Y1VH6ol*<Ow~sldSx#Q? zu9f9<?aT#r-3hJo!X|s>CoHuWHmRGKFg5(`iRm(+Dc3)sDc5gm8g&{6rC6ILEf-!> z%INrVHOI9`=YVf~O<&(BYy4Ml;*C>SA#t0tJbUp@mZ{&BBAz5Pt$ME-@g}6{)qJ&x zM_rsP`j!m|%d_gTUwN!@4yd+0Xyh+&<*vhqJKE*Pw!Av87?J4T)E3z^^`7&EA1O_t zcCG<mikhzO^9=YC)?`}e8Su%lY3nhTrQ7eY9*#`^#?qv!-B57yrtPx6FVh64)SDk< z)8^2so0PEFn^Vi2Q*7tV1+Vlu#Hw2s{IchmcB3ZTXZ15_j%l}g7kq1EIsJt5)jXFC zchosv#XD^{WDZMfvzPTvsq?!~;jrP<ea<eo;2GDl)~jpm(>^GbucT2aaxh9=Xo}tR zgt=0VH?ujf?Vh;c&v_2BiWv(Y-RCg7RbtMw{L^a=v743Pe6Zt5R@y6%Hy%x|<W(Xb z$u!NHuN?78s_B-wfKIWw<K1?SY0`pMw%;{eD;np0p+NDV*Kc7HyQYMx`~o7jvlF(S z7ZS-ASo3i&w9@~yZl&^Cc1|yQ-wo%~suQA8w<|{Idj{0SH}UeRulP~d)O${4#UIHg z*D%45zk1;19N#&oRdg+QR?jhQ*3OR0+nx*SY)*l??A^j(_oDa8E9$Kda)mavg$u6v zBHMJVTwu*7>83^gLTkR|H$5``c4W)78}k;V%t~0>%;_cXz2V$Smelj=5%0O0KHXQl zvA`J8DA)T}_3Fe6&ZblUl_FAJADt0)^(}{3v`@g_To!K`=YY*F8?JaY-I^|}vw4;R z``zfs8O?XrN1Sl{ZwMMA_XpJyMfy2sWTpt|JW1HY4jRdSy@W$Anz3d2TZLUUuNL!V z$tNnZe*}%S9-AI<!tqlTX#Clj+tLFxJAF<WG@x(8U3}rTP4DU{&3~jp9pF5jCkuXv zi9Ji0lCH@9lpoYd=K{46Cbn93#2$Czdu0!5BYX#SULj-Te-4Aj$mfB^$Y0#s;Z;0g z=20iUUAs3Ku<tbAJfZntAZSRz88k|+2O1@3;<l8CKYd6h<QAxnz|UPA@Y|N#vcvxL zAsG|1=%(3|o1cJ&th3WWHN~kzG9`U;;G^WapfTn5GN87C4QNum4K$#C;E+tuyEH}i zr}sgt6hOliUsHdBMjmv|Iq|&$4HkDYN1Sl{{1()&WDzU6U^lzf@<hxTC%#?0pxN|V zv7!t6yIU<a{*(o=@Lvbl$qz3F%a;aR+PA~YQUcU74Jk`dWWV?~Vn%ZmXhfkuJ&{i; zedC1YC!l&XTa??<V|{8OpVezndxlZ$*@EvP+?FTYza2h1v-zNpSW!R>$dS`P6>lPF zW@HCwI37HBebsoG-7AGMMQ+O#@n;XosDNfBD%C+Ph54;ATjr-E@)_xG0=2#VR^H?* z0!@H}22e!!5*67GCr8d`zLW`CNMXla9I$gztBlL_4F>F6LDMLI?8KfeFa(9%R&a;> z=b<a^vRk&<F@$TfzXXj^q#JTuo{&3oNajmlq9Xgx;D{N`2SF{aHJc6C7heVS(xthJ z1tQNo@vZ9LY{0$|G_Srdl+8SyPpCNJgk!NFckzT%n<g}WD*~;f_=IT9R0hkJPT1Yk zD)R+YsdH`LFrhiIztvL1_LLLfvGbb^*r)1Fd!+SL!D@D^<%+lGKm!V(hSx=%Cki`3 Xt3N^`P8>Y;|GxR(&+)IfKG^{Pj1QTK literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj new file mode 100644 index 0000000..f516430 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vhdl.prj @@ -0,0 +1,8 @@ +# compile vhdl design source files +vhdl xil_defaultlib \ +"../../../../../src/hdl/controlUnit.vhd" \ +"../../../../../src/hdl/firUnit.vhd" \ +"../../../../../src/hdl/tb_firUnit.vhd" \ + +# Do not sort compile order +nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj new file mode 100644 index 0000000..4918d41 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_vlog.prj @@ -0,0 +1,9 @@ +# compile verilog/system verilog design source files +verilog xil_defaultlib \ +"../../../../../src/hdl/operativeUnit.v" \ + +# compile glbl module +verilog xil_defaultlib "glbl.v" + +# Do not sort compile order +nosort diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb new file mode 100644 index 0000000000000000000000000000000000000000..69071c5714dc58b42ac3a2f73ee62acdd9979c04 GIT binary patch literal 1474 zcmd<`=3-MVR!}YG5@3;HHZe5N;w;Y0EzL<R$uBYz;t~kUEK5wuR|tlSadNSj85tOv z=ov<_n;7dFTbi3^Fch#<uuNcN5Mbh(#mL1BHVoOETCQs6{DR7&%=C;B1w%^<GhHJC zBO`@~%$&@;3LOQ{yktEE$DAC6AdrG$g`m{p)S|M~6g@74E|3P0E)xaEl(NLU<kS=e z-^}Eqd<B=(vdrYvVmziGJMR(`7f(=WUS4Kix)sdR#>U3Tj-AWIHH%5Vw75t=BR@A) zKQ*toB*{?U*VR=Y;$HnSaF9U4Kpzr5`cPg{W}bd&UU^YsK|yMYeokJ6nTcM$eno0d zVv>TcZf0I`k%F#nN@`MRx<W~1L1uDdj)JakQEE<Ng@UebZi#}0g07E3MP^QXN@`kS zX--K_W)euGG%vF_Gq*UtEVT&A%}q=$%7^ibQ<F=JQZoxcauo%+3c9+*d5HzZ8Tlm& zB}wsVnMI*_nI-W_sTql7Xol+{<k4l)bCPltbaV346+o`fFG?&))dTS$v2J2wi5#p> zT;id{nR)37s>KS$If-Sd3MCmusfj6&RAp>#jvT<uT#Ob_Q!I^9O_2#MNh~S>8B|=7 zSdy6xw>vXG51c@aO^lF?f|_D#fozI9mtsI-aj`;3Mp1rgdWM2ueqL~97068gf|AVK z%&OEPuu*0frszhQm>Q!b=9i3IE{Vw{rHMHTNtq=IIjMQ+B^e+;revn2r4|({q!s1o zDx~EX<zlEv%P&$W$S*2UP**Kh7hscOF*36-)Z(rv&de=KEy_&GOg3WSVlp-ZktP;K z%v_8CMloCw;51jPpJQZ_mzkVjqF<0$lA&LoS)8F?QlMLwk&>gEo0_U0>Z+TUn41a` zOUukDDN5B%Eh$aOOw23MFD^>f&q&G9&o4+VN-W7NO9jQfUYP)+5jz*NiII^2lLey# zV-&lgfv&NErC|m`0b2zdB<s@Fx6E9O#vpH+fPC!iPh-z=aIqMgm|NiStuvRbbAE0? zPHIVNiUN+*W@Ld}970l?v85SuYRl*H^vhRp&PdElPgTgwQ}A%{(Nplr%*zHPxPqe8 zvdsL_;+#r_^wd01grue@<R@h*q-Ex$7AutGD<l`CCYGcsWTzJ8rRE5*N->*ST41C~ dV<S*DVXa_=#1kJEmup^%LQraQeo=}5BLKo!x|#q0 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt new file mode 100644 index 0000000..f3cebc9 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "audioProc_behav" "xil_defaultlib.audioProc" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..a60d81057c63319b79c361af990a24062e253d5c GIT binary patch literal 809360 zcmb<-^>JfjWMqH=Mg}_u1P><4z%U_<2hMQ-vm6+B7#JBm8sA7TGB9{_?okl{(_2(H z!1NRqkU1XREnxbXLj((q-R%+3*`xA<fq|hLLN&i+<e$=_@|}T!p=%15YPrNe^-!me zib+R^iZOqyG9v@SYX+EpkLCjj9-S>JFBllWVi39qY>!8Gpod4NfJe7yfJdhX2S^J@ zy4#?03)n`G0N7p42Vy{GJOH@@AqC=g8gxShI=86YL9(K|fTeSb$^?i85Y@RwWe$ow zPv;hu9*8`M>fE9-1;lFs8`Iqb=JBsT*gXZTkAM9|%?l3v^Nw}8sOUI!l&EO)_cbtq zJc{Dg2$(ZLP6xTKi=(4Q<v#;RVOI;7;n8>m6bFYvDxjWo0O<nx93%#z89+iH13*Gh zWgZ~03s6r$#e6_wCs4&gKw<}=Vj%V1;GhMm>K4$v=)gb!Sf`JQ3dk4A{QWWT=mKf! z=4gKTpMMG{I%PUSRHXS^THx{!qdI%QA%yO(9Z>tA?gG1E1FBd6$XzQ?#lY@g02Kq7 z(QN@T86?te0Ahj6=oaXPSPBXoP&$OgG)RLsM`sT>in<{bOcVclki-m7oc5@IsDlp~ zIw8>tjos#hAOTR~0O<iateXR(2$UeYd%(#DqNoGvLQsr!_o#rlohKbTPxvq%aOvW3 z>F7~uXJBA(>4MVGIDg6h@Be?0*|3mhgoLa}M~I4Wi3B9&fTIQERFJ1$E`{nq3G0{r zP*GI1l~7S|R%Y$cGY2OckWP@D(1-x1NRU2|7>MTI#?kx|<Z+NEpnie)8Wc#`kg($H z>``fe`Wb2vIFW)p0x}3fgY5&w0Z0g{44hFxk%lA&PPm}(MG^xi5KuHDi3NCcx~Nnj z3HX2n3P1uN-Jqo5qEY}!7a*<O0w5`nW!)U$q=DdqSRg&x9GyNYIo&=gIUo^`CQ!QY zQOW4`QON*#+eam(+eak@6wN*=2_D@pDix4a;G+`L?W2+ak%$242KltxM<oI+=0PPP z|F#}*Q3wiIAC-`9AC(Y@;Q`%{fMoVj3F!1u@#*$a@qx&Abo;1yKumW5nGTA#ZXXpF zG}A%p3M35;-Uv{LSilk*$hj6S9pLN@RRAt*LCF-P2}E~$u%H&;(CmVc=jntbb!du* zW)hG*)J$-kg4_oZ1JT{E^a{<F-H<{ORJKe3l`TA=vW1(!<-xE2|6en7L&{X<7I2x` z3E@EnJ-T~TKqhuFzHI#U|NjJ3;L%y5^24LEMCF4=XNbxRkau4kb8un+F@AWk-UKIk z7nK_z{tJ)h0}i0jxd7r_fF^Svl{4KwDrX=;ej?jP<pgJ^kIE5{5|9Q*kcJ%~-VV5i zE!{pUTOb-Xbo;0rVfInk(CMSHrrSql4MccFw~xvSNYpI>>AlhIqq3y)WalXl#tRNz z1|ZKb28n}ga01yd!=bB01uQhfp~C=03hee9P+iYoGjzGAJU<Kz1p@xp(g$Vvk?a5J zk?DU&So%Lhs{gxvR8ByW@DWhTh9uzwp!5hz!Us^3@P3duC<%iz$p%mo1`BOKO2S|% z+)3D@@y!l!`*M%U1~9!vWd)d?qOyRIf#G<IN&vL^3MyGZLfsw-ojockjNs-bi28rB zvqvQX!Us{UC;4X{>IhLu=I{K(0%}$rZ&3j?VL+|iZV0opMI{8JVGo!BR}7skDn1|~ zh=tvIz&yquoe;i9at~OkM`w?U1IT^dd%!eUE2z<80Tygg0n?o=Dh6Qw9x&ZK1+3Tb z8$@+?57=wng$bQoRCGXgLa6`eI=853K=>f4^_wIA%mbjpA;P7@MJ1fSGYaM%6=;}r zx2S-aoh>RdVEdpZJ0^6tsDPS~-4N>kiOv=k5s=9cs<TC<0TQ^NrW+_+j<=}vFfuUw z|NsAgH$=_<1N<`&b-JhobcCq*^LL8DtnPqX4T=tsA)w+JBnAqZ86Zb=wx~=1(aBIp zb+$ly5Z!ygG$af<A>9a&A>bMW8YUi{js+gwd!SAKE#T1Xo&xq9L?29D_Y{aF-CI;3 zmTm;MMLGj3K<)u0lVgpb)(ZoS>(O`+)MNv>5*$TPgIu~D6I?o5z{$y_8$vt&KLHW~ zB_)s$nC@&*DS(7n3Dp0`TU2VGAqG?B`2T<-|ICA+7;|vxbWyQ!>F`mp=I{Ip?gbog zQK^9H1$i6h3XriLog5%{f}~-+9FP}0SV653Q0juDCRnP1#Ii?sU<J5q)CuuAq;~{T z3GN+%at6pAh(X|v4K&cZw}8D1k_3f4xPJsv-MIyvi$LOFQ^5Tra4OmYPE63$ijW8O zkHD#F3pjDXQf~{`nC>2MT88wGK&c$Le`Erx0FC+kzQKDA5NCp-4CG&syFm7U=q`?y zll)T;cKE0m@wf7`gEBOvSq#?Fe4qebgGV>G)%gM1IE5+$H%>u`2xKmV#utuYU96Ch zZBYTWl0f=Ebhp95XAGTNz(q<ogn|e}KvO-K?rZ^<51_;hDlb45f?ah3?5aH~5PFLW z$d#b<1JdNudBCId5JYKr4@604k4gr}+)fu2jqW|*!Vs*Wdk=E1Xn`ndJOV094;voP zhQvYkf&ZN?Dj=h~Ayo4LMpS`LNO}13$*=$a!P-Ct4@8>+q`Ux85N#k<cZ&*$>V%X# zFKd27wSkgB_JRKpg`nc#<sFz5DF48vKuP@N-#?H(4>*jQ4}d#;py2~h2t#OaP=SI5 zBm`E*3QNHt-y=jJc^VNx5VfFg3Mf@T0=WfT+<LHrI`1G+P-7Bd0=RS6*`fmK_<%&g zQ4H>3f<z!a36MFEo&?Btq@DzX3)d!~d9kxc<p`uh38FwU5Y7DSPj&V{(hP*^>`?)A zk-8yNXOGGraLQ-_w{^j-XK-f%BHr1fvIQy)3YBgM*Rk`2TNe)lsBgI$+P4JJjtwuF z9Qmgl0+l}ME*&8%YWyu8;EdMQq5@K&!^S`5V26u}YKM=C3V#bIbG~MP$uUFZlskM> zl=xfR!9^ELjvXSW*x{q1z~7<`mP5*mtk|;eF$P9tC9o0=l(Rvh1EL}Q4^R=*4XM~W zd%*P~LLSur0GC8Pkdg>ewt(az5e`cnkkr!x9vT9NAt>>6Lt6(^z=pzmATppbNSeQ; z?l-gt0#eJ|0xq>WA^E2pLV?O=kjb5lFXexuBwcXX1L{PAoC2Z2Nf+$PZdk&DxelBx zVWQ0kDnN-5ocv&7tSz8O?rZ^%9)OI5_zqSIfoYi9<^wgLiU!;l1{nhB`c^;^EGQx% z2^M50Qi6qWK}Li0fV;jW-99QMAQ6xzP}jEyQer?TNL#R=+ef7U(iQ~wl0h!%29Jb5 z`pG#ExfGBwAisn9%dlSRF$SiWzy4wd8z|;M>LD~Z*g$~{5`uUe7Hpst4;O_78z|$! z#o)mP%DV_rkRw4s49b9TQE;$9G8sq=WDY3gAR>^!1DOH|Jdn*ufd}Ejw1G#JkP<CO z9HbQzgrEjzHzcQnf)J8!yCD=PuzJ8%CP)v+d62M#7agF|6ru=JM1T~5LIze;Ku491 zF)+Vegj|xcf{TbwNa}}a0;OGWaAIvOfQ<!>rGoqc(gIe>3K}l~g&f$!h}Hs#i><W) zHU&>>0U}R+Ye58*Q-$l;Uo*U9`GJy<GC-~b#Ub47(Dnc*B0ypg8l1R5;S3T&^&(1T z08Lz=f*+w0mM0<E8<wYGi3^n4;cB6Y3zW$~Vjy!Mi3=nGNn9XPAc+fPGg9J$aADfO zi3?PNbVCvaNF1aUN8$n{6KL{)q#Iae0&*TKaUs<w5Jlkh(hV7Scj*F;y@LV?TDySq z?@1rV6D}QK8Az~!wLsgEAUA<BFXhEIa(V-q3QA~%if`Be(#yZZ6yG2dI~ia0{Y4)@ z!ajcD$O!7${_tSEIt2R9pg04~*ML%xN9PtuOQv%QxOoAodO^tpH1=iqZ5OBq;?a2= zlxG-p7$NmJZ-<Wx4}S|NeZ6Kl=Axnt8e-Cg$#Qr2sBo3Yf@SnTGI|{@D*E7ID1DE{ zBOu!k8-DZXbx|<@RY>3gSFnZMJ}Lqpos6Ie1@pm!ZxEY3dP7tUT)G%Q{aSE`5A61C zh}*$#>u^!wZ>Uk>XX2l7K!vfxMTM`+MTM`!MMdvr<^TWxLDp4(tWy9LaA4!RLsS$z zI=K*h&j62ZNGNm{MRb1a{N%xS(V@%Ip<|B<C@X-P=E&B<n%*5QD)J3AD)P)Qo8`J( zROC8bRCHfH{fC}>CZMGsP<XqjfQCfC1C8Ku4-n4<<W}&o;|<VMi;Kzy&^!zOd>57T zpiz_a4lUmt_@{yfM)!aUyWOQq2$R5i!6x1428~redCk!6qVnW0D2am12HON4qB#JS zzXux~oIs)b=Fr}Kjc-8R1yK40k0x|(0r!$UI;X%o+%}*j3u+g2L;B#5@gEmZ@1#cs zL_z9TP%jI4P^Pm5GJ4RW0y2OZ!s~?aJd&qCdS{(ezyma(qyXyjf>R!-N&)qPK<O4t zcS8C+AVr|QPd8))sPly3H&~JZr87`JzS|2lGzA)p>Fxn{&mc|%wOnCJJi4nQK%NDS zYI$@AC4f8%>Y#z-!D5|Lz};sMA1vNE1=6=mo&s+Ag4Ba&bGl&@v@R+RaCd{JYP(?r zE|4Jf=yb{exg8=09btkrL^@BP`@o|cItb8NQ~+W_`kqDLtOo8BfxPJfZ*YObryJ5{ z24y_3Y<FM;cwE9W0XCQcQU)HB07VxlT*2z#y=!o&ZUM(7ND{;aH#0!0JGX!X5+n{b z1>DT|1L|RIQ2|k%TU1y;{bQ&jx(h(f3~+-3KIsdtqacG(-96x;CCH!zNFTOA2`O-2 z31lPKnau~ltqhQ>Kw=OY+@gSlC?r*aidRs|0YwE!8HDZuCt{E8zye5!RKP+6YBIPB z0BNH@`mnIU1#oKK0#2lm!3FSeQ8FYIgX{q%S5T~iXpmiC2Z73BkRXJH%-n+p7eJa_ zun#VPI)<RK2{gC>$|zv7y7z$78ki083|LX)5l}`2PfCMxD(gLP-3Uu;@L&LU=Rx%? zNGF5_I}T(TNC@Kf7Y!g^z`PC-I{==Egg72<Tnb1Xq@0F}foHHltt*6ikm-L&MbH8s zI)SvjKq8Qq7sw1q%L`;HQp*d%1sMgh8dNSrd*j_bsGV{FNGBc~H_$?$2V9kOLnx$P zI>>S077VCq18Y$AsH}mOW1z6;hH#NO@v9ja7(mm6AiA?hWeF&iL#WOkaAAfd32xZ+ zsDLQL|NA%?7#P4+JIG+r^fxHRp|g0<N$<`c@Dw-5o1k>t4dEi$J{>xQ0HR%6PCD{W zJqR8_;BS4+j2Lu-M7@mxXj-WSJQf5p5gLEss0W!25`)l~Q4i1dpl}B%gleXL<Qsx# z-a*a))ya@l18#VO3Z8CA<pOdbDCIyJ-bhgoDcV6rP<IcwB!aZ5K}8Qp4<rFV6oDG! zu%$8J1qG1i2c+Q*OFPhB9dxY#C<(w~8#H4K8SsH7B#5b?D26HN>`{RxG!TV7IY4xQ zI@Qn=0dX*>YXWjGs9b|43Q)@*Y25-SL4Z_1;|W|Eg2oC!Vi1}ERDys<BEV{(G3=rO zo|gv;fxBfcDj6U>Pyz7pMFNrlxRL=+qeE4cfQ$_QHFGjRnb}1p0F;wmR1!eh7&Off zo6-lf!Ro-#2g+s8+yxR$fW#fBAJ^TZ0-_*6ft0@>K>^B4APo_a9usIT9+JaA(mpC4 zpgDC&V1SkY_^5cm0}3*~4t6%YZUhA=$af$b(nUf_R-HZYY6~I{8sS1pOr4O53Zx%w zYBQv~gybnmkqa(fklGsEQ@}>T$F~$f4M}<a7UQ4L4iZQ$a|^fvgiaWBw?He`9`Mvm zC*#X2KmPyUz_@~uztsRdx`%K8WVj0CSdbWqhJ+HNAcQuGAOQ<XncaK9B^J0m>TH3m zzJWBpm?1o*rWi;)s9gi9%Rto^hz4r}75&Iw2Js;^${rOE-3=)gJ5THbwGlDeGR=oF zK*oZM0yU=~v=B%Ll;=T0kgz>e0TKfh{va_B-OUB@2`F<wGX+EdlzKo;gcty+VWEkt z^Asq#3V>{30GR_d8|(y7aDl`iG}s9ce?gmQ&4(O7P5}7{qzpoDfi@oM3OqWkJi5y& zJUWXwK+;f?!L9~b2NDC(Fjr%gQs4kio&t^$kUgLP2KfR^ce<!R^HC?H4FZxu^B>3n z)W#xMLFW_|aMPfZMdjt5?~suhNCoj6+BSfc4|~7^n)^agYN?kCK%H@q(e^)hxD^zK zqwRn2NE0ZNjJE$77`g{}``;DX2!xbm@Wwf~5eO;4TEL|=bUqeTLV>CdNa+Qs&%mV! zv|sPhJlg&rD((Np(5MGxRYaUZW^!T40YZ<q{~?{o(e^*6bu`-khjuGR+yA5Of6#81 z;oAQ9gH{m4wEw}w;{^8`6@c4@ps`#J=rk>A8w1v1#@H_eQU)G;eghqRgPKtS>P><g zAl+M3U{WA1xakQJK-w<^HUm8L3>pjS-U42v)42sa&IqdiAo8H0=QE%|yDcgps&k9V z6%Y@)n!N|igA6@``k2T=&ydN?x^U2>52T0g0Uj}db;uy}F$VBt8r1#ZwI?74gB$>& ziQh;B8WaWTg3#b98a5;aH5?o`ps^&7GN>2{do)_$V~tR~;7vTBB_|;BKogCi0i71` z_8HKaP78P-6Ev*T0vX7H_DH*7T(~yK5-ZTMukIf3<}8p5XyB$BIywtVanL<0oju^u z9$5bzvdsdd9^9=5O{LX9_g-}RKsJ?tLZRD7r2;-I>Z4K)(g5`Zcxy!lXox5S+^BT{ zZ3k%qkEnPw9{>+mfyb|)$`e3UUI3E*9FTwql0XJXzyV1h1teervLOHzLM|#6px|*) z@c@O5i%JB1*c!}+r~?IwkBUjRkBSLMzylHzJ}L&?J}L&CJ}NrhJ}Nq$J}Md@SqJbG zp-Q)pibki8iULR|q1#7A0m;YmE?qt<<t|+zD)O!x7x|~UsK|jha;`0x9Qmh$mz8mW zmX&exx1I-YTYHVVnGbVP1H8Wkl%=|Rz*(&my120$lCHZwK%-yaoYe!#S&+Pf6fWTO z2uZFj;E8SU=oe@|6k>k~C{w{lzgR${U(Eb1YyLn-zd&l4Tflh`bAJiQ<W9zyfzahN z(D*9=MTP(<hy;#-3Tt>w<IZ|78~&h95fi<ia<qJ7AU2S}ixojTCUI?)1Vtw(@j&R& z@{M7%d>bv_2$XNcH7&4}Z=f~Zpd}69ft}7RkmU-{Wemq5bA+HRCZG%nT4D=p*?<S1 zyIa5uFuFNln|8waJEy_6nt&E2gLHPcfLHDwe83D{ciz1RyzK=vqQroh$^Z>UK$pdX z_w9iApnW@_9WtPOAIQrlz*<2KK*%UX3wZtm#0MAs&>bk<Q^3|7e8v2UUw{?llFn-o zjj)Bm&_)_;(ho#;3$$JW?b`t@Z3^S>WPy3+3rIhtIS67xJOio|LF*<U;c~D5<V;Xg z?syA$>K~*9Bn=Yk2Cdu&%^)3bfox3zw`P05TNAn&(RS;c1kczWZvhX_Lrj5~2=XF$ zn7JDw2jYX5nIyM>Yi1B1RF8t@06;XvyPc5bv7i_P)zTnIu!}q0D?n_B7<@7W<SEq2 z0<hxlE#Tb?9-W)OYbU$6K*qg0H-TDgpy6+@D(IXIBym7i=X~N9V1mhi;uma!G$SF) zWR5j~+H#DbiW=OE0-J%cZ3Zj~jVoA$gKRhs3L0>~0wfBV1O|zBdVmi%05Oj{@POx* zpo?m{IsP96?ThkJvFQi_?d@S;1I>+tLI$E5G!qXt5yS;IQb1h+kUZEC@NEpBbk^Mi z?k9jGLEKIbs6b~AWDOrIbAX#q&`D$v1>Iu+(g(H$+<XEzUE%8xKs8@CWK#olT_~jE z0a{Xu-0^^I#MusC^8$7zw1)+9704C{4X#H)NgN~uRR-Qw14^DCF$fJ=ZST=N5gg5+ zvl}|WF$_Jv0jv?eQvi~5Aju21_63yfyCGZR4nAXs?ke&~ZUL{%2PIIf85z=9*#quA zfL1Pn912?d0$MeMw)O?A6uP7WlyX38UqFQgII(x{0cQj-8{{d_)R#x&5l|rqUi$(L zanSyF$b=xsc<5HA=7Wr#kc|mY0q8b{mp0H%10W}XPETNfXa#o~d%#2F5Uof8ojod` zQsU(~s8&$W!n8sZgC^cyszMvAkU#}z45annU{PpPf(!t82SS6R5*GGgW$=Uv4jfqd z2A#=oQ2}+?K-wW{LESG<M1cBT2vJBZBf5SNwcr_3(4IhWc?B^E)U_d_^9P>X2ic9* z^@GgsgOd$p<H*5>%$<-U7@$2t&;))DxHAZHE~v-=O<sc(ff6aSg9uXOqf!G>0g9<l z`~u*N3<{=hAC(%|WdA3AL9jT)d$0x3paKM>6GZz!gU`3yBf_VX!?)WZ!Kc#!yagX5 zjipoo2Rd|FHM9@_83xLC$fW`(^Mk~}rhrQYP?$sg*a^w}2zgMa3KaTK?{)To`^()e z;6+W)AcT|(pt1wGRDd4*(dUL*DzJhA4ixVocY*8y(TMGNO8l*D;Ccr#iUA29Y+9h> z7!U>EGz9fJ%uL8~2~fca4Gg3b4`e5#!w3ou=n^gHW-5?=h^e4uE+ChJA`&DDqQT(~ zUY7$YU%RJ(w`#$=jIa$s-(W`)fYdTWx|`4qV$dQLR40Q>>|}g7{TF1~8yqFjVxjpU zxG@DPDLOqkUcUPYQG(rNU{UBMI7sG%bc(?P43L5m<N>fU_{I!ydV!`g*t{7^7HvKN zUN;90T!cFCx;b!+AjH5YH-JlyZi+G|bln^@KY=Kavq27kj7LCnW;cX7_>ig7N2Law zt)N3MAZLLxB}k$KGzQb@16e-=&3^@sohO{Sc|ctm@D?Oc_;+)3hp6OeUgV$RqLK|7 zwaA7pT<i2v5dke;6XtKdj50(42}W3^1*IjBD?v22GzZET2zgL`Ld|cWvIry(F%=vs zNX0M2g{W%@yQhE`48qeKWZ;j#Wd>}R0+gtkTfjNB6Pi;Y6jGYAL@D(_0SBtZz$cR= z9AjX3>HP<^E3@MutkLArcnBQ9om0RY<GvkaEcJ%9XhF^gIrJ010LLf(xD%iF1$|V& zgIEwxK{P^=<R^Xs7l<4vp@Pc6m%<>Y>`!7~U=U(pU;tN|ua_WJpHG<J7#8f&_(p>T za-@_3nBJly0j8&@2(U1Kw)lhA8iAT(Ajg1&AWOVsn7~{7K@@n4e*}aNqF@R;J3s{- zjOPJwRs=9X#%n-^AyzAUKt(|`xG-RVSPyEWgRDQ^qQb)h*#r+V5~2*&-t*}QQSs*Q zY-a_v_l~!yaIk>1K&*jS*P{Y54;1JiIgic&4)DJ27O*cp;Dsm1Sdj6kZgYUT4Mc-S zt3NP<gXRk}#G2zRDu0*}Zi6WMf6Re@=JC!F6&Ht&8Wm^$PIj2<en7Q>EP`0rqXIG! z<T{WXhU>uL2->ua;*QpDAPYc-JMnj(fOTbTm>_QIZcza-J6lvtKvA;?Oo7utXN!ss zNT@{x6t&%Zz&voL48#X@%0N0my&VOxeS1_uG*~OB)Rq7Xwy1#V&K4B`Fn<r2?w$g+ z2I(*ba6mR5g!rIGg#)Y@LU$V?4pFcG^~lWmJFQ{fU_p2T#O!QQ`2$`_-UEp+goz)Z z!XO&l4Z8q|n=8;jKHj2o2Oc*)DiCF`9+^Q$h>AXc=YMd`c)UgB22>L$W<chE{QH0z zl8!)fApQ#wKN;#C(8*|E2kcRK!3fP`AVm+rf-Nc#dJn|Wo$ZinU=LJy3pflxD^wX7 z7*IQ9;9%?Cq5`(Oa}&7q>fWLPw!3o^r09d>P>;q#;PSk4iV7&*fzl9&cIg6l%RuJ1 zbTol_9H3%I0pu}|m<KDU{sg%cq!=Lzi6>~}cDI0yM0CVJQ4Z;dfpkGTVjw0`M+~9{ zmN6lRkad0&0A);&H4xSCju?mw&h4Or1SAh}9H=J*avUgafi`M_Qw=1kfty?))tx;m zu+j!>3b@I21+w!5M1c-EgT?|x9#lnKfXIU=&^R}U*8(;Mw56YsfdSIwx&vk*PK<-> zapdp&3U6{joC%Ij&}kk}_ksKlZ5n`F4)+gub5#p8@xy3nO75Nrj$Ck83>>e}t{B7y zh)4@K*@BD%Ctv6p2cYcTy#<`hq1zBZ&H!yg0MVe0F(A4dE&IY!B!u1q&bFYgm<1C9 z16o%MtORs?3&<SMO2qCx;35ND6m;(a=SVOc<gx^iqQ)bj$|Tw`?y%tjP@M=W-Lx6u z2MmCU-j^BR(+oheplCso1r>cSUtr3DHVZ+@E07x@rx<`(-7P903N%;E#K7>98+@h# z$Xrk%12z}ba)sz*U}9i^=mfDq=Oi*QfJUc4Wy{MMaGjvw1?z;U1!eh{A3(jl-WC-H zP`>Z&QRx8D-BVP+)n((M6NkhZK+85kmV(MmkP#q9fa9-w3MA$rJKrEW4nlPFKnej+ z+ZCEhAv$_gPJsB3t$AP}R!Ht`Q8@sT=!VeX6Q{PQG=Logt;L`XRnRdLpcsMB;NB$o z^qy|0GFDjb0-xmr7X`JRKzR<lmlQ4vJC^}`kPBQC*6;wIB?A`)x41wX<e}~anFQ%g zf;Ojs=E_>Y2f~1+%UZxE3BhK}dZ$2w7u3oH<w<aN45S_0s|1~c1L`M1jRiRc(yIjL zfF4K=0G|#BTHo5;13o_o)M4xap8^943y?!W4Oq~@d7#mPZio~_5$MzykRnhhb@!-% zxUl{tbj5!$Bjj{IFx}Ck(g+UrJ&-g2DyCs&e@BZ-5hDZWyao`}(V}t!tP)yOL&wj+ z`?k8FTgSS=3y#6<xo)Vk#zT-8ngSVwLkL4!lT#o=bqHb5T6s_s1dTd?%71XGX*>jK z-h+feD~^$bA-%6DkoCsh5W~8yKng&kDcwdO7RaP-Ay8KtH2&y_6iuMik0cIaf`%*| z!4YKwin|^a5CxI|nc@hEDNsfQEeK~~fR3+#`d{5W;PGWhB!ET;K$<|Vb%aF~bN~k= z2T=tYE(WOrg;#eEcmx;}Ri}IzFF1BFbhM~|PA~0(P#rBQprKaKASgKJb#DQez~B<A zqeVptoSfi64k^p0fcI8`f&jem0TMZoAuYH{Smc0ul^~VS;D!|AQy>%0h{yr;89=cB zYR4m~h7{~mzynN3!jR6-6zFlQ5W^sm19CPbazG|QA_wFGSmgNhwy6AIVqoxr<|Uur zInbhN4tV^$o7<y%4%l(p+?_otPe7yH5DFv?GLwHjNCMRM>+S(J;~*nppzcIBWGEXF z2cXGXkRI@e7&k-_XgC@=*$YtwT3pcu3*<TA<7&Gh6UU(VI_Jaqxq}&G2smaM4@1ht zIp7A7Pv;zPt>n`^2hwf=(IB67N`r(zIy@mdKq^7yu1_bVob`bQJ4hL*9QN%L1_?oQ zfJ<tSN>H`t11)z!X$cg6AZ4I(*SC`iCgj<8095dTRDud#kT8gbBr^+U1_qD_NUvw} zfdG&yP<aay1JS<S3?Ly;i2@P=D{DLeN;x25P{E5N3@Uj+!k_{VNf=c0f`mck9g;Ap z>;(yfiZmo)P~i&_1{Jj+VUS^t-4-AwNXW6<0K^2D<=8C%VuBn2OFSOEQy_^4S^ztC zbAXh9&CmuN771!_K^tNq39vDcoQ+WigW6u8sAgnffFuP_V+*7S<Y0KthL-6dIfyDy z(G5}s3NmOB4oWGAoDC{_yINF0R7Z=7CAeJ0QUZg#vVn;KR2G1W;4Vmk+|dH9Dz`w& z?8ak|6t@LsLJXb};Tvtm;6jauK&b(glR-ryNEk$SF?F=4d;m3lph?dITE>G4MUWy0 z4KCwB#UV%tlo&h^Wjv_BLlTCS@u0#ONf=hfLy9GMSp+NN(TXTo84oHTK!$(}gA^km z5lArtG6_<QfLwr_5<tNRiVCPWhzT+PQpSVMRfE=^APJBuxXO5FMGK-JaSW<iL7G6W zg{K5iZ2_%yA*w)C14tDpyr5MCYDz#Y<3EB!ACVG3wJWHwWB{cEP@@f6)<a99juwpC z8&rhAb!0%&&SlW~*exm`3SNt2q#e-E6evZ2N>5NQgJTwYptVOQBJDIE3IHhs6__Ap z5E`5&K-PkUz&a6W0^B)+rKrY3paKG905}CAgh2%aNElp~AcR2$1V|WMAt8i81q4VK zT+zYKUI5qoAQ4EK0GR|y6CfAB(gY|npnMP$<O)by0M*OTK|_!PNH;VsVALYenjAzy zVi{JGgIozu3(#5#BnMFis(e63gTe_~38AKi<&by+Q5`KRD?rr*v_0zCd>{i<Ac8U! z$OsS(EtWwc1QG&;x+kJo1_d*cFh~Q)08sZDNf?w9eLx2tBZ+~sAxNwMNeq++L1Gyo zF_0PH(%3~M16&lls8m49VUVq`kOn1LPyz&Lfr^8eVBO$y7*zK{>scSrS;x@e29M|T zfCq9=>SIu~3<*e3eGJl301a!<xyg|F7$onbk^r&?6fU4claYhiMJ2w=MJ2wYMP(&g zMgygOP`rRM8mL{^;i3}P<)RXYrQrqY8H3e!xTwS;C3sL;0-X&U&;=F`Q3>c^0yV`! zF@qSR0O<yaf@sK4Fj9Sl)X#^=gZlYMl@PR_57G}Y6;um@Iy&$!I;e{Xs^mb!3EhxU zh3+XTpg{omP%v!88p8+ZiZzg0<`$J3pphHs2m*ux_x(VfOU9QA-$UjWz|KOQegJiS zLDLUE-XX6@^JspP0XnlJ0d!_^L}v*2%xMqMX_XG3g>DHRtOcT=v04`u@G8WF?v4gg z28Py?{8K<js_K{MfhKoA>mFGzh=2yIN>n1cLsUQ~RXb>dPpf8xoh}0!42tmRu2He* zc2V*0=nhed==M=@&^-A6VCOM#M0L8Tm~^|Sm^8m&bmX6M0CdD5XpBz1BnHJ2&?(pu z?IkJ>n&<u>GyLz^`LnYIbll7F&Jq=w&U2s@j4s_SDl#y04Z2-a3|bFB)+>gnNSAVg z7b!L$$vA9y65^;5n4?@&9JF0jJem(MI(8la8(?_AvGV}P$^?(bLm+>4gB91PM06t* zgF;cjqw_jQoj~V7utpFYrpKcj6gHrxn?5QIAS)9*8jru2DaHWO$l=j>z4H(#w?J09 zzKs75i6z4E(;$W#KNG~T$Ik;$Jc8Xo!}tMNGQjZzb^?j<1JZ*vemE$P9}fxC_=%9f z9zQF@>5zXwmJD$GfSo{M{DAaejh}#J6z3lfN!0j}ki;H88K8Kib^ZZaGQjZzb^?j< z1JZ*vehQXS96u6LsPUsAg*|>MK=DZH_yJim!0`ii0*Ubh(t|aAHY}z%el(;}<Htf8 zd;D~O;*r+z1F~d*;|J^n65|J?2W$LHSVD39SjeEpkB1B*e$<iLZ!<vgNagrZ0JY!b zk=kz{OK`@IghwZ+{U!o#ze#}FZzAAURHusyTKi3yg7zEO2{_^h)C>c)**IYBw_Z`u z)HbNQpaBvGwdFwiQQ`{JYSRF>-yC<c!B#!IjDs}bJR0ACI(4A+(4ejk=sZSHFROD3 z__7?(I($%D8+<ShSf2F<G`hfBV?jdQ9UQWV7>h132Q`KvtK~dcBS5OVw}8*n=nhe- z0G-RL?W0lwT5<$E)e+S109grYa%O-!aG<^rNC2c3we_6>QBwli{4@n@rr}9P(AXkm zB}XUds2vxT6iEC0V5f^p2xw7Fa7ik<lR)cr;MSC=6d<?KeIT*y0gh!KP%L}EVmYSU zMI{E&N_Q{i0k_f{kAQ*@yxI~JAYB~=+>#6ppi9LLb@-^bb%dz6^0y#PX@jWwfvg6! zJ;|BBMH4=m3Q^O*gJh0VM~I3ee+y{X3E`ct4h~)<H4YsiD)#&>?qG8u9fyo=q~Pjy zQ7O=NQK`V}J4^xZZS!b61d1_;7RV_mNLoN00Rw0c!T^>6K{p$KmP$f&f>yGE;|_A- z5csT2kY79+j~h&f6cv!92u_sXaDBP!-~a#JE-DGlFF@yecDksjboi(!mw=AXJqx`L z3Ty=H2QEnlSa0q1V-zhi9X=}3U@iMlv{Z28)FRU1qaqB}vK~bX0}oCuJRLqN++ZyW zP_%TQXhB|1G=b#&RiO+i4w3R#hcY66`J?8q8%m%?`GDmw4^aMcN6lX#C*jLq4v_q1 z1I}L#p!{V6P6wSXDrou38dv^$p^cR0tvW(fEcshNqpryLV#Y0TL>{#02vITTZ&3&5 z3(&9!C_!~~DBMO;W7ZL(V#?oQhNfl(vKq*JfGw_IHRR<laPWY$B-Z=|${nDRTmzQB z5G6eLcvz6bJsJ;zOhM0Upez*tE$3O`<@_Gpx$8ViBF@mpneGv}>i~)tiCdtFe#jBI zXc-cbyEdX|S%6y$B6lr9(V}r1*%p-CHDLnb@dpW2NQQ!q4{4|(auf3SP=yL8D}ly9 zXgK}=vIJ-QLIIL9Xgodyb^?y}1+MWSkRFsa1*jqhjSn#_fE4PWh6$PDLkw!D@gtyy zJ$@2E@kr(Np9ZM?hdh1<vSfhc2kZnA;|HV%Yy7+*B7P!3^?Cqkd==D=bm;U^u>iIC zz?ZrOc(A^J#t(Qqc0hN>52W~!hqccGJXk$I>OcdJ-61L#psn26J}MR{?L6?XYK@9U zH+ZlXlFJNGTX-tnE-EUJ_8Vw?RRR=0;;{BP)RJx=&{!`>dx?qxa?4Esl7D!>HH!eK zX5oR=EDGH&Dhh~}8#lat9sxd<I-siqrJU#L2vOnWZvjojfa(+%l>iS`hkJ-(2sHE@ z;KBOfIYJ0h8)$TwKph9N-oQoMMa9Cg`2Zu>9fqJYGoe~Rp#@iqEwsA9mV%UlA{JC7 zfCCkj@d7*=k6%~_%6K*^9-YU1x>>-BBN$$4fleBSXhbeFUs^%h`k<oIqxlVi_*T$> z<VRS18)zW%BXWE<s6)z2xYKA6-yll{D84^n#`lC5WXCtyBe1lAJHA26u*Ua+MYM|V zh$2q#d8n}X&M4x9&!32wK<7^aJXm)Wax$PejSBHC0LtI|C9uhk2<T)7A{T+CML>B7 zsl(!dXc0+((q4c^;~|9GKqpZ6s2G6SLK4un5NO#nIRCw#MNE64K^v0(VeN$p+KBXz z++KK~1xf!jolgQ;GQjl_*a;-oM<6|*v;vxc0?)O9iY-ukL1Q^68P}*#&|b*UL5-gZ z9qjRQ0u+z5ZZCi=8Q}N<JAuUb0qMaSKN&v>#}9$}BS04tKd|y7K^GA}$o0ntP(0GQ zy#TU=7WD^3bwAiqgzEy3GEgLe3OSUzUtk3&lF;gY*mxen`u&C;YW%#=!yZ2tAa%5k zACM)qi608;YOtdS#}7yu*7yndg*Sc(tY>gAfW!~1{s}NZ#1Ha#_yT?E*FPBJ;UG(J zmT&YK4+lE|NBIV-MnKsE-*`AkKPZ~ubrGm-02*fLJmk0&-Zp@bhZ9_H!eEFRKLUn` z_(5(TB!J?P*7Xm_5}ffvpYa5+6L7>2uJHtr9+WtNw-4rnvJOS<g9B*sa{)*ED1g+_ zI(|Tw3~>B_oj_vzfb?LEpMZH3$4`S1YW|sEgvdY0<=X=q#1BUK2C@Wa{LrU-13Ljn z{18*Vf%K!q6`}GCZ~F~Y8F!xY=xq^*m<@_*7tk?$H6Wry1ys@+fO}G)NgB{d4QNDV z$^lR(PMp65wD=J|T9RRc7)^!_c?g(d2q{=%2w7NT2raO|5IQkS47D=~n->DD;`0Do z2=>W2kH%vKAdfJ90Le&z${7hzXla1@;K&<HLsUQ=NrbteQ7};N6V!=3<<behB^$E# z4P=G}$P7@=#sJBTP8Jog%^s`>W1wSUsLpFX5^)$b7&8IfA0;wA3RaOAAE1ph@c6jl zgAs-gRuUhE9U#kz3`2yu6onze7<^$!Xgmv?3c%@k!yih*&qGWdKKBTXWCf6c$PHxF zNCu6=fo%t+!4n|0M1~*2T#CXEVGM!r^8k(iI)KK1EjmNMCva+j#(%+QZ8~_ct}ua= z*AgIaJ9KyKFhP{p!m#ln2M<;bkUG$iW_O4RD0eAn`>1H3jsIGJ@{U9|xKM$(N&$8J zSESoTMFcVa%L6J}xZ&eN9?<b2iS80mi3BpiMMXi|MMVQ?eCYKRXx0K#9?fqE_%Fi@ z)qfRc*!_3H6w=<d7-Ig@ut4>ng#~v1b(jxQ|7C#EC+_v%FDxPcgY}nwSR(v~+#dG; zsiU~P2TH&epiB>{3?tB&pMaJDL6?VvmY-;VrpMLc$u|Q$*O1`B`r)huN@0@F)gjR+ zftapV>j+U%EvbhT-U(eDE085sIzm*G`CIzH=fr^WWI|U*K?{;@rH&94MgEq#2n8Oj zC%&SOTq8UInyGLA%~W{6XDVL${0FbeFo3VgKuiH=KqnazJXmKmApG(A8K|~|t4GZL zMk3T}G~!f`nEdrZsQ=K6te(jHyucQc9$@9w23tgWKrXKsK<a2+UV-Ar!Gl$R0a5!x zCW;V67%2D^K*6s85B}E&!F~l1gv&1hdsP1_*kku^f!!d@-vr9%6KMXsfx~|qAa%5k zPxQzGO)FAZ|1>zD#@_@7?D6+ti2BdM5!HVlj@bP-0~8KJF#bNE`H#T~yZ-_}>V}~I zRyd)?-wqu9;{d4}g8oZzM)hBTGxqp9Fhu<);ezTv4HxYGs{n<=5RAVIX#RVE!+!=K zbwkj97VfC==i!b${${w*p?(LqXSzBVT9MkD;Kec}>aQ7ysT{Y1P4HlCXhCY)9Rsy= zAec~p-h*|4CnP)|^NSv=3?M$JMGoq0cZaALXrB6i2(%I?L`9_YRJV@`WRX5-_{Rg( zdI$AQEkLbz4^Yd?z)|yr<NpJl=Uku*E@4AkkWMJ56)ym4#Un3L0C^$6gLT3ggcqPf zD?o1PbWwqfGl3Et$Re<fV9P+wJmmF25cj~Qny@H&-2(|0I7v8sX853n&kt`%x&Vz< zf%>j=3m=AE7~!)4Qz+pWhAM&M7(xdyg$hn!sA@QcA@txhhR_0N08uTHUb2v~zAD2P zHGWQjoHG#V_rghxpeca5jcP%IE&UQ`?_2mm!UxuW^YFt_-htc-%3`z}j{)Ut2M^W+ zM%wk?KA`!R!5_PS13>EN<lhZUwDa!@f7JNhfy2KXAa!)|F9Qqh{F@Mf>feF@?D2bm zj{Xf`rNF;P<8h$u5z*~Y(&?k(17>?PAAsEv<pMfI0KBpgw0Om*^VGp#@&{i@GhRIS zlNEH}xsQs;aTk>U(EhdKE-E1)8e{}Wu}SB-ZXSc~5EY-!>j(eKAAB$E*m=Q`@rPsM z1JLOwE}DlNJ1&B5h;r#T3CjJKUx5yWfanfUF=;-;(s`|0#Gt!I#mBMphvUH?@{R{z zNIQ1^a%DW^*!T!y#&w5|pJ0t4SS)IO@WAo=4VTUXo!5Fp*dBt$#X(Jr)=T{J4|e#d zsPp$P1P`wn9`NY}-Sh6F643mRvC~H-pxZ|!1i}pI_E8A{)&CKlU7!PhKr&D+=)@o} zvl}ea{O})yw+W;U%;eq#Qq%m5k@F*%4>1DF^k_b$0ctozcr+dd9r^|u9tEv+1Widv zbRL4XV?01-M|8WW_{`j?z`(%p{U_-B#si(lJV198eCTvh(E&|Rfb>{&*Qn@p{&(#B z;lp^W+s>uiM+J09i-=3-iJ9MjI(D9P)C2{#fJ^7e%g?(*R75y`fr7g`L?r~Sz4<r` znh7qQCptq^cxHl(6L9?g(^2yx%m5y+0U<B<gSL4P9G{=C1+qRBTql7>EkOwb)TDw< zP<+_T$>0e+38T|R1!)Qa)OzCqwcfb-TN=Uf1xh0h-5n3MAlA5YA<bccjDq-yf;kL< zZWk2+Nb?c2hMfg8hrwLJ4caRBn!%&_hzEEW*rBT<qa3Lf&D0U1!bof@dMnr;9;`3Q z5LNhVa4VYdcwxs@0^#{$3(4VmV=MOX1Q|uY@O)54X?P}(5uOUq34~|EGm^uz;5qj2 z1Q|t#@Fb=qcL~xZA)MYMUJwYMisvMUPsR)E;R7;isD+QfO9J6j@Pg#<NqC7pd_YFg zA$-84Q&-1@T1xX{KrQLz8^<dG;hFK0<nWAmg*`k$Mh*AyZ1_hYJRkfeIXo}?!ycX> zqv#i&9hH=(PmW3~=~DvKAQJ$sr{FL=(9H{K*fu|81U20_I=fb^VPHTPTeAi<LKC9G z;n8?#0|$s*0%9MV01^kCyM<`dNx;?(K}uin`XRjb1+2xiFJvvq4v2jx1VC(veHI{b z677TTX@eLR!O6hj(R_%*qf<o1qZ@>Uy1AepPgoDK2qNai0u@XF3qmx5&QJiwJ%>l* zF%OV9R+o4*zd`XYiv1Jt*e|dFi~S;CL5TgJds|@k{~*@>OVFd&d_WO)@CFaa{|7ld zI(bw)x<Qz;n-Mhb#?jdrumfa2M9h%|Di{J5glH}RAKwa%36OZ_`JMc*ZQLHsM<7v; z*Z&4!`>}^V!%mR>XyMNS7KHeJ1(N+Q!1iB9?o2?e2kp1U9sU`3?B4*k3eEm4U_pre z;CmgqA^x8M5+^<W7vQl!VHXzvr+@_^_Ji+uf!gl@631?T;~UTklA!ZazzL>v3;gao z(0QQU9WJa4pcB=>M~lL)Ut+aj1&sl;fX`F`9X|j%y%KyY0_d0w$URqB`o|^5Tfn#P zfJ%_#kXv;?Oi=9#I!L7i(o3EKxqJt2N7)0?QTDj}s&fk1NQfCBDkbo~@*c1;1iQ;q zAh&U0wG_3}{Jb+nrJy@RrJxnsX?ExDzXv`zp&R0@<_Gni5Ek@~FNgr>ba1!|&?S<f z12Lg0S`Y9~JqS8eg}>E{33PwP@fH=(*_EJRIS#pd8_EPNegrW=cLOjmFf>14gqOOS zC-|ox>U2?o9G2254mPM8Vh}cUki#Qd--F$L9CE)F$Y><HVfTLbsDSMKeo^s6^8?0? zL#+ooLR8H7TaSRXcDI0KVSX^>Z`}hE2Yayx>=jO^>mc{Av~EFG0=<?F>ekK{70_|F z-H@|(nje6|3UoX~H$)sF08#-Oq<|>u?g1b30<MmxfD>+Km%wiDwM8I>DB>c!K^X?3 z%A@hn3w{t6n%WzW{XowljYmNF;&3<QE+|}s4mr@61r@Xv-8CvX$fE|(jNqc8fj0D@ z0vkEd;QR$mz}=992sa0900LqZWDG(9JO-hHItHNtHVKs0UgrM&{~wyZAYledQ7_m2 z{r?}Dq(B#HzGVIf=7VAi%y&cOLlXm7N*gq;0nPi+0>MWGe4Y!ai12`x2B1SpL1)-N z%K^|~qZwEVfso^n%jTge4s_HmhzZKTAT1$~LLfvX1OHH&45S2*0ha)vvw0x~gs6nT zOMn`c3?i~W$N}A$)?w!TfbI~LfL3VUm*(&1gQaAU?U1YvVs*EufQ*C)fLPrS|3aby zd=4{21uWl4@wXO&jXK_<vIZ0s4B(5WK+Ojb?|6#}=$K&;6LfMr<V=5%I@DY#$=~V- zHl-V43e0o~{#Hw{_;E;%0hx0glA%CMXmQfrqXM!|@dRp)?Ct^E%?T0)<tJ!P1epyw zjaHn$6?7~%Xdvii#vkwky9`_z1$6c>D0n~#JOVV3iJVb<R5ChUR0Pm+2@fon2!PWz zNO$)XaNxoXLCYmRDjAS0!U4`AJg8ZO18fW^N?w8zJ3OL5<qRl5U$#NA04OBD>HZ`t z9~u*2sdb=JXdofV4GB#|$bmzuM+KC#nm_)B-g4Od>A&K|=8yluK@3&_(FP59h(b_A zV^at&1@W2)RsnGjeiK0oU;6#~{~y}m_86`IL0O8-`u`y~YeDM(NR|hkkPa_>K@9>> znG98N@dcz503{8m8c<$32x$R$gDY-m!3)Zq(Bc}(1l7bKCN#@ptJ$F~0BNv6&{`Fn zI%o^vGuZWHwE#dDX}o5@Yyp6-&j5>qy@<61umfx>)E9_c1FEEmZvmVH-Gu^5%ZSzj z==?iSebm`>0Mr72WUuB2j0o`~cv}D~K(d|JcY*RZcvFza&}{-_A({XOUob)v9Vmcb zu0(4E{D<&Cu?6z?OD9N?2jfE%BUnm(v=soICPHonfbO{fCwNfx1StVPEO_MtDgi)M z4zv{jD*<TP4gg(J4|Wo$QiGK*68x<;;EYF`cEE4&Y}9Lpmq|ma9pD0~#z7GT&nKWz zd)W-h2k@rAF;qTsQ(!g33{YV-1e*fhh^7FzMKIbP0N2-Kwg(=8vlz6thm;2R+5-n) zFhR;@P<cDrAOM8|O&SCRdl*1%6;QJqr9n`#2Q&tNYe*mhB-?p?7yL|xA>1IyL~am3 z6WwTo0OukaNH>$LVF5u{5ddliK#Bkm3s-{xUIYx=7D3{WY7sa?YI8`70Gd%oa*JTJ zJwVs?0P|>jpq2Im1PXhxw+B@A;%g6ZfMh$b?*d&QKhy^ZP}&2~L^s+V7!>URXl#tO z2f$|=)TsCj=u81hdjJ|WqwN8P(e(nL1rcadl$djd=<5YY9v@&CZ4c0)J#Ya>d*BMF z#_z^8KClBM+j)H#3w%-2(48<qX%9dX-DrDYP_zf2u`${n7<}ykXw;0h2S(ci;MIn- zZx67Jwg>3Y9%$HyeSDy0AHMN{43KQ+^<D70s)oya0W{H#wg(19djJ|6qwRsg*B*dI z&1idIv^_wl_5j;xdw>q@0fYV6+XE*1@wEpeK(d|Jcfs!{9xm+xXrdc!4-AU-05mp6 z+XI8IJphfG5#AmEEy@PX7l4*`f$n1mt%w6{8U)eZ9W|)?3qgV&tOaE6F9cn230ft8 z9I`nL#02dOqGW#|$ViA8WbH2mt=sP=Vm%?~P#*O8f+euUL?Aans(KI$y6zq#05bo0 ziwY?JgM5p$iwL@l58Dm^=uUy(F!Mo%U{eR(DR3FA4(EOZklo!q;N458yOPZKTUUU! zqU}mD<!@a869;<;ve6K2uiy-1C5VNAAh!~~Q{Vu6rvPNHAZR-PD3Nt`Jpk3}J>Wfu z2*D?yO1m4nskHIX2VPJOzXh_#wDH&pkSy{(+QuWG)J@DTL6A2=`<BSwB?vO0dkT2V zCEOge{ZB;h5(EX{%jJ-j|DdP?FYlL!tZ)X|0_G>7@}c<&EaeW}2>=R4Xa<Jv2Ly@0 za_?~74+zSu==%Y0ftSET*ZD)TCd$G;&^9yBva;hX;JtRxyb9X#1!6+=b@zZbxS{4E zDgIV%SXKa;09(^9$=|9B7RR}!9~7I={b1mYXP`yu(87bj-aZNb)<<9uy=Hj1gYp%8 zcn{y2q5=*m$f8S7+6HeBL`&Q7y?r>3;DYFXSp`YB@TL3rQTfPA_m4mp`+-6Q*V27Z z2tqdJfpQJD&3T|z#n3Q@C<H|*HiaN-x_eacm<U!u^e(;>(9(U6#y6l62zULu1zY{P zVzhqsQE}(*AFW@(8-+kglKS=Qgah!6_=x&-$^lS?3a?)^_&{8E{aOK%9j#wc>Q`_E zMyX#Rxp%aFg;X0Y;2INB;eu+%(fYO12eg+Sak%AZ{R-W8PhR~BItK()zhXZh=M8H8 z3a*S<ACO(Yf?Cj^_TbPvALj#>^Kov0o2UHSA;;oCPsagqL5u1;Ax8kgcgukq$e=L- z%#CoPXXB9H-$gkC$KfEnN=6ZPIS8tT;S1e1pq`DR0g^?nl|gxem|ia^IfGjCWcPYO z22k;AoI=DtI7p2F9>k{F**M_B09scgmjuJPt_J0M^u90`Jl}(QcAbzDa6tJUR6%z_ zYHVna7ZMGiVF>6JIau#@c$|xqLwVnsj_2ZdK{~{sSc1e0c<6d0o{Iwm1oyvzvpi(r z4K%2Yoa;x{`FNmm0Db%#ay}j?<Acg^y!HRV7hI4ehrJ0fdN>a8O#r-y<9q-$0k9m7 z^95ANBi6d3H34pbWIM0#;u;2P-I316gC{z0Gk|J`<ABSA(PltDFY(O)cudf;B`}~T z<D?F$mH=pu2ihQmM-8|!Fv3p88Lj_8U3FsSuPLtoxku}NI;>?|aEJlxSvX4$;Tr<z z0LgY<-^D%L>VIgW8?FB-UCRc_{^-L1B-Q`Wm>8}92gN!zl=>eUHKX-E18DskxQh=z z4jbIoAMO8#uz?Byiu(UNqxC->>i>Yl*z5n0!}#id3y^H*^<6x}t^S85y3zW7!0Uf# zOpMn5gRlOFM$KsbKU)7I-(HJ)-VMd|KksP$Plx)S;RyEnpXCU?`u_#A{s-OuHq_6) zLmB^vCc4r3f57X1XiSXO|AVjohepk4{Xbg&)3E;M8?FE8Q2%ehQU7njTmR1h$#!1f z#W&pg|IkD?TK^At{SS?a(fWVz)&J0_8Lj_E>wg;7|NNu%KOO4-grnH||0zfD_5VFU zvYpp=AujM9x@X;@^#7rWZnXX%@cJJb6QlM2;H&?kQ8QZqkJkUB)&F<l3xEl(|A*a- zIlBBGv^)<K3Wy!~pxTK_%l`$AVXyv0j^V5Re?Y5$(D5%refU2Qu>}x*=O-wDsdqOn zcuCOc^8bDwc<v{-3lNt3hi&bjHl$Yndm#1!!t=;Tto<iU7+wEQxAp(f+ZI7pALbDN zqx=7;)BreuqXBRPZv$WjNOqXqg^SYvhbFqw2Ec&#|DiE4Y+C?Bc>f<XYDQuUV6^_H zTm28cU2(Mjr|AGd!Ex*Z042xq4FE)dWQWP!w<z^LG|`RL{{vqCLt|pJ{vUkf|Inxz zt^Y^I|4AGFC+Ykj=xvIl^*>GPe}xm+>wlFK`09TSknAwI>lUT{hbFqw`hURde`rjM z*8hXA{)a}*X#GE0|I@Jkhu)qzTL06u{=a~u{=WjM;c=e-w*w?QOzyTtssEvgZnXX% z@cJJb6QlM2;H&?kQ8QZqkJkS*tpB06C63nrG_C&|PGax>x17Y+|IYx)4wJiVQR;ta zq8qLM2fY4=#>8m-Klti@Xw;0>|D*Lk4eNjQ(fxmP*#Bp63VZ!;atdGlF9DM6yuOQl zxb6RkCc4r3f57X1XiSXO|AVjohepk4{Xbg&)3E;M7_I;5Q2#%`QU5;y)$lmS|4)Eq zJFoBJ7;g1HG|`RL{{vqCLt|pJ{vUkxKQwAa>;KXEpN926=V<*;hx&iQY3%*~DW~!E z|0_VUo!5794!8Orn&?LB{{gT6p)oO9{|~<U9~w0ywEhR*_tUvY1vD`Yy8j1slnv;r zUl85hp~A+%(22PJ2PEjhD#6CU;L%CW{l6O+AmDh5$`%NV!K2$nrJ~zMrKIy*H;)1K ztN0opJYZm8aM3*E*m1GbN5#XX<79V;ipS+wol{gmMncR8Q7LIY#L{`KTg0Gyk4g^% z1A}Ad566Q)<Q?(kevmV;TZ(%A|HIA@74-XmmVi$H>23kL0aCq#T+<El97F(Q{_z$S zP`(Fw_;`y7D4l_r2z}k4bLSbFA2Mp5;GcS^(?!LhBSgiXzx6lFe2^i{4;V4jS#*S` znDe(@2CF*`aR<m~q~Ps_*xlU&w)gu*#S_gB7&{KN9_R>BG2?Gt0oK|LaTV-ta#Q}+ z1u${2hkC%V#R+wtK}U#+K7Z>BWF?5xd_Zm`el!08pWYUg2@DJj-92E+qkD=9D3Nt` zIh+9tPGNvl+z>&RGYFnX<DmpT5O)h$hezWv4UlZ-_0B_`2VuwffKvD2?iO%rn7LDd zfq~)s&*lS+od-IPc^rJk-1(u?MI{Fs6CT|qDiz%|Dmk719Xo&cFdpl+bLobp{Z1DZ z4VTUnGr#|I>^$kH2}*=2E}bVYKkp7v(ct_AO@`f5z~KZpr};Pwrco}PCptq^6lS_~ z`>3cme*fvHc@buk0@x%_0KQ!Q_y2!rvH_h+^iuvGm=B5#Fh2>E4~=lJ6zFa<v<wVA ztp^l_u-xmSk^ssz2_D@H9-S^K5#2s28J(vN{*piVN}BQF!Jn)LA2N6PsDvDc+-?s| zRiLZWK}?WVkd_cgrVUZa=)8Uqx$%saV`U&YRtB77LC57l3<yyPf#=v7l?)=XE64%e znATzDRrJgHZZR-0yap{j0oe}8njjYR5?F`;$nfJWDl<SnWME)8-l8%G%It=OLU)e} zNDXQ(lHzaGhGhkiEG!pE^0z93#g9W$ILN5ukgKUdOlYF(?g87Ucmg#Cb@zbn<^&0Y zvOqUPBgpJS9U&?b{H>3`9(v92atGyS?}(tKZ2?${6alA5a6nA~dmWUvBf4u;e9+Ri zk4gq4Ve^0!wg76v<^dZ63Y?c!kc0~gV{mG{kIILJIaull<d_~%>A(%~J|c|3VbTLB zct8G!X1wN4{}nGbfBX*)Ot1=wHfR_(gB60J6q`bjHQjhk1gn6=C4Lh@3SXxD`~M$w zz7D7a^1xZY#$c;o14ipt9~F20{?YmsTw;L=eMHI!RS(ptUq4{0U%!BAP-qqG(RgSE zYW;cxBs*Ha{`>zQQNMySFiQOj$-SfXs|vW<XaQFnqxCCF+i|ph#a3g3s?BaH*RNBs z)vq0+_3LQ;O6&gXg0ooquS?E?I;@Z`u1Djc3#j#L2S|3benqKY!5J8(eud=TkygK& zfxD8>eki0mC8K@?4>TEqI+dUf7_{>X8CC*!H9?)DZb-Km*432aZ_NPP{TkFE2H6Sf zy&i|u>mX(~q{j^Hv7+{kCHY%j!J3f_J=oy`>c858#R>I|LH2>WbI{5G)cS??!odzk z>gB;4EY9Eh2i7-^p}cP_fYvwWf%T0Az@1u<1G|ar8*_mB#yqHfV-Bz};J&dOq{9n} z8g57=bV9=RWfP>A46+Q|H9m^UhsFk2Y8Au~P<T<?YX;c|={1AgM~z-H9uq+>e(443 zHBW#8kH$Cn`_E^v)$a#J>-W+6o!0exz&R}Sd&oJI`kjLx#D$MfTYzLo>vxp;9h`wt z>UT))9j)KV=|7Lw@2FEdKF|geeApU!J_a=7;i58Hzk`O)2W$PF!h&V~If7+${&{qK zoW}Fd4Ck@b?=0s*6*|2CF2D=o!t3`JqxCxj!%NipXK)5asox>Fccj(tqw~*r>fq7& zXZWx;XtEE|<pvFPpme!G9b{^ckI%tYzfKsfUq}1TwC+D|z*fI*L9JgsQ0vzjAlcFW zGfMv%oPkm5S4i$1tzXIMKaZ~Of-TP;UEjsPzyPU;L6agF6)}<PyRKlXUr&tIucP%V zt?So>3t0NEDHlK;R(Sul0kwYh0LhNluPF5^I0K{9uaMk3TEC9guXdm{{284tDx>|^ zf$G2Vuwoe>W?&uI@nHwZZU+bOZU<0@9<<w`qMN?^lkEBX_k)*MKsOpd){KB$L;3zB z@V=u`L-6Wk@Gu3Iy-DC*LZ!UmRU@F?PoTvKq;FBu<8R#n^95+*6ljqLXaR{X($*!A zpE_MsGP+$<GFlJtPdUiH?O>;iN=i2fL$>5_Uf`b!64U7LQBmh_?SL5x)(TC#kX>8U zSXwP`5lhu8auKEK-GN&5{-9;mtAMtIMFzHn1+w7?6bR7un4mE_@D?bv=09W?6l8~o z1b7vT4C*Qt3GgZwP+EA|1KGL+G8Gi(FQXu9RbYJNtxEPNRV_F#`+)W(wLo@su|hJk zi%JA214Tf$Dg|`=s3c(7s^o)vs}e|y4{WPa0{*Q^B9P200?xdk<tiY%LA!~3khUr% z5WiIk-8#&zN*<uSjIEdW=O66wQ4!|vAF!ofLj0|1;G6-@v>?BO)^|Y{7lD}gmU;>D zw>pD0qb>Ck;BU1Cixacd3%sTaI?f47OazvC@$<L-f-UvRfh_e(z_rWI1!*ccpu0xJ z1$8Poq0>c$11+Dh!14)XjTR_Ckd}IZ4MEE%J}L>IOd_HJ&Lb?yc|=47oJBwrtuGZI zYqYQ|^lE`*7LZlo%yS%-4~+}3)EbB(pwPm%&<mQgQ97_ltLD0UAniEF5;hD~FPES! z$RfBNi-jFaef(o|J=SP{m)8B=1K29vBdC?G1L}IL6(HHs{w_)-49>tP{ar}z9clgD z0jq>Z*IT3Rvmae=4PO5at+GM2Jt@1CK@ADWC^o3!fl|GLj3RlxwGFoV-GF^y``e@E zfk02@0Qbv>>3JXpm#}oIOD>^wsw+_I_Xv>eX#I{-zk@R{O8pMWy`%Lzq#p#?*8~|X z0~MM0&jT4<Z#}x+8t<7Q&}th@L3-LaYGbep=tv}96G7EBVig#v^?UOh50B0o6$g*b z5)})N?iv+~eW1Yv$Z<&^u1EKNk3vv&UZbJ`lGpHP{m(z;K!=NpdI{%i@M&Ed{~vtf z7hnYq2<-rA?mP$@!LsmZJfr~9*$pze@fe5=kv{&3AGA=Gfx)Mj)dX~$Q)i8egh%J~ zPyB)|Dgyi(VC@nh7jbxWUi0bpQQ`3D<WceIJPC1D^AV53hL=2gOH>R%V{sN9tO=k7 zNAm#=(8)y_$6ZtmKyEqiq5?7<;zW;bhHej*=7)@(J}M?4T^b+}j^>a5n;$ZE*Ql5@ z|739FpL(GAKZrW$%5lQ6;Q^x~|F#pIKB(4o9*4N1+eO8~gB9c}52(<~r2qf_!?&t| z86H@|mkEBTB-n`Vh7+Jr>U4ovW8eWEvhMIv(Jv8zgs;K>2d^1CK)#0X9Xz@nG(gIx zf(8in_*)l($GkwkvN+bDP{hE%2xfcq@`4Vq^yusW+3<Qdwn#eG1X9lci?9VCr$8eN z#Kw%UTxf(Tz#>cn8es~c2or!tn1Dy8j|vAY#$MX~2OW{&q5^Sww}S)R_3ZpDF8}}k zNA_5^gTrwL2~a4#)cpVdKPaZbY0IPAN5#UU@!$+lWOttT=sX6F2n_iP1mrn5K*<@d z|K)O|5iO6#Hym6b={+h8V0w$n4^B{%Vh@<!$Bc-(7O;p%cSArCNJERt1dzF)oCu;J zk=UaW&B4G>A_npvL;*M|8;^i2ISdg6+k=SE9+fB#1_u7tL(n*dSaYml1teM_Ttv)* zEPuTQBW9<79e1n=q>BM`h8oxxjfXTqZtaG8s___ziy6fo;3(ds5&-fDI9s-;cz_%Q z%9&H3!dp}vz|z-zx_h9^9u*4?1_pSf3xgxQ1rm@T4<h2dN5vduUW+U=>QNQJV*fw1 zp#pY0E64?)Lk2;?e7r^F11M=j4(0j+Wr7Z20m*`Mc6R{F_e+Wwn;$YdbewBF>Cmx7 z#SCO;tCl6`;4fsg9xSaV`KKQ0n4)3|Rv`ga(G9Vqvqhzcg8_6<7>EK#D`+~YMWq8G z3Z|y0fZPC?RBBN%;9y|r=uy!J8}`fsWF5jV1x~PGAoZOsDk=~jND$J*0I|9u;t&BO z72Q2x_1`bHf|~aoQ&jZ8Hq5pI*#JpQ)CwwHu*u)SCSwmO9k7b0U=;}8fx-xMx*i7u z15rVx0SPK~uwk3g4C{ad4m7BGAUu#DBxFD=kX@V%3=jb%6`*7KI2jlaL8S(^LDq@- zL8S^dc>~yF>_MdhRxuB(g8ZOTfCQC1*svTl!=Pb7m7tOX+wjYk`avZNHo4agWHR=k zk^!rz2CE=Hs3agkB@Q;s6V0#+NIHTBRSkp(5`-io5UaaI1tbm;0I|ATRKVgrU~xpM z5(C?i<_Yo;bu*wS*yKZCld%Vt2w24iunO{nN&pg6{9wcC&<vwys^SCN@WO}sLB$I; zx!M<GGWMY20jtOas~|t9K<$Q(9u;=5VdiLtQ8TF6z&0!l0C|YIsfrbBvPmGwWb8r3 z0#=~}RzZGHF+ft)e|Aty<^$Lx2*aouRR6#>M1_DnMBSkJ3pV*J*ktTM^#`otHdqD1 zccj*UKiI*Ih3{a)R-ze3&7k@Qwm~?8`a$&-Z1OU&$=HMH3s}VzunO{n>H{RG-h&NG zLNkn-LG=!7!<lI62i04!$?-8Dld%WY8?XvrunO{n>IEdIo`VgOMl+0>LG=u5Lu)+9 zL)6WHPr)WjB!EoD9#l`jD%ij($PcOqkf6E`HtY)6BM8H&8C3VcHY6p3JVf1~x(hb> zE7)Z0L3IbL;xSkS!gr*WRW~3(bscQjMl{2~4Wt%uN2n9heuB1|Ak8SKG^jNN5dg8E zD!S3zRoB2aoJ*sAP+bL^td$Nj8GBG&0jrPzs~|t9K!Xq+Ju2tHhCKs&1YsC8Q`I@J z4X-mm9-?llItw=0JriUy_MkcgR$&TOf$$xvsp<qIRUHQ##*AhdHG}FH*oNF3kcX%n zR7b%kGvtCy#vW8hz$)H>?Iu5{4nTrxKiIH6XogWUsP=(v@G1a#h`K?w7i{ufu*uki zY7bb&X|M|NgK7sPsJ4R*n~7!^v@oJdA8;GkhHb^v52~$TlZ8q^CSwn(EnpQ4U=`#C z)domVtp^)+4(t(xVbn}j>%cZ#E(Ljrx~Xa{*kt`OkjdDCY7JP0ELa7?cchk8D<DC& z9BkNYutyMvQ8TEPfo%w_1bK+MLA4ZY@(ZxZ*n?^bSj9E43WV=S4XOo@pqdXhYzdlS z)C{V5U>l5TsUK8x!6qLCn~XiE=73df2CE=Hs6aCs9X%@3!G<-W8Ai>rY8u#vc@5ML zs;OX;e}PTL9#m7nDqet9kRMbNAgQV!Y}ht5!>F06`oK2qZlZor^@2?nZw8r+J*axX zDp<iP$PcOxNKmzd4Z95X2*NOG22~r_2HQ4}hp1atwSrB)05%zWP_=+n>;tPn_>R=F zssR#I^<cw#&<vwyP}PBLkm{m-P}PD>UJEuEdr;MYRm=vfAU~)oAVF0QHY^j(Flv@n zWndfHdZ`~&rC^gEflbC9R3%^)7r-jW52^x4Q00RSn}=o?HG?V-Y{Tve)DNm$u*u>R zK_+7lsvNKiR<H{4gDL|OROw*DE`vRSFpQcRFb!<OjY%L6QMas01)FR#8Dui{ph^L& zPy(w!_>R=F3bfXxqemqkY}f~|M-YZlGpORgHbhMWd5F3}6$>``E!br2K@|g5aT}}x z;X6`;Dgu(K!oh~EL^F(<K@|qJ!F(3=gDMnk@+q*%*n=trtYQaP1^Gc000}C8uwiXz zhEcPu@&ntjcrNvW$`@?%f3V5egUSc2;w@MO`9b9Y2`YE6VY|@`qh_jd1KV(5KJ|mj z6>PH10+7krgUSW0f(xvI{Gf7x1eHD5up3~HAPl2sP}zZPa9RxV5OvEcTd>Jj!6su5 zD$ojQ{?@}_6$syvT2@&=g326h-9$9Qs2NmdU>oF@Q$MIo!6t74n~XiEOu#A@fK`wm zRG<awp!HQ?!}8D!qh?v92ezSm74?Hk7i{u#u*ukiN(ZdsDp&>iL8SpnRq9~F7NZ$P z%~YiZwqeB@>Iao7*kqQqAd|5Nl?qtJC$Qb*2bBUOsN}(h9Y8aTnn5K8wn1wH$V1dE zt7O3@?**HTJ*Z^BDprG4kRMbMkf0I=8&-*C7&U`R3~U4Y7U~C;DA?p#V3V;2l?Yfx z2UrF9K_vhQDt@qGVQ7X?v#jC++fcln`a#7DHu*f*Wb8r316HvYtb+WY;(!DdJJ_&p zG{dNws@T9beBDL;pkf7^+_4*EGWMVXZKvjMEd#3{Kd2ZWLG_;vw7$v(%`j>P)jzNe z>-K>>MBTFLFW6+q{UDRE2h|_23VpB&@`DPrTfL)4<vZB0zhI9b47&hX!wsDWyaM5Y z1R+ZlK&<W-6_7YY0L1EUQ2~qhfW;AOLBD}*C^!o85Oss<E7;^SV3V;2)fcdeU0@Xm z-;vq`{lErZiuN9CSO=P6)J#?Hz&3Opr+!eq1)KZ~Y%=zsdIMH*1+0Snpn3rbs^?(C z7NHqN&7gV)wt?p~^@Hju*yQ<Old%WY6R?V2unO{n>H#FE?t=}BMl+0>L3Iyo!_jlp z530LhlOxW9OvWBmcfcy#z$(ZOsvD4?x(+r>1kEsNHWsddZ7{q9@(^{)s;gj=d%-4S z52`C*71dxB<OkISNKl;z8|H~-*bGQP4lUN_KzJZQNGT3tfexkOfNdN>QUSWPkOO(+ z$T_eLKW<V#sLp~-4!H#~8GBHH?!w}4bpop(Kd4SXg6cTfIsr7psF?wefo+hu1M(1c zQ`J$h$t7Tuu?N)=u!<zG3i5*rbk$f#kIH_qVFqZ1Q8NSX1KZ$xpZY<y7i{uMu*uki zY7bb&bg&BYgK7sPRc!|wmWpN=HB;3#unp%QQ9r1*f=!Nj3^EyeP;CLL@B*tKKd3f9 zf@(e3FbOons2No2z&4~j19=EJ12%wnYC<-kB72kryh*b4q67cbW1tO^Yr*>eL-oIm zIr{%Ubf+a~TldRX$58mkA&Y&%Qs<BT|L@TY*{0jsq5`t;c#Fyn=*G<BEh={q%m)bO z69n@Gg82r)tl<Fd<Yr)iTuBRZJILs60mF-(Jt`MKd$%A|>q(d&)`0!+9(=;!Yld!! zle&ArPU;TiFuc&&qH+SH5kj>dfN5L}*0>FaMgg$K0}zcM3ZZcoSYs_z<7);8Jl>+R z1L2Q7P-Yh-P*fOO4)C`|fwgo)6haO%?NM0?Hq9SdsuQ~VtVIQ646eo1$6Hhiptc-u zQ7M5kyC8ZY78WsqQbspKA<V)RU<=ccr8-+w3?M!Q8PnOKVgliT1R+%@h;_V0MFVQf z@fH;wD6<Qq7h+)-Gswc@Eh?aGt)Q4Z4%ylYVnXv%cMsSU@Ij9tRo#$O)!hR&5iAVd z0t=!*js|ViT@H4%HQ4yq3@>jV0jEq*dIKlNddMk>pa=l-XMlDRV<gW7P$z>9QA99T zAed_q%nb<U76h{bU-Fy*3bY;-5QRvd%fJpl58m93D|t=;X>3seQHWHw6s&O?4vmoH z2`x)N6hh+?HU@^$L`b59kjGn88W4VHfik;VR6qd&invmH@PVJ83J(-h$05u4K}=|3 zRfd=VO_(nmAt$_ow1a~C^*pEzV9qvZ!US<%HvGj_PDDVRaJ)q&2Ej}~FjElB3<NU= z!7RZS5dom^>`?(xh=^DW4p00M;Q`Xvq5`52;kgK`5r0HDKs16VgvN!~BEkaU2OB7} zt3?GAAV?7b%59*~KMu)>ASN^uE4QeCOn_$CmzjUS`2eIH6x`s50Lg<npojovSP<uB z#-IQHyCM0K8<HnGAw1~j^X?w-4*PD%&UTb@!c-uh15=R9+dT!GSus?-O#bu#zu^H7 zNa+naC;+@ay&G~mfk)$^fLzeo3h?6y3i22jKxb4lcyw-2nE|@V`ItxN6qN}e)*;6o zpu-oAv#69YFfjOZLxg=gLsU49yQl~RfQ|xiQIUX2f^>r<6^^^8Xm~I%Fl=CepB4c= zqzC32&&GqGT<ilnP~sd|$;-_Lz@Z2_VE`OfvIkN4$n_o*L<&?Bfnw!&i^>&fEFOo{ zFHq4lP*Kp}5h(b2!0NtVR6NoAfU)CH>w%6bD*fPul@2TUK?Z?}yMrA)Dt%z77?>2O z{sL74kd6(gjzrpQ5AqFYUkyldiVDbL*trB9kakEr*f1?L!$8GUcMDj3XN$@lkRw~b zWf!Ethf0IQAp#&4=mcM=$BwtCOo1{%hXRAN_kc}7^<x{@ZdO=j12P2W$5yb^FR-(Y zx2S*$F=*g{_Vt69h#CV_G=i%JkSaut0dfIY7^DMwGyuqWP>s<7HXc^Jzr3;^9H*d= z1jq3*NR0vGABThlSgIQ$1*-Hxt~lPJas=vA>~(y1iwa0>cMn({s{floWk5CfoOF;O zAjg5?w+SR%2rhI%0S&bV6gnVgHzX-__kddzU=M;+L1U}C2iz<G3xjlkdbXV4;}^h3 zS~P-;HUt&?FMS~%fz^tyCqms1^5t<z;{wEdS@s`XHh}B^T|3XozyP{-9u%d}gwX?O zK|xX%O0Gw80MxnNQ^3x{Q1vqRKR5<JQ3<w{1L8UuADW=RQg8o)9R!MO?iLkL<aR<5 z2sDoI6jmU`2vs1%yQhFtDvE<Zs$RbM3ofjBd%(3L?9h}?`~poKc?=An_yt>3GB`jC z0T@FNQVn`^i-MvFR-$+y&Q}4IZ}0-G0#uBF3$y|d>k#NJVi3!tvqvR^4RmnIL5wmE zqz6=tp_Fk&&;k&mDMSTa#wn0i#{Jp@4t;nTm$Dayk6gxi?*0GYV+Y8G3=L%mKu55k z1OtEg$uxewgZ$GE@^8D?IR)G*CtMCf)OBxxl!Mn4KQ%vM?6}x^siQ|F9h_6KmV;?v zDN4)11V}j;4>oLI%E36W-B`=PSg;h$%E1`0@$ho+`)+W&!^*vvbSej<L8TIAIT!^J zrcF5*2{L-L90Zl(J>aed8Rg&vSUH#gD+eJA(#pXAQ0WIQ2R%S6a5)HKfyzM#9OWQL z56*HBqKUk6P<AIc{lm+_c2qucIheB(Ti-<h(H0OvFeMO783Ys5QUjHvkoGV!qZ#(# z-0BK$6yfSFg7Pl3iGb*A*nu_jKsAD@e-J(nX_|oCfYglXYEc3C0@UH)Z+!{wVM5z5 zu=a^9*tC1dQivKD)Q|z4k_AdykZKvyLIhRU(2gL~LZq%HND^Y<Ca@ciL&hnf3PF3r zK}<x857c1=H*r9nQbdanWFlA?qyu_v56ID=7M~5+(aB)rUo*V4*a41dkWayliVu+X z2B@h9=5vCME5jHgU_itk3xdgkVDccCpq4k-)!+^WTJ(ZiUC<^Gq7!Njb|S96BxJA( z)C_|*sS(j@1uD(ZJN2N}4ak0I(+R}vYEc3C02I>DPCY2#K{Cf74Ji;48rjN_AqQy0 zzI23y4@f&Ge87E4kPToCs4oeM5)kL51LWcfP|R>ch6<nq1JDS;(>z0pHqaOyC<#qb z0YxfG^9-cwrS(5>^9+)A5N$17eaRWfeMtjQK?5#wG(arOz9dK(qkMt&B|*ADlHhih z25IfA7hA!p4qm<lZA0NBmoMfJDXR1()xil1Ya2=pEJbM>N&(V_k_Q_$Fl{I~u-#bO zP_ketnzf;1z{bPdP@IrB29?9${6MERlr*Rez-&WFfrM$(hLQvsJ=%r>l~QE4p;o}! zP$-=!aPJaSpc2`a6aW=t-~x>U!~(aWKrB!jiUCI(3Zw^AjA89dLNt-rhSJ}JQl`C} ziONSV<LV$%R4L>Bvw~K?VJ+kSfu$%d<9@J$*F${=8#XXy+&8e@Sj)JtU@4lFabLj3 z!^^mUjo>(@cNzDYm4TrYvyA%$5~fWV_Yq|DXc<RQ8MlEdW!wwURi5B7?g5AeF5^Hf zP#JfF75jJ@NDt034x)*?GOlbLIQ_%haSu@W$YtD7h!j=Ixa;6th_#Hn29~0<jJp6S z<IaN(8<;Zg9N2EGW!zb?6wS)GGhpN4W!&_&;5ept8Fv~~;$W6>r$EBADdSFpj2<oH zC@SN2P^FAJ04mwQW!w%B3tYy5SfDa)1CBBdqz7ji2hl`c8Mk*8IQ_%RIQ7*ieB?5Y z2O>q4GHyLM7h)~r)`6ucE#p=|%DClV!v>~|TL!ipYZ<o`EJd?2ZVA|Uco}zZB{)v$ zUB)d2l{lDX+#--LZOXWXAfrdiIEu=+15_#FW`IgIa2YoN!~&ObAQq^M>%dXQf%M=k z;~<*IE8|#}gVR5}jLSpiBbRZ(5GksZaqZw-h_#Gs14~g_#x+37xO%W*15?J;f$hdx z#?^wQXjaD6fQ^TjajMI}aZK+rt{PP0V3u)JAYs~+ag`vWN6R>h%D59$DdP%2B^$Vm z%K)*!WgLhFD&rDxlyM+EILkPQCi2QS@5SKs4=>}kqVkc;xLFV>s+4i@;9Q8cjEe(H zQCh}DK+3pquwesJ#)X0H##+XOf~9Cy#)W{5hnI0Vi@<SA?=mhJRN`QkaX}zq+LUpD zAfrdiIEu=+3sfoNJU}HIxQufEvA|^<hy^O+EO3-@AU!zCIEW_l%D74M!Ra4f#{EI% zBbRXx=hJunnmIV9Vl4;Fz*3Z!g9eatP#<jAz?6e}V7sxFgSucTnw5h(VB_KCVE;UD zI-qwss0}KWFv~$LkT7k^K~0d+qvaq)<=_oiIS5&{CeWe+VUV_dO#xK;fy+S&5DQ!m zf>@w(5OfQwPbcO&UXUJ~<sd{8dF9~N+2HgKF9+r4pzx8)L6$l6U%$o=&aGsuU*iL7 zq}KX1Ua)CHZ2cM!*wOIyYZqpLV|GxjU*iTlk&N|gT%giybp0Cg)vTbs0!Uj29w4t@ zV*nL2;3DS-3j;$Z=K3{|Fh=<TTfYX<4U!~h{aV8eaH@lsFRxJf$mPp<h!mE-WV0=3 znIR+pqys99pc|DTdv>w!km_my?;rrJSmba03tMpts^5v(@dR4?3EEKeodvWu<Q$rI z=u%41o+w;<oUm_c>Vj;Af;fs3yrPr_Ta><m9lZ<e=+_J{xgg;Q@;Z1~*;mMdOwfW= zP;eqG;B5qlZvz7Z1OIe*5QEe~H~2u6gLV&tm|c)nz#!k08WGrZ#0}Y<4BY_q@-D;; zpv9~pH@!X#4KEPq<x9vqP|ylf8gA2hLfSge56JoG1t|A`^U(tk3o{>qgh5#aWsMXp zAAxj(B*FQJ#QiqO(@^u#SyVo9KH3D4!jg}$=NNo@3h#rH6xP1TJ+Ks|eUTe1;5Aa$ z!G;Y?U*sCtZmfNgt6(Xb^+m3LjfeL|TBd^I7@lG2v<~z#3)VGKmq5a_>5E(h89mw; zp{OtN1J)OzXdUPYP%#EB&<=oD;Jyfm1?r3JV8Omd3Zw^AjG>fquswwkP2}}OR!st@ ze|TF#WHJgLxs3ZciN0ep+rc>%YdN?LEJbNKxB*fQt_K@7Fy-Jnu-#b8!L?v1nw5iV zz{bPN!Q~Ud@lNk@a5bn@!W@%X1rnxBIk*yJ^k_LqQ8~zv586^K2pftab4+FdsPqGu zgEK%Za5)HKfy%)NILbkg9-QSML=$=C;MqQK`iGZ;=KUyq<Z@7^pZ;Sq{ove6#+XbW zSR=K@WO~7-4Y4tq9<Za~V=^y#!7)3i#$>v|P9$SYrVCV>jgHCS8I$42M>?OT0aVa{ zi<}A&3$rf?62>TBV0}rDZjdCnFG=E_!WrG*R0l6#n0iq7$mPp(h*B(lN&1h;l!FuI z5F3*z13MZ%CZhxiPk7U2bW8?(bP9=MG7`x7C<Byx!1*Wv#KO!+AYo8eLFuo+@)1Zk zND`cnNF0-??nKEqFQ20Fk@L|hh!mE5MEaOaJUB^V?Tf^Lr6}!-L_qo?;b6lCrY{l( zwi|0-Bor(~v%W|O*m!tfWKIV-j^P=WPGd5`pt=;ZFA@Y2rcGZY5M=adUxcE*hytuH zLeZFv2dEeW7ibP37Pv10VuAW17C8DMAU&XB45f^NjmbbXk=GYF)Cx}j@V0_Z8www} zj1z35@0g4^IHzJQ2hG4zl$L`AkaAETY}mk*gL+`Qv6h3nU@4lFgF0a2;pO1|7I3`N zyByR8l}ec9pcY7&Hszou$mr2>kfL%>16B?~mdB7eCZhl<{lMj*1c(JL2SF@QIVgam z90cjXSq?%pkyj2rZ3L%(csc0bgu+KI2ThvjKPJNu&aGsO$?$<SQfo|x7i`)P8<XJy zI~qPF!vKltK{Y1B4R#_KV=`Qz(rk202G5v`0dilG0aVa{i<}?K*w@K0gXY9=oEZ$# z4Jv%VeMu6>WH!{HlqWBx>QVT}<;#DFQY?K*`j5$cXC8{{WWbJwkI7g;!V})K86A@$ zXH3QcIUl_M<sNW8dH`Z!j>&+8L0JW*zXBVR0qF)wg7XoHV=|L#Q1Z>ozo>lVeDnw+ zg(V-6J|=S?oTRY!Mec#6DD8{fV1}%d0UI_jeUWQmyRr5~u7ag#))%<~HXhy=*-{OT zV|a$8)0oU<X6)-^K*F@?i(CX5J=zzcs4wCH>x)n{CUXK*jDZWZ10WW-F9KqL`XZov z1+cBz2k8M7V<=@DY)l5CiM+nZtqO4ZhxbKXDpB~zWt?UueaB?BgL5j@a&Q}1iqdj$ z1Ed^W4>oLI%E5JDyRnvoYr#@9D+kwrjfa<m*UQ22PVaJXHK<g=9FtiE5~fW#xDsUa zXgNqxIT!#d2PqnpSpX{iz~$f!5DQ!mf>@w(a00k*gt>PKqz7j?2+>4dIrz5(oc`hE zV0tMEAGsX#DW(6IOg}ick})RJ2i8cfF_~VlX+vyGrU&e3_?V0YB&G+|m`pd=iDZn) zfG#z|xKImpGB#*$#pv1F9*xH$ko%Gipn?Wm<WzuIn0-l*Fh=<T>q~-kgCxOyNfO6o zP86b)CohePQ25B@i+B<J%a?L+0wkk+DFbVyR{2s2Hf@NNFC}0{!^@Y)1>l$+ROL%C z*okD6FGZl%(&(5ko-yAH<nkp0RH}f>mjn<CvwQ&wgNhK8ejKcP0qF)wl2g7+&I6}9 zc>CoqDj&Igc?6NdGFC<ZG2eJ_!W?4j@Z!LZhL8EmLc$Z?bs8P>C1=dH0y!TAfN~Ew zA9;XSnE41K49Y54^ASilND`cnNF4Jm%R$XY4^a8Y`RFJ_3QImBeazP#oTRXhb-010 zC>`r?fQ)t6gAE&)u?{=1-B`yuY{61A8|$zE8xJ4rn4S%eV|a$8)0i*lhF~n`$6JA_ zSlWzrSb~fm9qXWItfK)o)<MyjuK}nS0~cr-AQpJ61H=N2btvE%>j3Ei6=Nu69Bj-N zqKUk*j=dS+^bc<<sAr<^k;^!qO!|)b%7b$%)^bn|EJbNKC;=%4#leOROgSh9wi|0X zC<>OMSve>IHXdFM?n(#8JH5+6VNj`5jdAa+5J;Fd<)9$Q=+SbJqH?eURt{1$=F0&p z{lMiQ1BeAK2SF@QIrxJKv>pa?%on5wXE_MbL|!@gAO)QM;pL!LDheOD9Mn&x|Cr2o zCeV5qGR9=Sfi+TVOy(=tv>`So^9Af^_?XP^WN^$5sxg_*U?-9>Ci97jfnjvamjTb1 z%mn1V<O?PShE8yi^8m!c>`Q`#G0GR%m<&iaND|zaByr4lbs{*`!OIuXBosb!`SJ^* z6iZ){{$n!t!3lGSjmg{tI~qPFV+sjRc++NdOop5>nFYxC=mIGBfb-D_5DPOOfrLR> z1*N|N%SRyHAW3jOB5_QnFCHb|y!?U6N6trgAyQcK5$R(x$H7SoYhUCTSc=lV$N?ts zI=ua0!v>}=vJY%G*1pJIuoTVuB74Bb!}}uZ<G^tY&#-hFli3ZbOELQ*yFkLU>5J?H z89mw;p{Osi0@fFyXiR1Us2BqmXe&T0a9;$(0`)}};OL8h^ni*nlrj!BCIit#USH&D zG&udk+Y0tED178HP9=uEV>0u>ITdR;I1emEX*oCpQVvcB8#XZI;54w^Sj)kwU@4lF zgHyo9!^^=-QQ&x|cR4s2R4QSXgOfnQv?&KCf{Y$52PrBCH^9n4ipFF*K&2nJ9Bcrw zz~vx_1u6$CaFl}}Jvhrjh$iyN!5`t^^baowlOj;~$mO7W1pUWk%E7snj4_!qutsW) z$&`Xk8)9QJC16Lx$7F;dF+HfpWQxH~Bx6jb2vnMlu9LwtCbI*%FPQ-<Xuw5I0*Hm# zmjnr8lrONpBuF<%65N+0aZKiL2ugYKQa2QZk6gY8L8P$sCFwsV6Aw<9Lu^bY4(w?7 zn2awZ=;2M9(J>iv#$-+)=c52n?g8f`4-gA8AAy8HSp}uP0?S7r-5^PDJ|b~UW?2wQ zzIiDSjKW9GM_(aIvE(Dt$7I~WNeXLU#0@M(X<x(v(igD@8#XX~5j(KmSo<QjU@4mQ zMQp&v!}}t~1Ho|$&#-hFld%TXrI>vYE08d4`XZJfqeuH96!k?e!1^K-jma2*iZO73 zrU7Ds`ywC~s4t>`qb~x|11iQ)$~f4V3`7%oeUZ0*;Pemgi-h~5@R7?n8-Mza$;g9q zD%NsP4lG4!IVb@s2gSjL4NN&G2DTe(IVcL2qFFg80yZ9A4!-gQ$2+~tL19p-gjo&> zfrM#O4hn*d9xVqcDhF@C%0Y_8WH>;jAGjQ30I|U3AczGj2Y)c)SSJH6AJNW}ft7<0 zP2`n>0^Z>C4=)F+Q2EH^V7xc|$7H@UlDkd@tdUw{GGD=_4Y4tqFJMQ*$7Hm<z%f0j z#$-N&ok+%*%qPatbuvFV@vW12fZUgS!3bX`17czJB_Dvx9klWV)|Uk71~tOKeMu6> zWNy2IQysi~arHpqBbP5)5GktkCGUe17S=Y@J+Ks|ZKxZJ;Q9UQV8aHc4RsA{H`X@P zRj?Gz+E7=(#>3lCf84-vO7Aw*Wl$M_*@n6V5~fWX>LSSK(KZxCZKw~hHWWpD$rGSr z4BUn~0AhjLP#_kl4YdPYmSL_n2I&D6V_5r=5KZK@p_E*}=^tLk^`P>R%eX>_6jjQ& z?ciL9wTuI0P5xF&%eW1YGHyNCo`EUj)`9KDTE?vfOVO;1TLU&86p*hOUNgLOb_T~W zz00`Opb`hOj9Uc~rcD{Q5@hsf8AnkW_k${B+yYR^1}@`ffLP!%4#WbLaT9QqaUeZ7 z%Q%Q8^2)eO2XOj_mvNU-`N(D54hQ=86Z^rrRh@}}0hC+2SwTmncy#xuG;lC5bO&-6 zUg&I50p;Cp2-SMPfq&{ThmI{OePE66z*p^pv_kN4$j!ANQ;@E|?P^g0^`1cY+48rZ z25aetD1==H+zU1hR1tx<K!L8t?QBs2T~yoMqH=_jfuXZS1vD|#4H0a9zzCH--lEdL z39^oX;dqNm3zXRfQ3kOPw3rBNDo7#B!XB`NonU`=L(J%GQSkt!UWhTBEh;_`9!L;k zA&7OnMa2PX%kdT!7bvp}q8DOeEHfxHyCDi;7IuRz3__ObY*A5wSOGGovqeP(!UG9H zECjKRx2W9Ufcx+cl-UK*3$aj*1!Upz7L^N7+2buLSD?)978Ow1>+S)Y!g-<-qN=+^ z<qOER9u<&@U}2DsZiq&ZqYrgVQRxCZ`a0OruNhu0vID1OP+WrV6Xt_lHVld_Fkk&2 zwgk)o4Wr{NDl7;l2ZG6iU{=6f%?t9e2PkGh2~oiCVrP#E=u+cu2-SKLmJU0?P9&oz z+yN@DX}dZh{x3MZKmh;>pV#w{E@OVZ4VrX8GB4x)Vhgzs(9i%C5(wrG1oIDqSpsEt zV+*+oNK}I;M98%h5po3}jV&r53X%2Ou!URzA`(NO%&ry{kPkp<wA3DajWs9(fg<)e zBy)k7peW{KU{G#R0hs{JgD<E30f!t&J1Bg>AqSENb3h>n%7Y-z%c*~`h1>&ZXdG`* zd4gcRKrr7Rm@!Z$){wIR1wfAqh(d&1D-j`Q0Mgi^0-_Kh*McqNG@!wCyhTL^%Is=U z0r>za<Ul0>D7cP8N(m4XTEHl`sDMm>7A7yx{sxB}NINKez##{c2XjCn2P#ZJoR?>Q z|NjrVnwo=wfg6(T5oH6oEa-uh98<t0Mf0csiWi$d{#OK*AYf^T9%#YRJq28lV5oX| z{P+L=h6g+#f$P)Vqk@0*g`oiH2Db`O^$o7b3qUN)(HD>~My(ASeF5nPNrFdT92giF zHZZ&Zg`43ek6v&lfw{)B@gS(&@##G8(RmK6<mEj}a43RGPH<RxSfTJi8IqHM0W75p zk;2k5q5q1Qd~m{~&59T{aJ_vTQnP}>@i?SP1~Czp4Ct6OaBTvuyP=iL6ix;PurRa| z2T`y}CJ*fB?F^tg>@~y73`o#}8Xe&9#l0d1624jd(+{XH@^3rQ*`orgiH^5`D+-Xa zj<=}nLF6cqBsfPIfy<&9P?_T`Ds!MrXd{OkVnSz&3P{b%2asA0RQrG&^!hMTDgN@! zKX4`j`IZ|J9?*tKH-y)XI}?Gz0HF#LaNSc>Kmmo4i9o7eKL1BjCK5o-L<yj*1I|Pd zAQomO0ttii2}<`KmWe>RL6YE1MB;LoBjzZX=B1tm3LiNW2}7i?WFpd+!-Rv=6V~p1 z7+8wZ?tK8Hd+!f6Y+$<geqg(?cJF<`QZ(z{`+$vyckiE?f#VdOTj{hM#v4?TVs`Jn zK*F@?-g|<K9_`+PS~Wc?cnhl+f5C-SZx6U<=FvR`T;+e_7ie-QfL<j{(GaEss2Bqm zXcizAxO)#`fx7nwIJ);BJ)mL?rHq4314A^C*S+U90jGa>L!lIvk6gw@L8Pcs#_5A| zA=WZZ4=hD#8K(g$<J7^14NMuQ2DTe(8K(-CqFEWI0yZ9A#_1S?<CxxMoHD4y!7SsH zK*F>s;}k(gkCt&1m2n<aDdQwSB^$Vm69BQmWgLhFD&shClyM+EILkPQCi2R-AOmpv zhnI1CQ2EGZ+(H9vy>ZZh5wtxn0&Qv^Z&8szFl7+T8hpKRP}$ktqXME3y>WJMZY5*; z5vWJQ-%72eF05c@46&syEMP~&m%8NYgJT*LU!bne%MXyQ52)t^=5zkX7QLYHEok)8 zV1SPq>_jpK_?SSY8Epsn93kNY3II^}fCpPZZ6h!TG}r<fat3i;Izak~pb<Fk7L^*v zh&G7V4dLPGCxR3sRDn$Fo&p}}M(HPlRK2t&t)Ccx+)w<$09)$v0mQ=WCxV1A$`@Ea z5u_U=3GOG7xYR{f7o6(g<x4v%AGv(V(Z!YkZy<_~I|$|j1oH`k`2xXwgJ6<g>bz$F zEwBS^$pX!MkT7xb4y+N^jEewx#s!q&;4>t!k?yx(jaX(}KqC{-{D(B$0SXk*a0k+i z3rHbo)a77DkIEacY48~rkW^=j3N%H6jOm0XOo(7Jc*X@JeH=0z47IQX8irjEWe^J= z!=_ar<Hr0`K^DFSTX+LmsuMCk+TEf8GN!Xd#ROFKLIfcef`(6zLk2jZ79tIif+Qgp z{szxbbVC%veE14%;Rj@?&K8vwp!o#INNZ<{${Gj{BnYt(#5&%hvH)5O9dA)t0%dkV z^g=8YW(AE_9dA*Y0hI-fB0-sm!7z|1;88D-D#VNn$V9O49Ej~83gl?ejLS=~qmO_m zbY3&OWY-2~4NzQyixKFI3n;e0eC#tW3!sq#ay^2%0>NB^U~WJ#w;-6H@la5JLxye9 z=3zjiV%<I9!7)TF3>r7(Z#@qk#lxN-Kt*GB3%K`)7!!XE_A##V9g-hF!)wr~KZM3- z3|N*jf{I6w!%-&#K>-4aIOsG4C>cW)fr?EKvl}wzrwpG81gUu`0GYr6X$J*2xO@lM z0Oo+ocTfTcabEIcoxq7eglG(cnSfxXAeb2lW)6ahcUlKDBhuXio;X27#8Ys1;*SW> zJWMxa$`TQtPrw@SM+9iv1v>A5(D)czM1W>YKmmKaMa2f{ovs!YP=Fvs1SkhX6@juo zh}jJ(UX)wFb1u*l;^hy>tOiIsD7e890kQ$i0YwCCisR+?-`Hx19nic98WBb?4<MLF z5X=(@<{1PN?<^5$cBZ=rJe!M%h)3Y?#2*o$$(U})1TP{yAA&XFj|k9AFLd$-q45E> zh}eMe!xkvBt3?GAAV?7bD)vC3e;iUIf|$_KRJlb3WCFD0eEHxPxIhAF2ZudqN)sdx z=71ssROW#=FYo^XkBozgKJFG3P#FgrP)C$_cv?Il#oZ7+-96y4xO)n?97bvJfK<J_ z^$R@M1SzLr6FRUlCy&NM0lA>HzFWXUP#%rP5|CRwH$d$ia3|{mh=tkW0SSW|H7KJ- zuoe$UH%JoP;)x(_dMQj59E$K3&jD0Ea*JmvL<-d90mTYvW*?fJ8f`%W3-}or_$R?< zKtSrS51Dthfcs6LNat_;3ofUj^Btg?9uhC0W>D(^{wW9fw;cqv1|XuK$^cY9fogG3 za^`QP%?uMKt!9`&&gE}~@43FK0uFuncn<CvCP)xF%wb@l^(50>NKXP*v7=5hy@Yfg zK(!zZCz+m*)_JHv&PoSB83>$}c7RxzSqUTz$}L#45=b{l5}cJtoMbXn21h15D=k3f zBWI;nWo%W005m%tZ&9Ja-~?z|lfRXW!HI3)N`P8}6I;Qi4Y9$AEnr8(2PXoRz%dPq zFK`7j7zQUcgPn-0s)j6|12>l;bIFLRdK0#)8q_`pmFc5*lzB8BYd|hvR)9(saQU(T z#KJ6JK*FFR1Z(*M(hZUXmoFp^PB1EfQysi~$wuWPmoEVjDNq#*jm0a7vISJzKt<0$ zMM3R1P=~ii1*Go#Ma2`%4;VWRwI1l0qB0+xu&_?>%mYhNI>9r80lW`qI@qv*ncx9s z8~#?T6FgJF&Z5}_&lIrn@ChCzd2k$qq6l2F&}kBTGN=r|oZy)R5~j@r&qR>XqZ2%k zOb04$ajv+*J&8SmDib^%pkfSMpf!M4;0YcO%cIjrr2^Y!*B}j`G7P1FgUn!ufOL>I zwc{rPPWkZCZ3ikJxpbQgk)lHB7NSzl-x>;T?1R=Db22bMdKW$_W&Ew6<tyOT+MwJ> zOwXiyiVCPe<-EW@^<bxqN<oK@N<M!pXetxg7-%I7QrX!8UhvfosgfbXeo$%9LNJH` zhy`8e1ueBfE3-gMsJ`wVuqnu;c8E$If9p4xzd$Cy{FTe!3K_Sh`trFDl^p(7(2gF^ zDD=w~X>fFcf(~4eZH4qIVEp4P;GhCa&4NgQMw&ssLRzhleE}VGIJ&zByc{3Z+u5b1 z;IIZQ)Q5RFt2B?mGCSx}ZtzGbH2gu))7=AJv<DUjg&}Al0GdP&b%dy7mO{#}c!>Mq z9i}NzXM;Qk8s&j4&#e3p8HV5luW|($2pWa}l>pFW&;!}u07*rt0}LptKsI$x0lN)D z)yv%f;D84eDIf>D6oI%7#)mfOz*0Z|f*nNT&fG_wi*RO8q5LXQNdVm*5TX*%dB~^R zMI{1MYz1HzTOle5ILoX6kPNs*Mq-cesKo#OpZEp9TU9{24;eZSA`SRx6rl|GfVdDv z$3O84K$iXXvYIk5FnDy<sCamEUjM`|=%V7luW`_$I|L+9qGI9EdCjNW2Sod*82EIa z1dVMoynJ%^-+#kP`$3WDv2O(n0|Pq)17t<<%OZ*Y|0jTfN8=libsn92R6x4`Jvz6j ztY8GWXb+g)#{$#i(G3yt=-z(=<mAphDj86PV7m1`|C9qAEh_em3=AbauNgpN@F0o* z4<Kx?>D>+*9*_*zqhbf1C(Qy+On?-FtUcCXP|U!<2;+M6^74ay-T}6<@d(JNhYb%H zo^<Ry2?|57o+gkU@S%kuu1Dh`4L$}2kLE)h9-UKEK<hzaDm@wxJxB%lZ3|S`%%k&q z=b_Gnjypis9A{AhjW>b=56upb#zP56hJyTsWN1Jd#88MZDTacb19d4x_Y`ojdo&)B zAlp!|bFdrQL8PJZ2w;5y3b4)=a9o4tnLw$D0lar<36u#^3voL`H%E5>%lAu)7n>h4 zI&_?CJqg-)Webky!{BKxWVIeFtta`Xg65EHz$!L^RdlzgfVQ@E_o#r(=RCnb^-yPv z3TU}p#}pNFu)@X23Oid=K)c<#Tfpi&TU4enGBAMVY#13BAT=XY8YB)80I{Gdx_iLt zzh7(xS=2E_#SCo2f9P};$hqJ&#To$$IEsS76m0S*u*o2EVL^rn1{1J~2VfQ0g8{Vg zuVab|WHH?(WQ9}?2FRK`JvPv!Atd`kg5dxt7@7}octC>!*&`gCJ>aNA7V}`~ghb@= z78Otj5R_z~UIT5~0~y*qQJ|240X&Ng+VcpFNQA5iOm+?=V!?{0sDOB!7eG_Zoh>S$ z#eblr23h^Lf)C<sPz$3QVsG;cM*b<F5n=_%GC_GzP`Atmmp%BLCIPb?Y%3&<A)F=y zlLa{j)XW085Y1_zMNS~6iGyrxJ%q<;pyg5>Ju3Vlg)OdNr-6KcvKIvuV7owp*m=G4 zSmzWK&?>3ULoVGdU>1D82(-+9!irqxm;U<y9~3w6g1Fnk0bUq`*Ce%olt7{zS<!I^ z&^clZFT){IDWL2La>C0%$et${A2fN(2;F012HND*-2y4gSQS92rn3cH=z^x8LAoJj z2q@q{tvQgpASE^SG6b^nsR=v@fUFjgd00V<XCR$WP=*H?3Tnhat%9aG&?GP;0|R(V z5J(j?v4NV#jL`ia(82*kfhsCc@dR3P1Z~6}g-q*#QUl23*At=M0dYVx>M;M8K^k!& zJGdc55+Z|wj0VjTfeNUP|3MQ)AQtj&C9n#JHmHl6!3sg4h0>IRDg;@B-$bwqXi3?l z0&+eM6F~}JivCBNqe4%1pp8V3WCvoQC%bJZ$!-T)s!@UjA+}V*4w?#ur<w^Ar<(uZ zQ4@Tr<{xN$fi|fIG-Cx#HPawL019?cLK&&42DIb~l4?LK^i;DOCDnBPgcMewl;^=} z0BU<d3lQY&4{2_+UIbMGphEULIKn}5y`YlwB_~RHf*As;ctJg7P*gxlAy75~6&N6+ zL9!m*4BZ^f4;i~fL88r%7&XB*boQu#mXv`k0xhO0b$}F=FdZH&ShawflOQdiWh14$ z;4UU8lYwjpb*@1)#1)_&-XQzXn<mJkte_<%{H;A4pbD-VQbK@ADbPeGWEn*xObWDH zxVuLMWGJi=1)6Q|n4)qY97x5;3Oid=Ksysbo4Y`(cUx3SKs6ae5YlFXN`u590w5M> z=>te%4_G~-5e1sI;cxZf0l5+qMv!RCfJP%I#GnNy$ivWr6T~DO1E5)KP;g!c<y_)o z05mHO(gK<ahQ<IaD`HOvu&TTV+{!>6I+&sYnVQ|s4e}ePr_aa$9SrJGISUThH83eq zEJNFuuoyT28pEEVavZF19<oBv<TWTLA#n;CMuPA_dqN=r4q|~;Re~xD@Y=Q-go^GS z6%Y>*1INHN#PEV#iHL#jjy<6JI(t+?z#TVO^}K-@)VYK-@sT0`)W!qV$=wk3ou>}| zl0W!Ln(^YnpR5NTGI#c<xG;h{0-(SIMIKr_LiK_ab)M_yG3cHGwzu>8!T<6H-%C4o zUT|dm;n?^9lq_8|4>@*#w#<WOR$V$yc27|Ou`a*roC2<`K+Xc2HwA2I^C6bbYuzFS z-Fv`BI(GhWJorQ2@!$(-$If4_jE5W>A3;pL?$GfQYzV08#O{FR2M-*--*D+X(0Q#l zgzaJH6mUbe8$z{S;-3%7T>C-c*&hr}(a_WZi4~CFp~(Ou0I~>gs%n0~2wD8m{E$%- z)a&SMQ2|XhcT7<M&ApbIgGZ)7#$Z#q0g{5&gHk_6VFGdtT14P0OxA%s)#?KC6sQ!0 z6((!JQkF0&kiqaq5~N24nxg8MqOu&UP#akxA}NDBL2*)E2Dahl&`Zjo89qo-2C=}Y z5EPCBos_{7b^R9L@T5Uf22ayXQ2|ZU5lG4tAW6BO8cDeioJcfbo<dH_y<jOhm=q`q z(2_D}rlMntN;_B~Xvhtm13?O@oRr(ZHk^a@0bgc)0*}Fh`o*AJ`!e7oQk$oH#|cpT zw-?+5G5iL)a1Jtd*V&>1+5p`Rp;`|(@=rP7($S*Q%D})-;{2My@RH#H$IgS$BLh6T zq2qat$H0B}&hH+b=XQb`9hhTYuNhvR{D$m=29TC+kCfJv{8K<3p%#!8H($?%_L#wr zHv0(n1gN<IGWBKScN9Ko&poVDl=dBAIDx7NRMx{XibppCs4DURRd<A{q6Ux~Ak8Vz z(G?&Uf{cOcC9Ns~&2B;RFNg)szaZn`=8;<!fgDC`RRoUdDPRgx75S*t^Y=T$rffj& zfmAynR(FdE$ZUuJh;_V01=MN)g~IU`a0>y%1RY=kX+)saLoO;69U&^^piT?IC=4|P z9U&_D&}J0KbWn(cPKE)|s3G4Cu^!$yK{RB+6HjnofSN9lipNJKm%r5->^sn^eT0#q z)+W^Lpp*tW?+MnAhqg>X4c+b@Z~%a3Vn8}T>-3@RGtf*-4u9(}@Cd?dhL?_C!IK)G zhS1ENpz-|gKbsFQb{^<F=5g>DbLWT778TGITxeK<auGNU?E#B+{&(#B;lp^W+s*~n zIC4?RaOpfT^ZQT7&XbOs7dw4aQd~MuUVh#kqLRV+t8<D9ID)2t-G^pc^KlkT16?{# zbcU!T%yjAYQAu(9{?k$OBFwx5uz8^PeF>VHfrdROtX>|6%;JFT0`Wm>%3+yr4P;3k zD73gC?R!L{9~@RaDxi>s3=4n)6J?+QtOBA98uk!{AXi{h2(qRduZdt4kRAqp6F~}J z`k*iM19d1617RU5F`$m6i%JZ7ukr#)ud?tnxNris%t7JvGVBWqA39(Jma_hWD6CLB zpxAqDpke`1YGLm?`lv)Wbd;!sms&yE<1n>YdyPIS0U*Wx&};^Z7?7i&Q4Pun(5MA5 zLHi6KWg{rskb5g3Dt^$3PLK>Ni}^w)IzgEiq#w0t0EG=SLcqghAXU(wCUW)y<ymNN z1Y|BKd-;G04$yfhkU)kd!q-!v&W8E`lw?56m(Yn$kOiO}W{{32hzB*dy9Ye74@qdC z8XYB}Avpl*T!=!D@z@lC4aRFCSOvVu0y!Uti6Di9#>hfcJRm9313g7vLrIZ|pNK7o zK<yDw$pK0@-FV6&7qoK70ak9ffXfY#G2M_cYBbaEltVV)a>xO-9I^qM2WsQLd<kjE zfXoI*?MX-yfbpRnL$K7k&)D)6sBp!duRyZ6#$<d{EI?Vs9G0(OYH{Q%1CU~Uiu08o zmVBj)H(!Cm0-8{f^A#xg2;?grXui4)31m>h1cf9e`3mG1Na6<Bk1e}^vsVwe)`BP` zkgxEV2+A&qrU1zKI7|d71m`PISqduPJxFW>yQpYW+yb7B(g;Uw0f(q)mE3u~pt}V; z>Oi2~016Sb9EMrVce<#kpygKuSbkLj=P!^kB<EKdaDG)l&95?G^FR^)k_D2KKxTuI z(#t2PeB}Ik@)Nc5s{|+uiW8Y%1we}VDbBBaSn?|`jq)oGG{1g>^o&3W9u&x<`4v1b z59-mtI;}1$+!W{6Z6A=*XE%C&<pSkb@H!V5;nDa8G(-Vf?*bZt0Ihcc_5VSuTR`+a z&?+6!kUaxT#G`wELNRE7v__@EqZ>p(R=T*T<d<-Q+Hx)`75^V#S>FRP&ZF^=1xR!E z78MBh7>Em6#lp~uyuQZ*yvn6UB>}d+Cjz>@C&8n$L?r;az9#^*YRLn(zQ?2S2*@Xg zVOB%d1%2Wd@KJF9`v5FZ@QGi*MWuj0{NyM8Lnj#0JoxKQbRKMe$k^GV0_xX-8j_$^ zAOiyfsCoiXQ&d15{qL7x^C~4O_NDTm2^CPe4E6>q$QK@+$HCUTEJmL$1gq(u0`)R@ zOB;Ab8nOh-p!1O94kl1nkwpcvH!TFTXbI^`3V4$V=@dqgnfpNbsPlVgiHc6=HJ5G| z6&<KbSP(#Z1Q$Wu>Okr}8xMjU>H~F(CnPe!iX1yHBQ38|VE|pma~>RE3j7)eeLC;? zblw7mwuDdTMTjqZL0u5oYN`(?jX2OoBv|?ibqse5a}0G12?nJ!c~HXHqcQ<ZZ&B$0 z(cOE%^gcG^l~oX#{SQEirE`ypfgET>5tN3cw-%Ln8N|vekb?ivl~rKVkylp5$uKbR zw_XDGb-{5a&%ki3!J-7ZvI=ZhFRvsx&2@mSY&<eUo`E6SF~%|0G0rhQ7M`deNfo?a z4W#W@6UY?sS}PFOqw&xVkc&ad8|1adV<0X>4|4JbMFuFx1;~KH1GIvzMa2W;NYEm- zDJl@*Eh-LR>1&|qgD`tkEM!1216|M-3OdaLG@=c%3*=$s6%6Je^ICZS|Njrllr4}j zhbn@tV0aJdA7{ujFc^RmV2etQJS6dVx2S+bLC3kugUcz91n5X*dGHiR094uW7L^bv z6RJa%fq|i+vqeQmj)9@8M<rXHf#JYQ_Lh_Utvui*9NiE*V9GQg%JLD)IKj$1diSV! z$TKkP1C2$1Qz$sqfsX#%qT(PAI)+RDycqBkzhH}sf*e=?#)vxz76mB-ms+6UX*?bw zz`(E*<XEst#~~i1y|-gw%aTALh}+vyU}ZG$_5>7f!;-%T>kI)<+G~MkEf~%4iC=&L z9C~PB3JL8re!Ua?(?K&pW}xKNegeGojB-N{LJTzp8@d@B6z~ET6nm@*l)2UfZ15~d z(gF<-P-H07wZ>pWTcL}>KqJi_y<5Q91`!~j(D#5Y5CfG_AQ=daGo%F}DHEg;T&A#| z2m{5`aYWkPk+Dsjq4T)m0m#Bxu&TyGCq99cUE2kkC<G^QNM-?LW6*dp#MTyYS^J4! zprIoVlxHwA4~F#)A3^3KSr0K6lDiP*O@Nsv0n3aKhF}XgzaqR-f!#a{P?W%<W`z@I z$tq-FodCG-Z&88Jh;ZY8Sp;DSwy2<*u>sv8NVpyJfUIKxbw(gT2QH;SB?Tyqe4uXd z?0o2W+!3?@mcj8jsG?=?fsFlvO>yiz<kKsAk`)v*dsH;Q1@(6y(6ZwxP~H?31#nq? z33TL_1ekf!xAP`AW?s(z2_EJEm2ik67F4J<pZMR|q5@*Qyad^Q?;{UBJRd}XI$H7! z3=lP-a`)v`h#I`+G(wJv1{nY?z%k8ffgJMO+XIQK-YL*z45^|&@e8!UlM5tP1zJ=f z40v*ZDD`MO21)YAI6zHFNR(}XMxEIwegQUc;R1;<fff}A1D-}e>$(|0^&L23pe+NB z#^az<035;fD5%;LkYQkO={ydyfI|j$=n(^mbqLh90f~VE{f9KDuDs~D1GHxQIIM9s zM+Kzar!z(c%TAm*Dj;J(lJJG?$6ZtmK-+XYy632XO!VlCQL#AgqT&FmnV{{hm%&3S z{60v-!|#POEc_mTSm5vjiGjlJ29EFpX$J)n*6;%v1CqoVejpREhMyqh6bL-&?*#7R z1zf@*np~iI-lLh8>F)v}{7!&E4ZIEP0Eh(+KadzG{C407Kah4%5Md2JkTD=htl<YT z5o`E0{sga393tVj0TF&HK%oW>zXc!`IQ&3jpzxc4Bm6+xK|zEy{6NNlB(a7c$V9B+ zXa57zYX%iN-5oXW7#KQxz)e_0wN>yARMxksFhFY~2o0W!{UHnPEP|T6SXKprnz+YX zR182(Pe>!%1j+;%4%G`%1X~pZHV5yjpedjL1g{DLvA|QYAmicYO;G`vhqNjPWF!%* zf<O-Io&xqb+Oj0nRY8wCr>J}Zc^X1Nreb?kCQCCg@b?>wfmT^T8VMj*bwm8#{D2*F z7!ag40kQ}p0Ahhwkb^?rqwyezA1IX1Bc}I3egesLcWi;g${SfwR}j+f@L*j5jg<#b zQ3wr=l^Y-j_Nai=V~Le3vfz%41~e)_cQ-(pARD22L5g6p0yYP4tn|QR1;hf!3dnf4 zc~ewC<{`xj$Veh$MF$jSQy?BebpUFtfYxeV0C{?f3W$QmN;f!GN<|T|0&*4TbRbY$ z0=#q%Dgd$wGFuK}A;n6D3piH5Z5NPtAQAEg5+Ns`K@Sr2V0{3MkONRr2n~*q9U!;$ zsDRXCiI6Rj2vLB>0_dI$C=+BOR4+&oEJDEMpoRz9;<*-hgn(G!2mu)nH*bmx$ULM7 z0U1d|gs4Cw1f-f^HrW93^b{2k1&feoaD?m;K|~11RoyKrAPSNzK&<W-6_7;`0T2r* zLL{8Q5rQq7sJsUkUMryC4~Z3t_aOBxDhr^Z5E>jSGe8dPQ30vP5-U?6u_6JDisLOR zGEgQc6rp-SieRw<HV1F4)WBl}!~(|($auJUQ&d3aA;k*FNFrhd<go535Rafb05h9R z0C{?f3W$QmN;NoE{s|*u1>~yk78MW$$rT`0HzWig0w61qV&woNR?xCZ3?xE2pg|9b zkN{|eG(bfmG&n*kKyK?%0jb9lAtjIq5rD=5=+GM|6BK|@y&y%f2mzae8Xjobqy!!z zAQm`6K*qz(o1y|T4=F-GMiLPrB9LqXQjIs8fXb}`kf*1pfGAjm6oVtg6)8eMuIg@4 z0a1|f2eG<aR6rI%1VAjL2oZ1vCHwPe5i$i5AsNu1heSvRG(r-fq7WJ!ArT<A^{9Z< zV~LOuNQ7`eW8rv<3J;VC3P7k{kRn)wfX%@hAvy2}0kOal0x}+M-V_y(c}NigGLnc0 z0XeLD3dAF*4nWNzk2<HQ1b{pZp<oe`4UUi=Aw&*g0L5^N3dnKI512b4tZqmMKm@>6 zLc}{;RG{iXf}JfYED+rwL5N}ys~aK?5df(`iq0L7=)_j)oq@!u2Q>B|ae4q6rw&k2 z2n~)?3y}AER6y#n#Hk4+PJhTj21AdxsQiI4L2&@p3sMA&Q?NOxL5h~iQs8k4Vu9ln zWIWuwDJmfIkm3|%BoT26a#;5ih(}NzfEuTuOlAP`^b{2k1&h;UaGXvMM8qk`RoyKr zAPSPFK&);^2tWitRwBj93wLapjO7Ek8q$CUJtRVYKqEu}Dhi>&5h4L{TaOAzJ(dU& zfkem$Xe@w^wSY1~0SMI#QUr?-usNvVffgY#@CX61z!3s69&X+g6_9yI5dt!jhzR*2 z$H34%1>zA@2Vh2s0Lar*R6rCgLZZPDa$NusAs|<Qj--`?ZQz9pfGmPU2#AFgArfBL zBE$v~Aso=4heU|M2awVh6$Yp%ga${*4;gT$2BaQKgnW?!H=kcXW8rv<${Q#X6o62@ zAVshU0h@yw9%vB~0*??73mhRJ<KgB_Q308U6d@oZiHH!8!@8%afK=nHhMsj!QTYJ! zG=zdhNH91;<d7l+<f`r#6%f_@z`hg0>V|{>L;z$ZQiKTjfI|8FPNcb1NdKj~qXZHw zFF*r`(1Aw})(mK@Jb;QqXmG6D06DNn1*9HJtXzS_$^&RrfG*F2GC`pT)eBMtixsdr zcw@x}9xEUgI95Q$!_Awb0x}ONRzOA)5i3t1*#x8-Z>)g2nioKxo}vPxV6oy2j+F#{ zL^c7rs=Gx6L_wO&AXaya3dkae0EmSYD;2oAnrk4jasnFuki4=08Y>5&q7WJ!D?30A z>`?)!#}X@BAhB`-8WqP|RPI2TpiqSB1u25X3fLUHvEl-c6%Y#?D<I?H=1oxnnTHfB zAR~#06_CTar$9V{>Hy5bYXivBQ&d0{ELNPsv9bufpdT^-0CE-Rd|Y_p1r-2U1Sz~g zETmXj5dh9BXic^!kO)};4SGm~+<->N0;nj221m#YklT7xK<cqX$P`F~T!6*`=tx#5 z6BK|@y&y%f2mzae8Xjncmkm5ZKrC>CfQ*NmH$??x9#VvWj3gpLu0XO0NHyMU0xG;F zfIK}#1w_Fj#2OqSkj+An2m!eYbZ#9uLO_KVQ~+cVr0@c<kRoJ35I91x6<#tQ!F7BG zH2fj4A^@IsX;Eo_ib7~`tW<y;*rNhck0n-0AhB`+8Wo_kj-X6XC_?pu6v1KzY!2R7 zF@eVlhy{)nknwQyrl^3-Ly8rUkwnDG8Az;vR1=Jq0+6StsDLO~tQdo1MH{>Y2NEj> zKnAv`fE)*j1Q4scMFnILL;%DB9rr5-o(+Pk2MKnzs2qXl1_?qGgIJ(@m*J5NQh^kw z9HEdnMOtzJsgOLncW{(I55?KJVyieq=Xt}Epx}bUtp#{+=NQTqV<&844r&4`cx(bX z<p~)ig~@2V1`XZyK!;EbJvxsgn)0Ay9eTi%lOBx+!5!)5gB+kyV9)?5lG78wW=v6m z2pf5Ho(COR11>I5i~zSvp+-Ok1>vU8fEoc2Mm6FR!n5Fn5A_FVJP;vs0c=2z3Pcp_ z4|vsXh-3mdn4u;>#=&4A!SMzX5)e_a3GldpqzK5Q224G83K(h<XebQi76^@)4n>== zhfmDG%y0nN*@-ldy8|4-c&0-^vv-82LqVoMrb7+6LCI>5iiR|ZhEIorc~evrz{B~F z=}<5eF&)}-2Rt1L8l}dyiu41hsSFuO22I?8qQ85O3P==n6)DJ!&K4CBP|io*fYPHP z02Tu6L74)U1~2~v4^U50fs8_L0jDacu^7w0K_-K?p-fTXk!E0+3EJucGSU%gGYUis zY%s{gm)~E2{RJB5#pSOv5PyM2U_t%@C8_Q`Dj-o*e}T*Zb+EynK-yT-qrw6fg7}L= znt=gqJ;*%JNIrN{eG53HLXE}n7szCYzZhWt0vU<wFOU@2V9?0$%dIcL{sIkv;_}xT zh`&GsnIM1d0CD%IfJ9OK1u~<vMdgnaXi5^rUq8S?5PyNB!PbM!>z)E07)3J{!(Ski zA^!Rz1&uF|k&Y<w1(E_A3>sj4nfD6pFVKJ^E`RlaJOUXM1UUda0=h>9B#P=UkQtpV zDj&d}K=Icbun@#wAZf7m;GxAS;K5ZiV=?>%G8y8p7chT;j70SpND6E)Xo&Kq&TFv0 zKqGOu{FMXo7idHc<N)wM;vN-{D5}3eW^}fwJOO(G#a|D=LJ)s}q`}sM%<G;49-%`s z7Q<g4lOg`P1M?TiNK}7;q`(G)M*3bph4>3J{DsS3J`jI_hNwUe0FTG*Q2~jf`U_-6 zXN$@WuqRObbp<R0@fS!MY(2=l?kV7*J~U%7`~@-@;;#!Ze}Rld^%qDAY%pkS?B%>S z;P?U!0paqO4#Z!e!5NSPz++N-R6wGr{sNiN*`jg=><JWqod63#`~{K*TMsg?dkT2C z3(Z&ze}PPf`0EJFUmzn<{RNT&8w?sWdO7PY*k7QL6kPt|f%pqF#sP8wcxY#j3P=>y zUm!C&TT~8!J%Qq{Jzyb-zd+Jp>p|vqPf>x4lx$H!G8V&MAd?~f+5z(y$VgOwfuz6& zgG_uG{0{6d&=3PIf4u=U10h2NAb){JNcO0JL{a?(GNZFaWeeC7DE`_27J~Q-Bn`G6 zGzif>1w8nIW-NxkKqf={wFc%dkddhV0!e`l1`T1n<arPF7pRkt%U@?8{sMKjK@I?q zG3-$RiK6-oWCrLC60j#w{Ivuu1o77jDQNu#GOv3Ixbu!?EQY^8CPVzS0Ol`{k*NLx zNrC+Z8U%QG3gRzNrx=&N)<FCP>aKzu0PdylQ2~jf`U_+R=s;SqCs6z~11tpb*BqF? zK<0H%0S^G68H?dBkjW5#O@a9fWF)G;KvH0Tfx6KzJ3fHp3)EZ0<*y!yzd*e{kORP- z-90KGQB;3{%mAIy1@;7rzk0wz5Pwa8`3q!T_Y@UK-*JlylCc>60+|f)R|m{rAR|%z z1(E{$3uNL;$B$rtf%;px{FMXo7pUI^@)x+fxJLyfis~<r8J#UEEnrWe_^Sad1o0P0 z8f-ntJkSBf;6)!>RFI6t@E6Erh`(xJ{sI|^>MxKK*kDlC?d5;y<|<I{s=K4-4Y)fE zYG;Dl_aH$J)&_8^9pB6?NIjPBG-w6Lamd<B&@9IB78Mtyc`0zar3buB1lFAfn}fGI zT>%Oq@UjyS3*4QCtpWv`HwA1SQg<3;BoW<dki$TYRZ!m+)d8rZPEW8eJ1Lg}Ej!@@ zAK(P(Jb_#Vy5$PIz=0pMcO5d-1hT040Z0JELh4mASc7^j=XWwA_8>sIP2C+wAh7~! zB_m>G2Q*e7)3z-t5E?Sb0jj`yAgeVnj|c*-067j>s0xi08>CnPx4L@3%S2$Y0<srv zi~()vsQ?};AQm`QVC!JP=1l>chZHLyBZ-I=ki$S#i!1{JngcLn1+?CzdkV_3lYDTj z1h6AUXhE*(hWH&42~Yu$MGyfH3n^As;Et6)kXQk=@e#4|0U9fix!V>M2n~)EaJ!Gt z+7nP`5E?6>?i+{+G90QGv{nQbD<FH(0tGErGT^ZSVu51?WIWtFa@U@K90nSpB@`>q zJ0Xisx*-&7=qVi>E0e%$)**QX<SO_Qt$Oq&S|9<Cl}ND?U<1i3@Bs^upFo9GcZbPa za0v$Lp}+(^ST){))VHWWW?x}6I99-|Rzhn}KwVi-RG=-<g6ajW6@kSH$X@)hk^qkt z5DOeDAmc%f1)E3i+7nQ(n3%OEpjZJdI_XATdlC<hl`G)w36NL;xeC5S3ls@Z0gy$_ z4?qGS7E-MIz!fVVtP$V{I1b)Q0~sj01lkY)nS6y5a~`Y-(V!8jEh=D9<WV9}*nnc! zgLMLSb3korgrbO8h&f<U?B<-nZVqJb5$2wPaELizQLN@54OK$I40Xs1vJ4oqg8()R z389G}GGhQa0@-r#+J91aUO;Sxcnq}j0=|@);D{Y~C>?3U4&qNx?FI{Qka;(7FO5c4 z>cL9H&I^!v7hvW=7Uv_c(g&5;FsnUSN#9@r3JSs_ilFT+kP*e1;G_8Vs02uX$`IIy zB7`?Z#X}0Tz#lxK=m2ISMii}1fLn~91_-VeBWUap+DHJk7{M(VJR^!WprQkHM9~5) z1ZgpXq`?h4P>^>|0XMeLjKyd%f?Nk_F`B?yj36UXTZ|wnu)&}v%S)N>V1I$Ca9sWZ zjU7U(S&#$3Z2&wYiUwd$ptOW_z(NpzfuzCKgQC8BiVCEP-lBqJEQY^8u7mhX1LiM~ zk*NLxNr4RpnfUS+#9yF#6_>w2V~5a+3gj<vt&C?xQ3dP?6n`mzg&_U{NrSBi*MEo+ zU?gKP`~`9y#9uNne}Rld^%qDAY%r+Wd^zI>IKDtN6E1&&#txxHJ;-0+dI-;mq6F9z zDE<-w3qkw^k_KB3t}v#kK&pu?DoDm+_zUDZh`$72{sI|^>MxKK*kF)}FT<ec(Sm9Q z0t@CrL-n9C144uAebCHHcMoKpIc6If+H(O#47A<{1to|HG6t#_qzG2;gYpsndY=ar zLg1bYhy||qLB_+)Be&-Qau_i^7tksOEDPqj!Sy~x3+5eguVTQvU>-El0P;SB2FD6$ zGOC+U&jpmMKv99#8iVQub#Gv?0xAXY#|jHPRzNIptbmLMISXtaxjh$93MHoJ0$LM? zrRTy7juna)%r78)!Tb*B5-bP}ju6l)?QTMy7SN0cG(tdg7$7Dn0HJzG>$LolWMF_C z@dsjoBLrkT+&pqSEg**x(`f-^6VOU|v`))!Nl*u$q6PB-kfqs(`DT!xAcYs+1@oX* z0Z1u?2FD7huis6m(*l~$0YwGcf_bQ3(mE|);IRT?fnx<^JjhvK^T_SAfTo6s>9l~d z36@UFXK<`gv|#=RBv!zk5|DQw5rTKYJgD6PQVOBL5d!MwgAUgNb%`-+6VTfA<B&z; z&<Fud9f6o2W1xCLieTjyXdViGx%CDfAs`kwLO}CeaPtOe!Tf7*giy3#-UG728ZAQb zE|>>3m_R;<(BKFG_4K>RTrdyS3tHd~ixAKR8vY1*0*??73mhS!2|AFoz~&9mg89eb z2pPZy^B*A5iLKPbyI>yFSOf(gga*ecXk4J1%mwpMy`Tl|us8)x9^#MFJMcIKvA}T( znw$hV3vAv1EttOzj#G*j%qw_+I<x4zQt&RA2al$7w}9s@q38dC21dHcTrdyS3tHd~ zixAMPC;kYz0*??73mhS!SyfPkfXy4A1@o7|5kk>|`2f-v%!B4{K|Y7j;2Z*4rQO{F zS!a$}4T09KABW8Ug6bZ$1@ln7AVshU0ZrH9kB~F)2m!Ic5dxYH2006C-T*C_KMjr$ ziWba!khx$UH2VzlK7<Cx3TTyfH<=6Op?X0J++nc-nry}&D@Wk50%Cz<1vHrrau(RU z0a`GB7#u4UEtubcyQ_(J!8~a09OQin4UQGiQf*Mr26SczW?liUT|eHU0-7s_<`vNF zHi!u_2C5gN2o@`#sdD_WvIib3AQm`QK*qz(8=wXAyTP$S(SrF0q%W8U&CY{-4xzyj z0$QaF>eOJ55YXE7<1H$nNq$f)pe>k(>IEr+MF?n)AAf{wfkz041&$Dq@gQe`%^RQv z^P9mDLeYYGfnad;PV$0z(Aol!_aQVmRuVvq8pvEQ57i4=;0}uw&<X|ov9bmpD<Bp) zRzNEtK+Xc2H$V&KSA%0^02j<VP<O#RWGECeBjmvf9-DwJ?gou+f<hbA6ZT+*EtrRh zf|rSd4vGYK&rnvogFDjD)$WiHy&l+Fdf0+_h%oAE_X9{1h~QQ!)Ckb%8<G@k!8}A5 z)d-~J?%;$E^#^1W3+6-Ef_aE2*dJII%!7j&Y655g3lS2q1@jP5unF+_XUMD=>g*qQ z<_>BSWbCFz1ws=)`$zhMc~HC(p5DS-Fuy<&RAj)Ww;;SJDl;VE(_0h3OvLn7^jh$= z0%+hH*R%q3dJ8hly+s9-grF<iQ5Qsk%;;=U=>g?@)ak7bun=Tg0VE9`{Rf%XjhHS$ zG8SW60c0{{TA@V}x&Rwwq$A1#Y>*V#V9-!H84Kn?X%f1!9o1jp;pY~W2Cyekrb}wT zLJ)s}q`}sM2A)A9`OpRPNXBCL3uH3HUllNafs91;7f1?hFlaEEj0N+cgx-y3daDHN z2^4=7fQ2Cb0!f3d2aVq%Etp3#7Q<hN>8%`?zd%N!`U@llHW)OfOU8nE@Q5Xz>8%W~ zCs6#A0v3Y!3nUG;9y9{ljaV>`WGsfi5Yt-;Fn@uJMD-U)3T!ZFRFsSb^WcF*JPYPy zz@9+yR|HrH;xCXi*m}@FBGQ6+Bx5oB1sbS?q{9%Hzd%N!`U@llHW)PONydVC@c0>? z1@i%5PoVhA2P_2f7f2dxJ!m`*X~8^_u^9dWjrT$P<pJ{-$VgOwfuz6&leu6XJcfj4 z!MqFD6Da<201H9<1(F6^4;qs~S}>1fEQY^8V_pz{*}(h-G7{BaAStlHWG<Ko55?eF zFmD0&1d6{*z(NpzfuzCKgNAmH7R)0Vi{UTO&=JI61~7ktj70SpND6E)nG5E@BN})X z%<F(Xf#NR>un@#wAZf7mpb-+#+#qy8FOsns{sN7_K>Vcw^B2fSRDXe_zy_1KU>-as zfM>zH0@xEM{*nO;LHq@h23rprV?bIkk7O){zd&Oc5PwO)`~@-+)n6bfu)$<5m<RXL z@hq4Z0eb?)Ujkquh`&J6VCzA>bfg9INXBCL3p5G<@fQ!wUmzn<{RNT&8w?s!AY;Ki zxO0kU!8`}p6Da;-0SiI=1(F6^59;h9Etp3#7Q<hl&NRed3^0Fzj70SpND6E)nG5E@ z-8(!B=Kn}wZqNe@LHq@h23rs6E+Q?MM=}<}U!d+N#9v<|pzXsS5)2He{sKvX4JLKL zJg7wg>OVkeaCaIsXGn0_326NmbdUqI;tIqB83WZz+Om@mpb!EtI{~r4-D!~VaP!Dr zb^>x3sIf}sg8BCnp!xI*NK3Opu7a+GgRI1c3V<wv2!L2fT|x`oOSADVm<P3IK;DPY z;8+1o91>i10$P0rii+bc;1y^fCde45UecDGynx3Fhy{)nknwQy$X#{<TF3^fT0ryA zgtjqYS$6Uq94kUdu>x`xbR{1o5}*Pgiy#6Z7E-J{z#S`i7tDiNV<7KCXmG56rv5-9 zv>?x58KH%o#{}vOf}-Mh3wQ@LhzT+Vs+Y92ClBDU0%Cz<1!O$jJaX5bfcllhtUUoO z&Bn6!<UTl7LMT}<-+^msHh~56ptdB)`w$u&E1-Em&@e6bSOG07Io_fI>dJzm0&R&F zR4+&oY(NmyGr~V0cmp0QAQm`QK*ocd1vZb|wI`rnF)?dTK(T^l?a6g;tW2Y1!MuSj zXw2pOPWUhq$WM@AHyjJ*L2Y1!q8ZQy^I%crHR1>*I2O!9<_uwDV-uhY=E0)a&B3u? z9@KV4ShfPRR=s<R3Ro1YIcN*!K_hUGTYW%d9*xHjM1TV1*iLrX9w;IgyMy);fQEq( zPWg}rG6`}U4q|W)eDFJ!mb^3MLoY9Y972zL8a=4yLO6xUCGS6Ix8xlZG=#?lF_*lb zkN^cDY)lZso1$_+0zM|V1I$E>3A)cDc1#cwMDR7-ppin<u`|%f9cU9WDD$I^32p!j zLE3y<B%qshLFOSXc?UO@AS>xH+I%3BA#J`jur?paNYpkTNDAEM12sBcMnRT_gGSwE z;<%a?ZOJ>hRe)#7`wFlpP}=BAz(NpzfuzCKgR5J_l6NFyG5iH`9mHP?VEzIbiRv$q z6xd);wf#~8vNRkt>W0f-XiMI~^(vk*!8u@0p!jPBSP0@TkTlqOP`!#YhKpn@hQC0r zgZOI-%wHfQQT+vy0vimfYhPZ2_zP6G;PMyRl6P=Tf@e%{0@xEM{^|h>LHq@h23rrR znLy2AP<hr3-{cRn48va_*FpT%0rMBgNK}7;q`(G)YO9x1h+py!nQLhQAG-owt^*!W zCUeO<R4-|Lj}}k}f%_gH7P#gH#XG2I1e-^0-vboKpg<<n_W-Ts#j@nR8C-L-Q*uW9 z1z%9fNctJ^J8-VB2IXEXTc|<Z3f%1}@K8Fbn{{j8u>xX&V+E9IL9qfhkKDcoD1{Q! z_W-Ts#nShv2FHpgC1=DNkiO&{G9d?_m;*H=K)rQ>-44*42DE_-nuP!{K>-NWOIo+1 z1RfzE7C1sc#>34cx7z`77%|-rP&UER?I;FE$OKBxh<^aOLa7sLHo?2(9aMdTybqzl z-40N1onW^EG$R9w3bZBfP`#veJ96N$0%Cz<1!O$PSzz<X?RJ1BcZlhBfU*geZbvpa zRxTkONe*%qXk8}wqyffG$iYzf&WP_IeaSnh-2w7Bga$_lsH0Bql6R<H(w4lZz#|02 z0!IjFrV8XNuz3Tt<UJW2A(BWD0&*2eXT;yYT5jQ8@(yY+fqV|3!4U%Lqm#Sj9jceK zCGRot2m!Ic5dxZG133$9-T*Cmj|N9b!oZyoui=KXae#NpJE#o`3Ooo6j#E(2p4=tx zP`#urc@KfdDToD*Q_%Dx$XQ_X258B9FgQ+AC^;iOg7hWt;PDX9LG<8rWWf;v8rmRt z$vadpX-nRH;1L31fg=PoFA9nfuz3Tt<lP$_A*+#&BnP>Qq%-0>NMG^}SybJk0-?bX z0-j|gbIChYFKJ8OUEmP{Vu2$BG@%QM5U_azwB+3x93h{OP9q1oilj5*8^~Pp4p~&) zq5`48u>zhWC3DF;R4-{u-fiHq0%Cz<1vDKEiWRVV1GMDb8XPMoNU;KP6-j5rEBNEA zP4F&xhpen_0iS~g9RL8&@RE5(JX9}fOWsZ3u>xX&V+Ays4vH19c>}cM-54AzWt5x| zFA)fi74R}xkas|%_T3$L&xnUCs%`-v;0BEl@YFP!XT(GGlD6bs2Oc3H7C1scs{lYg z0Gl^JOWw7?5waKQG;)xuNIE0lgUluGJHV@OT2vr3xbWHlI?J5QCGSwZq%C<@fyWAn z1&$Ta8U|3TfXy4ACGX1MSozO`I6e(}s5{7UkW=14EZUtBUqQts@4euw+Ck$xkeG(e zeSz1vgD&zthuETOc)$ayyn70C4f`SR8urfX9-Zf~ANCGzUP9NdgCY%SN*uO!9U_c# z?K(IGL)VMLMsGpIiU%uf?K(shyz(40a|SMakPmwYhZocY&;Tna0YOZFtzCzRf=$3O z=?I>FgX%{eskGP!x`lcdV&(rO*gfOKO{sxT#X~wpir~5LphzM-WrewnT>@pw3c{PB zA^@JJ0-w^v0cIkmtftfvJ7onKy56D!3PXI$*jYfi8Fk8v0W1WWDga4?NAyAFAx(iG z8H+JhfS9uSBMx1r1TxYQWf?n23T!ZFc>JX@<a~6{urjVG5a^T@Wbk(j_+mzU%h-R2 zV=TY=0v3Y!3nUG;9%LS9bRIed0v=O_%!Fb13*<V;6vzjdzd%N!`U@llHW)O({PH2h zU!X}LT>gSiSwY57w}21E#J7z74cHSXQ#&ufLJ)s}q`}sM%tKnn4j$@-%!Fb13*<V8 zzn;MS1u_!VUmz*4!Ju*4m$OJd_Z>V6iD$~{0oW5L{<;Geg7^z04YnRUeu!Acj$|x` zzYtSaH(>q(8HwsIkQCTp&`9UY2*~;9poQYN;tO=bJ9NAZbovx{Fb~f%_A6jdp!n+o zSP0@TkTlqO@Gu<W+;=2nG5iH`9VEWa!2AU=64hTIDX_udW$c2G^U*;I#c}xybi#W# z<l;e)zrbT+c$TrB0DA((Uq`?~5PyNB!PbMu*pQa7BN>a~FOcgX{yG5j7syCde}SaH z27{NepMv-cv``$Ezd$FvgGNQbEvGHui`%;KEMwmT_5_N*c7TN-{sKvZtp|-WAuVGE z4>v)K#qbx%br64Tf%yw$B&xqaQecC@%h->ReC|7Vcm>Zg_6=Z9p!jPISP0@TkTlqO z@W2S-+;=2nG5m#C#=ZjPFOZR_{sKvX4F)e`uP6E3ckn0$o@MMyz@9+y*8;E*#9tt3 zu=U{ag(>iJ-;s>P@E2kk`y80RKt`ha3nT?L7`%+#0&+e&XrVZ+bO<`(9om%!<u7nQ zAI~!O8DLMK_-hJS2;wi0G}wA@4;^vtJCd;&{sOrUk`5=p`~@-+)n6bfu)*ME?B5{% z0xcBB<uA|)@6Zd&K>h;vl<_QM?*V%P#a|s@A&9>~(qQXBJ#VCC>`2C9_zUDZh`(B3 z{sI|^>MxKK*kJH7_AMlz`ws4K;#tPt0QLlmziPlj5PyNB!PbMjiimUHk&MOg7h)NE z1<YR{BT@YYk^&nHUdEnF^11JzW_~xGW$YzjPoVg#04xOY7f2dxJ-BZ*1$_S&C>bCb zi{UTCGWHypzd%N!`U@llHW<8&UHjAj|FHhS5IFZe0~A8wr6eF0xQh&0@&)n%XbK;6 z+bQU#u|q7K*SbXvK-G~P0|OB!jDwbUff}oXmXhE&_dQ*l;$`e7tiU5lL-O4B1bD1~ zSm0OzEm#A^3TWycw7Eo<fr0MlzQ==Og`#Eb1!OK`2eqC--iOfO;Uv(UIl=WLpw1w4 z<pQYt24aGYf$Ak~JxK&SRzNIptbmM%n@8??5|G1)Sx*95FpXtBNjNxGC|brYLFO`c zP}?8meFzPX70|>v!Sy7dt}G}j(3Y`7^^&%pBmf>OAQm`QK*ocd1vZb|^(3HPF)`~& zK(T^lJ&8X!Rw!D=egIdjco28)`vvGSc2G|SbRi??HVW|A7AR~W<5xJAu|sCcVMBQ* zpv&07qF9%ov*K9B4(e+lEVF<vV+V_3HHW}5c7sSzkxt$+c2G5saEe4e$Rt`WW7nYa zGIj-+dDK6-9TYT##{@B#u{(%^0ui>19m1QUVj&J+#%=&+BE|$8vWOiMgtS4nfY0^7 zHzue9%KWHff*N2UNShBN4Q`r&%tKnn4sO~IT*j^fYx9AOL~Zkdq`(G)+Bsw_V+Ysb zkik3D<@unIyUrFB1+XVj+OjfWA&9>~(qQXBtpbuyZkK@h3uGj!zd%x8gUMXR4z5=r zgLkO@0*%~(?(PJ80>xhfU?GUVM8u)n4nXxP(ikq1u^4T1kjaof6%Wi`AR|%z1(E{$ z3sl#Vv5XyDli(Q><N$jD#a}F7A&9>~(qQXBH4~^=3?0KoG8V&Mh%rG1n7=?qqWTLY z1vVH|TMe~k?0>}IM^cM1Fo0`rP`ramY4D)^04-zxEe7g)jM!!DU*NF<Vu51?lxjh- z0-kahpk?fz!Lc$Dm$AQrM+k@oju6n42`ECqb0y?<J3!MT#B@7wEMtESj*t<%jQt5b zRzNIptbitbK(PYqqjr<q?Ep>g5Yz3zv5fsOI95jDGWI+02m!Ic5dxa20!0X@d)hrf z%h+#&BV;5lW4{8A5D*I-A)qNXP=tVbq}>CwjQuh=LI!Xdd%_T2#(oAKryv$MPC?U) zpg0Bf>OoC*)PYIRj3N=k#h|Ig?kOrD)o6znpk7D`D)kINw{T8T0a3844^M;Rl%i$q z6Nct8_9O5J0kOal0-6^EMF@C8bbywz9|lJVMa$R^49#Wid*BfQVu2$BG@%QM5b#Xy z04-zR4UQ0sma*>`!pqpVz+(l(0>=tyIvNx!;Cbi)TE@N^94izpV~-fZ%h=bzV+F(l z#|mgN9TY3zS@Z!~#=aUHD-<nb4;Y%u*q6W~1jGVI2xt`mC_=!~{{ys)eK9yfC|bte zK;|;`72w5KEh-QiJj1nsf@SPby`(K;p97B-5DOeDpfwDjSOJ?iK+D)?gJWd?m$7f4 z$uf3GOvC2BsI`n8+`NP?V+TbVmSyY^VVuj@!6_KJh#azD9p*-2m$8Gx3u*#rfEAR0 zAk%=vEn^2yzd`lyKsj*3g5Jy6L6Jmw$_jHC`vfuMDJuwXib{tVe9Ec;%tTCCo%JVn z$_g@cO?Vl54JbFGPFYoeg&<P}AZhT3KFB;!e+oKP0E#f^Oc=&g0b&_@i5PSlJIF{! zlx6H7DX_udDG=%}V=n-E0%Zy$2P_2f7f2dxJ!mi)X$k~9rVN=0!|)eqG7K`clL7M= z$VgOwfuz6&gQq~Kzl=Qv><JWqC4hw>{sKvZtp|<ClDv#P2Ieo2k*NLxNr4RpjnmR# z8G8iS6Da-)0SiI=1(F6^4;s}(I*lDXxCxmF!-y}$lvM!CUmzn<{RNT&8w?uhq`@+F zAFwA-{N(`_g7^z04YnRMIEZu_J9vx`vN|5aUx;PwE--(Aj70SpND6E)jh3-HfIWfY zFB`BB#9tt3u=SuZHj<aITfqDUG7{BaAStlHG+M@P0`>%ozYM@a5PyNB!PbMunMhv7 zt^@NI$VgOwfuz6&gT|g-Qhyn{2G|oQ{!#%8LHq@h23rpru0cAD9Xx6SSsjm&4iU@P z6=41X8HwsIkQCTp8ZBd&0eb?)UlL#;h`&J6VCzAn9-w2ZzzygvD&WBn$m)0ue<7B! zi@^K^G7{BaAStlHG+M?k0QLlmzj(kx5PyNB!PbMu4UkS_2M-@WR>x!b3$cuy1LiM~ zk*NLxNr4Tf(K2=xuqROb#Q+w9_zNTrwjR{;CV3hAA5my~17swszd%x8gK4yk{f8*# zwmGm6#9tt3u=Su0ENH<jG#!Hbv5?jA81aQz#{L23uP>tLr<Q}Hzy_1Kj2+a>$G43A z4cHSX@$~{M1o0P08f-nN3y5?YJCd;&{z5Ece**It$Vk-q0!e`l9*WD@AAmv#yo?>h z0(X%?OTIuo9PnB%a+i{TmUn>~t7I-?zb^_}N<z_T>_d4O`we)kfLP#I0WDYq#R_-< z9JxzLK#S8rRSW2-Y%)$`zYdO-5xb220z6hgEO4xV`kJ6v0k5+ocRdNHUrEe*63~Ka zEX&x>gJWgHE@M9dj};IL94nxnGALHSs|3kiPXg){6SJNK6f0Pku^$J=%789o=ZIlo z0BzI)EgGO?89PH9#GC<N#=d}pW$d789+V~^<8}@-U&bB)TXs&R)7U*==23qcJ1A%f zj|pNfW8Wc)JSGU?O;On(3Lg_(0cIk`1b12!J0=KegAiWEz66x{QO5)qfQ2A!K9DrH zX$CTn<Ynx0U~N8-k*IAxkQCTpP&<bP%h+dtJ%Q4eodOnu_zNTrwjR_fAbA=41em`- zMxy!)Bn37Y)KVbhG<I-3h-Vpl57-kZ{^|e=LHq@h23rrRSCPhWk&MM?qa&8Fx4`@b zG7{BaAStlHpt_a@%h(&ho<Q+e4Oj@`FOW3YdQi;-Y8FGsroj~y!7;%Kn7=?qqWTLY z1vVH|TMe~k>?NQO0{1;YEO5;Yig!>c4IZ>7x9<UpV^AOy>U)6J=VCdHy%=0`kJx4G zIq+BkvB0qcO0}R^0Z%!Q+xGyaP-6NXpp|M^PGipo$I3`t#-0L?5D*I-A)qM}P=pNR zGWKL}gpAl_>@o0I0kOcb0-Epv#R_<)hum%lXmW>`ZU-ovVCi;5gJWeRE@KaYM+k@o zju6mH6(~Z$Q&dw_K&QJSZT$yLP7$%f7BnjbI(3iGm<cFC3Lq<NK@@C-Z7?`OM&dGd zA9#d-Sl|c&O|gL@1k@uPsAcTl;0PJOW$ZJC@G^E6c$|V*;5Y?MFM{H9AeXT_gX5H< zW$Y)0<}!90c!Yph;0OWDi-IBqJRv$j%h;{K5kk>2_8&uY8M_HQLO?8Vgn%Y=K@kF; z$sM3&?8e{-p=cTVhatR-T?Za3AQm`QK-1BnSOL#N5707pZE&nmw2Xbi5MIWv0*@6C z3mhw;$#hVx4CFF)WpJ!ew2ZxDXf9)yfkz041&$EVDgaP~fT#ZlXc@aSI6^2|#=e8h zW$ZJ+s~=iaAT)Rx`veM>u|xHewv1f_9xEUgI95Pw7(lTCHgABIu?vG^WdN74zo5x7 zc1TRamWWep89TUn30=kxiZm?C*df9=m$8FWFmw?)dCS<r;RQ7TG{6c<K#=J$;+C<4 zr{AFZcMR+@c2Fb{p0dJR#?FB<Wd-3)QDFejQ-M!o{~-dJy+TY`u_+QeWd#|!CcKRO ziwMT@s}Eoy$W#GH8a$#8G7o7A1QcO}m$APQfi5cp8R>|!j2$EeHW)NKPJ`3fUw}P< zG6nJkEClfvNE&QCXfPQxIuD%!0govYT*m$Y<}Z+usQv;;fei)?Fw@{P_B&utp!n+s zSP0@TkTlqO(3mXA%h<2L`~@-+)n6bfu)#E1#(n|p2^4>w0SiI=1(F6^4;s}Zc^Uf& zn7=?qqWTLY1vVHo(n*75>_@<!K=IcBun@#wAZf7mpus_sm$C1G`3qzus=q)|V1q#e zhA*jq8v72gCs6#g1uO*d7f2dxJ!p)L<Ynv|VEzIbiRv$q6xd)IEn{B;_5_N*R)B>d z{sKvZtp|-Wk-Ut33Cv$0BT@YYk^&n{qh;(1z@9+y*Br1A#9tt3u=Swf8j_c>&w%+0 zWF)G;KvH0XX|#-e3fL1U{sK(`xpYGO1(F6^4;u9V&G&&D(8Mld?}7OXWF)G;KvH0X zX|#;J1JhqEU?GUVK+<6ALE{D_FJo_j`3qzus=q)|V1sG2jJ*cz36%J%01H9<1(F6^ z59)c7yo|jB<}Z+usQv;;feoh7GWG(nCs6#A0~Uh#3nUG;9@K#)c^P{K%wHfQQT+vy z0vk-`GImfiAKx<e6tE{y{FML}g7^z04YnTC1w>lLj$|yx5*frY_86GIKt`ha3nT?L zcqlGoj{t=bcqs{p1@0n)mVAMFI0LziJzQi&FJljY#|nrAjup^?HBhV!<T7@DaIB2j zW$YgCSOKxXu>$IAf?@@{&TfE~vAcs~WyCIHcYwzVhy{)nP)`{YE8tav<gO<H^@@pE zPl96^yFEBo26P#_1C^GsTMU+E>;h4sb<^aX#ty3HL1_XqZdXC`W$YcWW#?2{#@+xk zkNV5lK|w=!Ob~M!yMYKOI$)=<LwHkEG{6Ia;4wi3FcUE*_=$(uF+oThgzz$U8Bpd& zUB)f}7J{_-K+@o*8OS`6m$8e$+I%1*QQLeVDX_sbTE;E__5?~>mIo{Z@fS!MY(1z| zK=Lwn4w%0{Mxy!)Bn38@M$6b)z@9+y7Xw%b;xCXi*m_XCiZq4`u3jOl<1yOkh-K`5 zgrQ@&AR|%z1(E_A3?9S1_5+*_L5D}+y1A<dv>>fT1yYl2Q32N^koD%Mr_6)Q=xkB> zA&fCL{RJ!p@fS!MY(2<4q%mA1V=?>%G8u9d$p@IfKt`ha3nT?L7*tygwPoyYKp_O~ zdw^Krni~}Fpi&w<Xg@&9*k22S`W_>88T%7>tbkbHSOKM4P^^Hb90q6^`(tpdjKpQ^ zci<5MVu2$BG-U#ckbzvrej6Mipy9^X42IxKq(QFgZczbI%@5c?cZ$d}Fm$)5faYN! z0w5OB1nvVTPy^yT;<|2-pFj<??hcjr3=Ew;Dxg9TCg{N`@gAhUMFrFc28lvw@Y(|K z(s79T&Qk|}$sc?r&3N(PPu7DEnLB$_K=(TxZ&3lw$UrZF0?na-m>^@IdO?ah&vo+{ zU~ly|K6t>uz~G{J$g$&MXOGGic&va};8+0}4>yn8ZU@L=*d2g*E-{v6?3cl@G7^`u zpMggRhy{)i&`cF5LI!df`)P25jKpQ^N8k|xVu2$BG{pvrkbzvrei$4f1GtR+!Vq4@ zz6TzsAQm`ILDP$%I337k?7P8nO3^ZQ22W7kavtTjy6z5^58!GDbPN{AkD$8AgY^fr z8u}pv+TP!y0-?dx5P0c$j|xaVmTE{umVx1TiwbDs5>)pbZ&3kFHG-HR!=ZXXieS|c z*c{aJM$oFEE$|2dvA_`mG9GT;04-zR42}?rma!XnV~Y?QNQ8jS?*jP|5+Md3KuTLw zZa^ahLW3g&ymY)r1*9HJgnW?!M+j)f6%-4{Tflc<gP0)0p?X1zU=ac~2XBO|fkz04 z1&$Dq@o@77Xc_xzaD-5_j9tSQ6w2p!vNA9*pk<R1NUVTP9|L(55-S<dSlI!M6$lNE z74XvW9u<&!EU|J05-XtjVo+2ZZ&3lw@Pe2i!=ZXXieRw<HV1F4EP=-ghy{)nknwQy z251@kVsNZbw2b}45MIVU2OcXR7C2Twlj)#X8OUYqv%#@K(K7Y}LvtDX6nKPySl|c& ztpWf=$UrV*pA3!=ik7i|Aafae2Y3}uiwcAW7hVk%EMtf2C2bjd4?I>tEO4xV)-Zr# z1#I2`Eo1Kn$I1XMV^;`+R7h;-6_N+it-d=!YneOG8=eFO7o=jccn8vVY}WypKG5(5 zFSvf`gvB(qm$8GJm(XSGph!a+1)1?0)Qp^>0ujc!j2)bUp^M1LTgDC!FQ^Hi0aiqa z5VwpSJpBgMzhhvRv4gTB;VCOn)H`+_^68Z|<OW6g9+d`R5DlBMg7BuOR0xCCu7jtn z3cyUnl$HIbfB(B%R6ysQ<C-e?0GTR)3|$jm#-0Pp&8SmW8DJsEQ~^jDJfaUW4`~Vn z6k&vyv8TYM3P46WqAX(vNr4RpPk~VXH1-6rCs3w#V!%QWe}SaI)`JF<k)}YvW6A`V zu}8rC1u_!VUmz*4!Qd$n>Yv6Q0`>%ozXHHQ5PyNB!PbL@JxN~1?gR4|$VgOwfuz6& zg9c4$a2mS@*b^xJasdlL`~{K*TMru5BzYOT1I%9_BT@YYk^&nH8tJ6LGIkrVCs6!l z0TzPz3nUG;9yB;e@-lW4n7=?qqWTLY1vZ#Q%h(OTo<Q-J4p<1{FOW3Yde9gf$;;R^ zVEzIbiRv$q6xd)IEn`;!djiE@3Sc3Kzd+Jp>p|m8Brju^f%yw$B&xqaQecB=w2WN> z><JWqiGYP5{sKvZtp^R)ki3jt0Ol`{k*NLxNr4RpjV-;be+QoG28~<bs=waIfM;nS zqZBCX%~7YiL1uKesPKS2f#NR?un@#wAZf7mAoECG#?Aus7syCde}SaH2GeL6I|JAg zDE|5*1e(={_zNTrwjMNYK=Ly7A41Tj2_Pd;{RNT&8w?ucc=_!;IKEEEFfibXuQibP zg7lQPsDOLQdsIN8sPP3dqq9Zj3)mAV{`vqGg7^z04YnR+9?8qt-@yC@G7{BaAStlH zG+M^~0_+JCe?0*ULHq@h23rs6z>>U-{Q=BhAR|%z1(E_AOy)9nP%|IjGWI)QPoVhg z23QE<FOW3YdQcY-X&F0`u^3B95X;!F!2AU=64hTIDX_sqaT)ssPzZsSl7Lv?E;4Az z7pR9bkjvQ53xSrBjM&rIPrzdZ!~(|(Xu%pNRzOqtpj?T1cQ5GrB_d8111(MiRV{>0 z7JJe;MFq==h{wUPGGdppAArXShy{)nP+t=iE8ul@1GJ2NKR8xK>@xNp@K^z{z_9}A zDT87Kyh@PV^(3HPF)`~&a4cir4vv)pUB+HPrDf~|gJl_e0|m?2LDf7cO+ZF67tnke z`v%yub1E%kUjZ|Z`peisK|^><5OW#(3L)e%K?rY($^s$ynBWXB6EP;JeUI2NK}Z{f z@G|x(pv;dtCO82s1Zneuq`^%yka;98WAA~r`9MaZw)sF(V1sG2jJ*Tw36!>M3s?x^ zFOW3YdQhu?<Ynv)Fn@uJMD-U)3T!Z_r9gwz*lWO^K=D@vSP0@TkTlqOP`!#Yh6}D< z2`*zVf%yw$B&xqaQecC@W4P2`#$EvS1d6|Mz(NpzfuzCKgK8$EF<fv31z8=B(f0tY z;Djt=&w%+0WF)G;KvH0XLABLTTgILO3L$Xc1H=N?+@N>|mD1or`zb0KatsVe=jVbZ z6NqT#g5nqy$Yh?zo(!(JN9;287<jCJSm0OzrCLy|fTtV=Xc>DnI95jDGWHO7gn(G! z2mwu*fFfidm$3(fBV@!bWA}l_3Wx=c70`qaC|1DB;>qoHfF^f{>2`n)|HiV6-5VS$ zBXJqK3p_$VEO3N?W~x9DGLXyIoxu?@5|^>tz#|020!IjFiVYMY1G$Xd8XO@5xQv~{ z9n@{Yx_RdeWb=*(bd3n4uX+HwY8reQT6c>Iga)su0xuo!Q30vPvK-U|vT7PM{|8#5 zbG$_bG-C&1g5m(G7o-Tb929I0-sPYs@Hhprz;OyP9&X+g6_9yIO*W8`L^Rnz4(px* z@d&B|FgNcQfR>F+Q2|k~D-VppaZ1rLc8j68j9mvFAs`kwLO}DPpa=mkiyxq6?AqW6 zp=cR<#?V~Gt^$t`5DOe3pb1@2gn*aD5707pWpIR0w2VDr2rpxofyWAn1&$TabTlYd zz{}zXXc@aSI94cH#?BCcvo=`+*<1jc&IWlDQkyJ*ZZ4Ps-CO{n!L<o^LcB)>q#jFc zvIVla05sbTszQ#psDS3DK}?Y0P`w~Uu-XJ{4r*bJwg*Q99xEUgI95Q$!_Awb0x}P& zHUSw)L~R0c80dOi83u+<R0m+zCL2JD0;Z^dC|GSG42~6wma+dBn#<UE;1L31fg=R8 z3IG%#1G$Wy8yq1NEo0XRfn*abdvIhvg14%H=K4V%g=7<fk0A9eDizRW><}89O$sPj z#tzj>+A?+)c&va};8+2zVE{Q6Y~BDZV`m1($^b57kD$pic1TQ9dl@^pc?n&{4vI7^ z%h(~pIG3@5Q!sQ9IeE+2!Qllp0W`o0N<fg*PTVqf@bnv0{|;yu1wvcw<6vN5*u{jr zFJOyGhCBnqCw{>e6$LpELjcAQYynFltao?=%52AX%ER>U04+f8Jm}Fa3aMYVsDRzs zcx*>8XvzN`aJD2oWd$+?bs75)L6A+bW$X~%6qOHx@F}YoU?yV9s^T!QQ&y0nYr@Oe zp9q51#-U6VJOB$prV2pP;1PY0c}P<rpa>(pjQx%vbh#YJNJo@q>>w$y!Jy%B8l1*{ z1MCSDe_a6!LHq@h23rprOa_h4lXM#U1(?4;Mxy!)Bn37YJOx7iW$b6bo<Q-}39t~v zUm$6)^`J3Xl9#a`f%yw$B&xqaQecB=w2b`#*b^xJ+5;AX_zNTrwjMO9N%AuG9WZ}^ zj70SpND6E)Xrz+{%h<PoJ%Qq{4PYUNzd+Jp>p_EqBrjuM1M?TiNK}7;q`(H#Xc_wo zuqRObwFE2#@fS!MY&~d<jpSwQ3t;{N8HwsIkQCTp8ZBd=1NH=pzh;1iApQbLgRKXR zGm*TEeG1H9AR|%z1(E_A3>tfSdF(BC`7r3*09?z5c|hY%kV6POy0@r+hgbHffJ9N3 z4};9;Y*Cp2_5_N*dcZ;ue}SaI)`Ny?NM6R?0rMBgNK}7;q`(H#Xc>D8*b^xJY5)sC z`~{K*TMrub0If9yH=t2g$74)&gBHj`rn+lj{sI|^>MxKK*kBqhW3K>v0>xh?U?GUV zK+<6ALE{D_FJmu&`3qzus=q)|V1sG2j6DbJ2^4>2fQ2Cb0!f3d2lc#3Ud9d@a-HeY z-J=3B64hTIDX_ty-uTNcAHex*1)k;kJ)oX=iwdN}xkUxs;oPGF5=G5lATv5!R1(0R zK=D@$SP0@TkTlqOka?g5v(R*iWGqHH1epv;hY_&&0vU<wFOU@2U^17ngPQsHma&I` zJ%Qq{0I(3mUm$6)^`I^w(lT}=V=?>%>e@p5<pc8<$VgOwfuz6&55;Bd9-t5cFC_u7 zz+Gg}k}psfV<4BYy9<u!W$X^{SOKxXu>xAK28xw|T*ht>j+GI+jNJkrD<Bp)RzQ7C zP^^I0*$vP#c5`s7jM!!D2Jlz`vB0qc>M4U_1-wd--1Q`&UNJH2NpLJ<*9XVSfG%U- zK&55uD+bFl_74;+V+U39pfmv<4`V$+^JVNeV9U;_w2b`%%slEZV+REd;W0tXW$X$l zV}cOg6cq{ZKp^;N3jr__F(#O@p4c%#NE?LkGIkzN=0{z|&H)yJwD~~N;HDYKJd&5O zv%uPXAR|%Rd>|>X!8BUN&H(lVN?Z1i0BHCV;xCXi*m_W_faGQDKLns-oggDo{RNT& z8%(2R>|em1K=IcHun@#wAZf7mpn4T)3>RFz5?sdq2Ieo2k*NLxNr4RpkKs~(8T$*c zCs6$L1S|yc7f2dxJ*Z|v8p8!wPz0B;KY;lQWF)G;KvH0XLABLTTgH9|6hh#>2Z#l( zxk2#`Dy6}L_5-wx{k8z8?=fPRv0s753Wx=c6;P@L#mYb~W4{cJm65oN{R})pKrC>C zfTm195i*d=*iVBaWW+9GKLU>x5DOeDpa~yPtPJEb_QT*<8Hvl-_rN0r!~#bMXr>Aj zAp^OLeK$BlM&dH|E$|2dvA_`mnqmV*$UrV*-wckB0bIuJFoc(}uYt!Yhy{*Q(DWiG zPQlCK2WS~PXuULlKWO3vb~6abRoyKrAgcKRBj~;)(Bd=5LVkz<X!a6mF;T(LT*kfx z9w8tWI6^@4qM!%?&$ABDGWNybOa_V;ScHIF)eV^hhJ-&<05sVO5dg7}B4okPT*f{J z9w8tWI6^=Zx}XRd$Yt!a!4X2yGWHomcp3W?c&va};8+1oM}uNzAeXUE2FD6T%h)Z3 z@G|xuc&va};8+1orh{Syyexizma%t(V}+t+>;^%g<&x-kdpv>cD*(-wgZu~?ioOBe zS5N`nR{){G3#P$S?ma3X^;q^5Oo8kx08P__7JD9VQ2|Y?gP0)0p?X1zVEYQd=AbU? zN84A>0*??73mhRJ<KgB_Q308Uw66eUBoX@xKn?@Vr4ZUz@T_x+$^_7A1_%Y)SI`WO z5Q>(uCy=>}Jp;V@p+yBkLt+KglIT$Ztqs5uE1(tX$6HiDiwr<falAzZv@8I`1cxF- zFGvwAR>0=qjg=aBtbkbHSOFOiH*bKJu~&m*WdN74PoT*%c1TQ9dl@^pc?n&{o&Zi$ zJ<z4u2VtkNLxgcIV+W^T=pu6Rma&7w3u*#rfEAR0AY~A7%h<uwZ&3X^26h=cD3S<I zSz#_?FAxBg%dlna5Z)A(3<3Bu_5?5!F=e%J3b9jGkfCeB%h+Q;xfylJDgrD7nJNHD zgGcm1<{?difFg|WGWHPIQ~}6HN0epkAStlH;3*L5pT-^l_5{ilh!0o@;xCXi*m}@l zGSU<Xcubk#Y3v>_e}Rld^%qDAY%q8Vg!;?aUBI3|@s|Tw2;wi0G}wC3m@LW5*ll3` z0vU<wFOU@2U>YrBw*Y$r#a||1A&9>~(qQXBqnacyV>f{L3uGj!zd%x8gK4ykT?gz5 z6n|-eg&_U{NrSBi4GxmLj9mrhFOZR_{sKvX4W`jDb_K8}Q2ZqW7J~Q-Bn`G6G{#2q zGIj}=zd%N!`U@llHkd}s*hRpeK=GFVSP0@TkTlqO&`=J^%h-8f{sI|^>MxKK*kI5= z5Dk{GbAUa8;x87k5X4^~X|VO+agZtCd->2-$73u<1uc+=EJtO4`3qzus=q)|V1q&9 zDKt2Z{SQBA9RiBKet?A_{sKvZtp|;Iki3lj3qN#e0?0^Ie}SaH2GeL6`v<TmQ2g}< zEClfvNE&QCXxxD0W$Z6t{sI|^>MxKK*kBqhV}Ann1d6{NfQ2Cb0!f3d2lc#3UdDb0 z<}Z+usQv;;feoh7GWHu_PoVhg3Rno@FOW3YdQb<J<Ynv^VEzIbiRv$q6xd);r}ky; zNAU7|P$v%8_Lm$`hZfQ!1Z8kgGauhF_A_8lp!n+qSP0@TkTlqOP!|ws89S1(807}Y zb&zu72+Us~BT@YYk^&nH>aV`k{`CJptbfqm(ennp(;IY*AWYDMwE?{Ov_%Eff&+;{ zXmA%9ymY(=e1{R1E;8tF!s9I}pfy>be(~`Z70@aw5EEn!R4+&otcwh?7wtR(w1JBQ zpb!EtB>}O(U1X5)aP!DrN&<2isIf}uL`2ZJ-(Nu6oTjLNDA>ToetytWlIiRW46tEv zkgK{Oes6xj-w9!LLqY%|0J0Kk82p44sK;`CCo^n|FUU`zUR!s^5lF0njxdJ_da&+* z#tLX@07w)<gJT7}bi79eq#jGGT!9>V1X@uBii+bcDxfuBASTEds9umFSge4}!8>rV z10E|N7C2Tw#>35<q5?7xX*daFBoV_&Acujf7BWv`-wuwIn{0?!0lBKXMFm7bA_2te zhJ*k_0AwXntQ1(|jFmr-SUCahAcLaHgY^S6RzOPwK;DPY;8+2#ZR=41smBs4TOhFl z>I{OS;&_V+sQU(Df{cOc1u25X3fLUHv9bXkD<Bp)RzSwX%^RR)?CZg?B8?O)AXjy_ zsDP;E2lbs0RyQOBAOfJUK#CO!8%V5RT+i3tVe%GSf`QJ41$h(_D;jS>>RVJm>jOZd z5E>jS;FWnjDj@Y(Vr2>>RzO`@P*famQ2}*6K}?V_P`w~Uuvh_`gEv-Iz+(l(0>=u- zc({23w2XZ@I96g=5qSmVs_qsQ5Cw?@5DRo+ojd~rL;w^PNU?GNSFCujMt~#W_&x<# z;bwTrqq9W?v^)UhaY!t^pwcq-2ZLo9yF@%F*&W--4)gU6(Aw(G<Aw)7CpS!ijtd?- z@d>2t8sgGOP|$#?c|_0%6o8Z*2j7hhx>Ayqqa(oQv>+WF0nrbtpZLQMfKQ(Qu@QDv zVAlXDDL?TGfR2ZOoLK=9K<L_lt_$K<JSS9ud`Ng`4-{gM6DnphgTi2s$_#!G4IA2n z@TRCt;D-<Gb%2?Op}lJj#18F20)p^r^cGMaMjhH~01H8yZXjuJ3kzf($*a+8U`;oW zk*G~KkQCTpP}||<<nQ1nDX1>R)g(OwYN|l$J5ZApT)9KW;81srg3Rb_QK<lX0;Ne> z0v3Y!3nUG;9u)N?uSPF``3qzus=q)|V1q%m@5{g+V1I!sI9&c(1MwHA#sK*XTs=aT zjidStWJYI;N)Ff)DE`U-3qkw^k_KB3G7o7e7RgwQrZ&iANK-on<}Z+usQv;;fei-L zUoZK8g8c=mB5?Vu2jVYKNel89xPpN!8%OmQ$PCa9U$7@o{1pQhg7_<epMe2vJ;*#z z>lS*V2$HcF{sNf{@mB=QUmzn<{RNT&8w{!eUY`Al+=Zxl2d;oYO?gD=PyoIcw?zdu zp8=u46)<?bn@|@56fvM$7p++Y)k|6zA_Npd;4TD+1+IWW#)BLSHjmsc1SpO{flR0i z@wgMxcK{t}Aj`l2>p}#BD_~bKL<J0T6}(x*j@~Q+34p9bs(>#*7jD2$83OqURJV3_ zY=OiID4)XwJy=&jV`T@l2@9dYu>$Jjbrb4BfRYt7RzL{>!~_`*)eCC!!<t1Pd+~Sd zeBiMHVu51?WIWtFa=Q>9hY{0-0G$?%<w7TKaICb8B4P#PDtNO9wBQUX0I~?Oz6r!a ziWLJFP<@5IMBoi1LO{g`$d8~{@?d=cjSx`R7$gdz!4U%L-gOh|J%FYrK(TPVMFljO z0AhlSf$Al#_uvAL5D*I-At2-7=8@Zb067eF^dO-=9VnY@02PN*R6rCgn>d3b<eUg1 zLO`zSZczbI%@07i0>tWugaAYUWF=CBJa7U>$WAQTL<MrZFsKj&c@z>W642v?LA57H z6hebz1=PLkCe(WXO{{>T;&_V+XetE61Q`R>OIq*21|BOQ7C2Tw#>34cxAy>Y7--=J zp;!TB6D)_STZ3ao04Y{Lt^ys!4DKv|vI$fGWDz8rfLKVe(%}q_6>t+5<Q-7hcXz}< zBBTRaLV*N5SOcID0;-=uN+C2jLO?yc?j9A8dMw?!5=eIrG$RCxh2t$Mpg9~66J!ij zFGvxr+ya|}w>t+~)8zuLO+YMggn*2Pn>R%TWFFGc2gpbwhCV<J>z)Gf2&w}xPv|Uw zWD^ht%O=L)2niQPWD}69x?5C06eRpXtZqmMKm<TmB1OmztmW1eNQ7jdL`VlTLO=}* zkk27BI6^>OJkaSUpoDem;4k@uucR3-9{kCA@F8<&k4gw6LO@elpjbHGq5_(H0x?0x zK=pzY!6F1?FaC-|2cAPfEO3N?jE9>y1#Br&gn*1BB0@k8>z<+lQjO*S)ChUhIYlJ^ z5+NW879rZ;2$?5@h!6&O@a4E5$2C7-?rc#3vAQ8401*IN2@&sXQGu!l33j%qut0Q! z1R;t+tZs-nL;$1$DLNZm!TAeYsW<2kRab$>DToD*Q_w6SC{71*HM%l5P8SIx;uPd6 zl2)TvK=ufrm3l0Y^@E^kK#(6HrQQ!{Ci?+hKM0}0nGC!FyhjD39!sew0?A~c`A1OB zI^F`Fg9I@_hC}s&6u~kX*c{YC2W=Q$1|A_G7C1sc#>34Upw;No;0SpsfQS%~t4Lan zz5?s^02@ezfR5n;`4JK!2G9kaH=q#$p}`RXUIE^t0#c79LcTy2bb=;ML9uYWMFlj~ z31Wf_hw23>f<*|}9J~=C0*??73mhRJ<KgBF(4p$W;0OV&1cA+qfLztxq5`6tAJ}(7 zSly5ifCzxX0;$}(0ofj~6EyIImQ6|^vGM{M{*YM7fX2!Ws3?R6#|n4_c#jH5J(gIx z0*MvSv@a+sj<=|QCTc-UP$)w6f)v4G1#Ax9SmA-k3Wx=c6_D|8^9JZpb#8F16!9an z3CLC5Eh-=i(qsm)x*;I|5deh+Qmnl2!&#dQ`a{)O;IRT?fnx<UCk~30fn1Hw433p8 ze27>9xvING1w=twXdqTM<XCx#0BFh`DOL&~dj!z3$)I12{)dl&0n&yBvA_`mn#>19 z2zYh-0If#<%?Fy){mqMr5b#ty<N!WMSp{-tH)K6NL;$o_pc|s16S5QlD&5%vULW2K z5rim)8V8z(hX{aJNYQx%vbg{;e*g**P$}2lf%i!E2=Kb&78M8$F8Tr>>(N0A1h5o+ zpk?aETT~9nfl50DhT|<NN1#lQjZnQHMX;g|Y!2R{?+ZLmK`d~bf{cfoNA6m7ki$Uz zB4TzJ6o3|FOi=+*ux9vYaGWBqWp98qq(P2@L;{G_4G95=0N6@M=ybNIK-Gf;J6lv* zAi6<<5XB%?H$)sF08)Vzrx&366X0_~pb!DYsYmw?juHk2aNgYsTF~5i-tZ(`lGOq{ zG;|CxNd%h4;RVGPXtoFv)6`ze4sM)6*Rq2W0g^XhYuO>fIM=d+Q!sQTIb_Ti=Ee)J zLFbhBKu3fPJvxsgYIws-2!Dga3u*#rcorc;+*)?<92`_XWPGzl1wvy#k{uL(;7Kve zBiTVx2<u5dk{uLDgeSZ}Q4g8$GUNu;v3pcr@PTO9gcpQ2Mdbk>e8TGnn2DJ1dYVe? zgcoRt88p!V3R&o)bJU3j&@g^yi^>&HZbqH(x&Rh}Of-O`!Sewi^N=P`kc`EcXaJcE znP@n}2VDyYGSU%cEjvgGY%pk~`{mOY;0YAaU^1==6zGH(XiOI5FYr(_o(ZoLU{9b- zpd0}ULHq@h23rp@4>Yz9oj^e{7Q<g4*FpSs0Ol`{k*NLxNr4RpjTOIK{1WUh&{!}o ze?cd_Ktr1#e}PAI@l1H_0eb?)Upv4;5PyNB!PbM!BY7=5sEGnT(iUVSs=q)|V1q$J zt}kO=f&B#<O~vIe=!6$&a1i7#@R%r`39k)cPoVf~4Oj@`FOW3YdXRY}uVr5Wi!YFo zsQv;;fei)?TE3Ke4fYpkBoCLrazG<MkkK}fzraI_dsIN8sQC+IMrVu460j#w{Iviq z1o0P08f-my%x(&J)Dq2DjC2Sx8Ilg?!2AU=64hTIDX_tyvA>s>A^rjlapCfp55!-f zp(>CAz$0{fR6wGr{sNiN*`hK7><JWqO#ur*`~{K*TMsgi<hAS*VEzIbiRv$q6xd+U zXxYo2H{kdJ-L!|xUpf$ffyQq@{sNCG?NI@VqWTMDMrVsk57-kZ{^|e=LHq@h23rp@ zkL0!NEiiw9j70SpND6E)crAMe)sJLv0DA((Uo~JMh`&J6VC%udDx|JuuYmarWF)G; zKvH0X!E4za-+|)`H0psXzTSYEc96jXP<(;MO!laNL{Z}lWJYI;N(tB#DE=w{3qkw^ zk_KB38kPXf7J?hl#2(3>1M?TiNK}7;q`(G)1~p#(g7^zGZh*^QXCVFpb-zLW0*^ZE zQ2~jf`U_-6XNyV(*b^xJN&yQ&`~{K*TMsgi<hASxFn@uJMD-U)3T!ZFSm5Q3_u%*f z-93iOUuz)#0(D?P{sQ;2_o#qGQT+unqq9XN2J8tGe?@?WApQbLgRKXdNAg<s5SYI} zMxy!)Bn37Y)Uke9M)f1v1Hhg@@s|%+2;wi0G}wA@zj6wApEoGDz`bC|N$(itJZNV& zq@4GF`3qzus=q)|V1q&Z)0g^0uVn}Ig+LAfHS_VUWp@F40>xhrU?GUVK+<6ALFRQ& z0rxJ^jK%O5sEY~lmkrEcAR|%z1(E_A4C;>2V=X)A#wJjw>v)Sw4(Ku_22d}iMFlib z-`%4^+CmfyPzZsi4nZt%7a3$csEYwMZwlBvq?syElbMK_Dv-lKja5PmQE;qfHwSl- zzb--ydw^Wk4Y`sEvPc>#0I~=o0AeBa4(Yv?9kk948Y`fcaUdor6hRa9-96y7=dghb zQ0p9R`VMVs!vG#DAQm`QK*qz(n*ufuDONy65)msPhk>dV&_pQe$O-EFAt+X`EJV=< z$BH>ptbkla(hdW9uVn|V5(GsB+71KIY<+i+3TdlRG~lrUVu51?WIQNVz~+&=8U?iA zkeJmdpu@jG%TT&eSEHzdW2JH-BCmj41>a!+TFVX<09gcCH4S1R<rR9bWe0W9p|Jw$ zRD+nHPy|icclW4}wi-nN9xEUgI95Q$!_6aiH44aK#H>aE#R`_yDDvP~*}VV}D<D_F zm(qb^1u6it2oftG7E-KGx|Urd8dNcCfvj-vL|T0f3LEfnAL|6{YvLi7m&1n16k;Lf zfJL#Ja{{|Lpe_u;GK+ACIbcz&<{%9}f`%4B(`^hM-Fu*m)Q=w!0u4ePL)<`!G)ULo zZ~`pbq5^6sAT0eM39%F`ifk#81@O}`45UC7ocHKNvcsbn;*3s+$;Vq%BA}J-@fMXB z1Tz7_OhGU~)g>r-cSD9ryCH^j3m9JP?12p9La5f04*XM(Idp7Mkpm^1)~NZQg!7uA z8)A8P57=AXfgFYxKuvDQh%cxLY&`(eC=1r82-WzS0R*A0Wn^Gr0Qq+d*zHJx0}e9C zl74#oQwHqZ@_8itQyQ$%4yqC4%h#aU0#K~r@~43?C|(D~pHg7wPMJ%xKPAB$qoEp! z@@K{X`cnez+>LWc_NO>lV+B+rQT|*ofc_K%JNMjdlKm+P);JZaktl!O7(joDfSvn# z7Rmk;25Z~|)ku^-L5ns$ItM0y3W1%=0d+13<*guC<N2A803ynt9wGx*4hevrs|s~4 z3I5~<YkV_<1b;Rl`?DL?j$i<%W=IWq95RUssv3_&W-38UP|XCcsJj`uIl2Q_zF$(j z*!+;uq2pZZNl@L$2X;yt*eS?rJy=>#@=ra~F-3(JtRe)gq8nmIXA5|5IB3_P90Nn^ z0sbim`L`YHYytOdL96IN)6!GGv#6XG_@{zoIQSSCI(k&t!G<ZJ83yh1faX*?A$zIe zJs{8;W2iK!s|8sf0b(78?0*9J5veBv)z{qv-jn|QqT-3>2aFwuS`TzgQDFny%>#}u z=$7r)1N>7DcJ!#Qf~6Q?QlQ3tXN$@Q$mlVMLh>W1V+dN=1d^Nr-ee8)BLl>b|9Kf0 z_*>7z{0KD+Jl6!-k_-wyNOJ=u2=Nk#1qx62!fMbI((xAX`gf=wL6a{aCMbYG+Izse zR#E-<4{Y~Nm`6Z{!2I|ZEVT|M1)3uQO#y<u(E{%LBKZ+I-v*|pfHxh${P=?x9FO0@ zhSi`M2A;=&EYa_TEI}r`H2FAWB`nBeNb7{5`nr3-lOm{o{06o=4P3lHS31M|_!TS_ z4U+=RKY-S2K>P@rh(q!tsHfW90`9Ov=O|!)`~dOed$3`eXoi8u{vpeGJ0a&06J8m5 z9CC0j)Q_OSa1ax!ue(PDH0+P+$9G`6g~2sjH^ds4AK!wdIABtsG5gLI73fSNh(hus zXpXMC1-uO!v@c1HfdS^n7Z5)_2OD+`=0~Vu;BjThdeTnFYBBgKGEjbmN`nT8A&D2n zIu2RU1oGH%$XX^46RNMf2fXSI)sN4>b{~Lw1Y`)zk59o;TVYb5@!-xDa4)tSLLvDP zI@buMrl^2Mcwv5g0P*8}uwl(;hCx^9fYhTe;sN;)Dh*n?1Mwq>g?%v%bn#Dj4|pjM zsvqxx?al?a#JXF+3SfS`3zkZPNrA>tJ6pgz13<+)XbTylTm@}5=xzb;Ie?C{!u)sx z;>YV?!wk?2D*zP}kTox$*%Am3Bna^mhy^kgUgng@!#Xt}DNuC)>C^5&s(e759(WH5 zEZunU2Y5#`xRVF!FMt=jz;$;wRDgP^(9Rx67*rp1b3l7*Z~+gN&K_`;0b0o{%fJ9K z>3EAu1)}Fy17#v=A&?|=Sx#q*3P}EViwel?ptN_qMFr#`5ED{2cS7Xg9FS}`#D?x3 zur|XJh^`>WwC*0T5ny4^U?_CBw7Um9Ow4(Lf9j#m7L^O2IGCbx9vlZj8)3D2cMJG1 zk>(eS{8K<x;0chj9+l%DWi7A4$#KYe^#I5ZQ&jeY{Losy0hd>IfRy#9YzHZ82_2eV z-2n2#6qWTLKeWzSkISnoK+1YlmV=bF><1U5LoQYqfc!8;Wj@Fctq0fP^6CtbvL2P` zAZ0C(dU42kbppr_Q&jpverSER7ME8$K+1Yl+Cj=%CWD(fL(Z!WAU{k|sR#L?l^ds5 zD?rM6RLVihTK<AttwYYM1t336QOO7Sq19jwu2{_gDeF;52PtbwADUiG0Qq5xN<7F9 zt)Z)Nc{Kv0tVbmrq^#xQbda(k7pnmvKTJ{a2l=73Y8A*2pfLgTdesA@tVhKiq^!ky zXnNHF<cBFL_8>pB&R>bks}>+-Ju2oPWi3mmfs_roSTz9oVTy`A$PcYYR)G9~Emk!^ z%6e4PLCRYAho)B*Kz^8_A`kLI>&xZ1yea`w)}ta0Qr1#A6{Kv)#i{_v4^ve5L4IiE z!|7EHkg^^Xc961`$5V!+R~bNln4$vOZNT4ZybR<AYzg=W4`if-hk=2=#dm0W^#jNc zQ&irA{LmV)6qi?DfRy#9JO?Rj**+PhY{(_x2OvL8QMnKDLu>64kRPzc>J5;x9+m4L zWi5(B)2kOiewd<i9^{ACMT>EH^#n*+kIHe7vX<^iAZ0@?Ru6#uFhylQ$PcZ@!OLv0 z#p(`_vL2P~AZ0CICk{!kZUFgVipqMBA6nml*REjm>I#su9+l-FWi9bT)2j<Wewd;% zALNHtL7ZNl0aDhZG99F><>Z7R8LJaOewd=t5As8+8F=jrwpi@|DeF;b2PtbY9-3Zl z0Qq5xN<GLAt<msB7wFCX3XrlMm2!}>mbv{yGFA&fewd<?5As861AN^Dx>qwm%6e4N zLCRX#ho)B(Kz^8_5)bl2>r(jA3v{nWfRy#9goBi|6!s0tSPcOAVTy`B$PcZjz+>^) z@~Q_&S&xc4NLkD6-XZB#2aq48sMv%2(E1)cz>CeR79eFkD&`<%Ev`e;s|FxHOi|GX z`Jq(=r&l#V%6e4PLCRXz_YBEcRRH;6ii$kQ53QEqv21LyDgjc~qaqGc)*?AHy($3m z!xR;MkRMv(zyrM4yvhMm)}z7>Qr6PkJtSk50py1%D*w4b%MzNwqn_Bj`hy$NUj->^ zdD}H4z4`&<hbb!WL4Igm0Uip(=G7M<Wj!j-LCRXfho)B_fc!8;<vz#{t!KgGW7xcU z1Ej1+<vK`N%fZee8LJmSewd<i9^{ACPvG$}Y+gM9Qr4q#9Hgv8duV#~0LTwhRQ7}X z&?=78t2;o-dQ`T9l(kIl7?QEN0py1%D(gXhXte>4k70||6(D6jD$7C2TK=~WNv|#d z`C*F6e2^bn6TyQ<*t|Leq^w6}I!IYd=Fs%&1dt!5sPu#U(AowbG{WZ94v?}Qm3EM_ zmdkBJGFBTvewd<C5As9nYVb%3Hm_EIl=Y~TgOs({4o$BXfc!8;B_HI6)(ha_1#Di; z04eKHNe3xwS>8G%V>JQfhbb!YAV0Kz1^2nJc{Kv0tVbmrq^w17XnHjO<cBFL{vbcJ zO5yaX2S{0uiaSVIOLfbTj8zAaAEv0-gZ$8H4<25?7ONH@Wj!k9AZ0C2n}?)V4M2XF zqM{G-Lu(4S&yCHi8X#poD(WC*E&fB(s|p}LOi_^s`JuHF+!4j*RSA%?9u;wrvX-4q zLo!wcKz^8_!VmI8>pE~p6q{E$K+1Yl*g?u#l!vBQ89;uRqVk^$)L*>}?ww)t>JKhR ze-)&xrMGcN#_9)<AEv0h2l=7(2e`|E&8sg!%6e3ugOs&=Zy1tZeE{;q6qWlRKeWo? z^y&?evL2P|AZ0CyL({7lKz^8_avtP|Rwr=p3|p+804eKHISx|Ra=Ly<#_9o(AEv16 z2l=5j9o%KX=G7e_Wj!j}LCRW8ho)CIfc!8;Wj)9btv%p&JT|Yc04eKHSq@UxGQVy} z#_9r)AEv0x2l=6OBe-#k&8ss&%6e3$gOs&!4o$C40Qq5xN<YXCt=GVfTWntK04eKH zX$L85DXtxovDyIg!xWWzkRMuqgIj#qyjlTL)}vAmQr2>}W=MLq0OW@$D)}Hkv?}2A zY6eJIk4id7S&RG7^lAdg4^vd)L4Ig;1-JOH#cBjdS&vFMNLkCq>LD4c0U$q2QSk@) zp*0KK;=|@u50J7R6?c%b7U`ksRR@qCrl{D1{LtDDZU$lVss%_{kBT`+Sxalxkc?FW zkRPU~=!5*wx&_=ez~)sAkg^^Xb&#@__mxA^s|p}LOi_^s`JweDxWdHdRSA%?9u;wr zvX;o9=~V%cAEv1AgZ$9?4_skl^C|~OS&s@kNLkC_iXj=R3?M&DQTfja>aQx}^y&{z zNPiWitVMTddi4Xy4^vd$gZ$9y0j@By#p(-?vL2P^AZ0Dn%ZFsFJ^=Y)ipqVEA6j$4 zwFow^-T*1<QMnFM*1`z(>T3qjo>uVISUh`QL0eZr>cE>}!Fyjp8%|Ld*kaqs3fZp; z+sX>r^a|bFdmM6@A85lX(m{ss?XIA+jo=*UZdQ;DpnJSP8-GE2U!g}WfOp11Ho1cL zzJd;1Ks{OkzV{X6|0ycxLH=)@hI5nI36QcLmE#~~E&5n0Dv(2<4hLBdVnVm(4jQi> z0Qq5x%6^a^T6g2zWVQpOtVd-#NLh>E(DdpCkRPU~tOxm_^#RUJW-CC-dQ_H!l(l@u zQc(?oSX}_}!xWYIAV0LS;`Hhakg^_?=^$k-SBIuoCxHAgMWr9)hgL0|`{_DB%6e4V zLCRXT4^6K&fc!8;r5@ymR)3uP=_)|VdQ{3m%35X)O|KS!{4hl&ALNJD5}f<#GC<0D zRMJ7pTB?VpR}(;fn4%I7@<Z!PoSV!dK+1Yl!a>SfVuz+z13-S5qT&zoL+d`Ao6I~w z%6e4XLCRX}ho)B@Kz^8_Vh{2|>tmdo%q&34dQ{9o%32hMrdJI>ewd=75As7R2TreQ zfRy#9sDqTXFb_?yDuDbjMMWOuhgMyj`{^V=%6e49LCRX5V(AqPfhL3i$PZIg_(6VX z4Z^vfjsv8uM}-}vtmXL7^eO|$4^veBbAbA~<v91#{osJ~bwSEnRt`<CegOGlipqPC zA6n<&++_9wq^w8fIY?Pc_t5m}1CSr4sN4tnq4glnO=dSh%6e3;gOs)84o$CK0Qq5x z%6X6<TA$(EWOf3itViWINLh>j(Ddp7kRPU~><9Uwl^ds5cYu`jsB8x*YcU*}Uflrl z!xWYEAV0Jk;M`BQ0;H@*WjRP$i}29&>H?4-rl`yZ`Jpuw=YF~wAZ0x&(?QBwzJkZ4 zhTPcF1dt!5sPu#U&{~CaKV1h%S&vFPNLkDEq3P8IkRPU~)PwxcIv?jIvkH*19+h&C zvX-4g)2js_KTJ`{2l=7(2+mDr86agnD(N6)EwhKFR}(;fn4%I7@<Z!OoSV!dK+1Yl z!a>SfYKNv*13-S5qT&zoLn|LnuX=!#^{BXml(obUO|LqD{4hnu9^{8sW1RcxEI`V7 zRLnujS{#R_R}DaZn4+Q&@<VF`&i!;6AZ0x&>L6t;%0ttu3LrmBQIQAvp|uw0emV(| zvK|$2kg^umq3KltkRPU~@Pqu&x(MecGY*il9u;<wvX<v%n6r38pli+m^1~FB|Lmat z>T#T#%zm&#`l}#iEhmSjS3iLKFh%7($PcY=aBebt0aDhZ@*JeBW%bbX>I0A;rl{Np z`Jq)1r&n))l=Y}w2Ptdm9hzRf0P@2WmGdA!w3^}EPj>>OtViWINLfq%(Ddp7kRPU~ z><9UwH5%uBx*Z^8Ju2Hl%31=4rdKzB{4hmjJ;)EO4LJAHtpF+OQCSXB)?z#~y}AJ8 zhbb!aL4IgmigT0M43M%OmFXa5Euurys}n$en4;1T@<Zz>oSV!#K+1Yl+Cj=%zLyTk zdbI)Mhbb!cAV0Le?*;h*+v=DKkg^_?a*(o?n?uv91t336QOO7Sp;ZK@S2IA$dQ{Ru z%35|0O|K?^{4hl&9^{8sOPu@ZB0$P|RKh{ZTILQ-uLgkpFh#{5<cHQcocrlKK+1Yl z+(F7(>W8LR9YB7VqGAv7Lu)h6{d5)}Wj!k9AZ0CyL({7UAU{k|(FggVbp_5%W*Q)6 zJu2!TWi8G_)2j*~KTJ`P2l=7(EY3}45+G$gD&inzEviG)s{$ZDOi|$n`Jwd_&P`?< zAZ0x&>>y<=>_gM53?M&DQTfjX>aU99^y&{bNPiWitmS11sQMjpqx&B~ewd>29^{8s z8=U*;UVxPKs5}QLYdJkMz4`#;hbb!eL4IgW#JQjD21r?t%5{*kmbF9Es~13in4)qX z<cHQaocrlcfRy#990w_D=^vV2Jpl5<6qWrTKeVpKxyft?NLi1{c961`!lCKa4In>E zQCSc2L+b^co6J^#l=Y}A2Pta_9-3ZV0P@2WmH8k)w0_08$!rElS&zzekg^ujq3P8L zAU{k|=?D3tRSKt9J3z{MRN6txTEvH@R~tZnn4(e-@<XdV&i!;1AZ0x&<sfA(KZ}QC zy;=bB!xWW#kRMu8aPFte04eKHNe3xwxji(!ngH^{6qR_8A6h$c?x%|YDeF-Q2PtdW zJ2br-0P@2W6@QQ)TG!#+Waa@<)}!JMQr0qmXnNHF<cBFL_8>pBUdFk}%mSpWN5veZ ztfg^ides2rhbb!hAV0MJz`4mx1Ej1+MIEH9C3$FiRRQFODJt?HKeWo?^r{3%S&xc1 zNLh>P(DbSR$PZIg_(6VXbpr1I!!|g|0aDhZ!VXf_qCPae$^i1i6qWz1p#Ew)c%?cv zul`_#^jAU3S~!QMS3iLKFh%7($PcYO;KhU3y!ry9tViWJNLkD4q9NIYcmVRl6qWlR zKeTQHFLJ=<)f*sXJu25h%398Xz51E~+x@T_GN4P^!S};1U|?VX-w(S(Vz)R$=W&nj z9u@Fb*~Wtg%!~{ko#%F~fGIJ&<biZ+ELasQ*r?_M93Yt+vJ8l=wyXh6j0{Mo2^4_r zJnqqXZYSv4Sg@Ujmq0siAqI6ol|u|M^yoak6Ye%-bqAp8z`7a_9w1=s4^-tRuqgL{ zY{GRlJn7R5xsevh**l6se%hh}+7|55Jp~dro##C|r>LA@1^MU@NHK_coJ9q+OBU=g zSHqJYy?azZJA4s7lmIye8dL{l85po{4+g0R$$E4PxOAR#={)JU19bB)*jSJ5Jz$4` zIiQH$f@TGWCCEv~;qvGg1A}7=<oaWXz};~GJ>n}^FeAQq3;04_%xIn<z`)>v<ST^x z89wm~Fnr<{Y*A5=0|jCWB=As@%nqa^^NC-eVMPH0M2iHH7LddSm;{F$NQD5zP_XHs zWiKFG!6|}u1~}Co$DYV8fF!$nR3O%3B(h#ecz)s+Xi)(<0Bk&JByxbm2G#i9Eh?aW z+F)%E=LxoeMG&rXK-Y$v=s<VUgVGV$3ylY1i4Kw%P!in%M4|&Ru_QVJdE~%O0Qndi zJqsX-4x|8-QjfQ&n1He(14KPY7FVJJIRu`lwy1ziK=_TUL|3p2t+aW;h?(d*TT~!f z0g`k;>EJ>>DEf&`Qy#24{)4;+FVs%tgL4RaF$7KC;3x#8R&dOtCae!2gE~=T9&|G} zD7aZQ7$AimwuFTm)sW-_cMmM8A^t>(>K%xv1~IWjH7L~~;-LZLK-8!PDS$>b=*R#N z6QmwrRD&FX6xARTKw7{llNC9t5uyw(pfGI#=PK;?eS_3Of`*~ngQfW)V<+SyZ)9<f z?-!dNGImb^-|)?O(Sd*JF$ex_*E*+w3!ZK$wFfNy{d(&!kb=%0l`X6c3=SPzR5pWZ zz1AfKpbDOZT94Rt2njMoI$S|G9nL^1+c47M0@|iSh~20K98u{I;!l)xxB`(5K}?d; zVFRSd0i_~P;yd1=f}9RPvbc&IkVA+{hoE|psCtgrsD^|cBC3Dn5iD{b9)W1Vi0Th{ zpzMLs@}X9d1F@TosD}6xC8`%7q8h{`DXJ^*Mm0zlS5$)>GB8mM2|Gx<foc~8!nqpa z5r`IysFt8@u7=o6MpQ%mi4xT_5K#?ck`&bmc%vF5iz})@4jGuJhJ+m?-at|9K{%=* z9)W1Vh-wGgMm5B4GNKydPn4*hfQV`klccDQz#G*dSzJ*Ka>&3$H6-jH@dk?O2EtJd z@d!i<MpReOHmV_ZlM&Srf1*Tn2O_FLOp>D70dG`;WN}3`$RR{WHKZf?iC+L*X=7<< zUr+|umnc2BUa(@ME>edAND+7l1Js9s+m5d802XziRwtxAd%_uHN{b343ScxtH%E5> z%lAvIkVa_77L|5z<FX|K)VPG}MjR#v(vG{~3zF^jVCfbGwSXWE+s+=9257sr9&EI3 z9?WR4YL3nx6&*PShHhSvp3WW>4G;$+#=joI?d$<JjE}c~Tf`uBpq3CMbm4Afy<iCP zA@*Jiss|8yIE+ADrY(?ALGJN@#xy{kB3R@hv>=ZHfP4UwfzY5)0FF=mf<53-fKU99 zke>TK1*B0WXj2F@yaA4v#^V)63=H4|02**8V1<rRA&modHwb`S*$Im?Sk{H~q_Mdj z)>rFpxB(6mG@acI6TnHOMFo`73C1SKgCK?70W9z+&4ET~HaJR4b1<Ux3$#Z7)(DE@ z3$hFh-90KGapoQs5RbT6eE{loqQneA-@O29p}==3P~RnkeYYu_I=;g`2-Xcr)R5#4 zJK8P=G`u-QB^qqMFWCNW$ar06i^>L2NP;teXN$@N5C<a04B>S`j^aZ)h7XciAiZ%| z;*kKS0Hn+Uie+$$>~2s1%Rv$i!PJ44*F&H|7Yug#+AOR=2k~qtBpHyCC<4GPL~=d$ zZtxFNP>FzAa_(5M6*L@Uc%btje2}y8ki|QY%4@q0AZ1mI3?~69LZPD$pmYrnGFFY( zpkky4oS!_p!Sx#x+@u3YW%&WH5eGRuI(t+sz*z)7Jdyx5V+vH*$fNUo=b_GnkkTI6 zh!^glQAmgp3aCcRfEoc2Ml}Ly{CPnT$OOnhwglJ&SgN=HHlRlZA`12gD4{_dfn)+l zD8d8=6cae!Ktci{3O0ca9uk*4;Kd)z#uH%(lYW54>bqN1AT+2ng$$5FMyYU*gF1lh zL@pvAaeUANk~TfMw?Kwt8;?PTp!R@^dmm^y;@SDo@wg)gs8(@24jO-E@PVXHuqlq6 zhkSZv88Sf0V~>glxXtn1r}LUm_Y^2^ii!g(1A|ZJC2*XAnJ0ZaZ-T?@<(h4O|97{5 zE4Z0ELG{4*pUnptI}dao^Emj7x${G3i^>N`4u)jUEh?ZMV)q`fXy<>&&L2LE$GYuY zx*-)}XN!snD+7Z|=ZTr$e>!%abkw}q*`s0r7CL$PdG{2sH0Q6*Dd5ra?kOsu!!|(y zfMjg*aTZLIT{=&6PEpZeWnh>IvJ7OT<M*GAnipL<AqIn_zy^a%d}$i<ALOq$vJ4Ek z{B;K6FVJ`h$N``>a`zq;kSMC{ATv5!R5ZYzK=GFfSO{W0NE&QC$h__;;IS1nV=?>% zG8y781(?4;Mxy!)Bn37YG+OiWGsIsf@c3&D#9zp@Z}%P*kSMCZKxTBdsK|glf#NR- zun@#wAZf7mAoIGXsMvt&u5L)B4mB3TUm%kq{t|)t3uGj!zd%x8gFz;~+!zavuN8Rw z)dTStWWak1xESi*qXH5|^%uyD&K4C=n-(n{@*w#OBn`G6WF9D8Lj46Q^q|IK_zPq* z#9tgRe}Rld^%qDAY%pj*|7CvM|Nk%FC;b2a@>1ge|1Y;D{r~@RM)LpvFRN4j|9=^m z`v3n+$F%?dU#g`4|9`wir9qy70hGmGu0!P?Z&7K1Nli}w{~uAZTL^=4ev1ldS^#?m z4pIw}_2`B*rWHY>yAB=aT2F#TYuUjyVG{$aCWNW=U}-(cKlM<@6csjB28PnY*PvN4 zQ0fMiL`b8D-4JJY_kgoBC(=>5ASZW2j>-iKgHmueWZ1Fw0K{lkkkN*q8Pu0<5HEwu z8Bh?so(T00hy!gbfS51K{)3YW$R*qm!#W{TJW!*%d%(fiJp~+O&7b}&UTpsOUlHUk zBnLp93oU^#RK3jo4~`H}st4Q32yq>Zf4l{p6Tni>(vTyh02(2n!TaMa;Q1M7fPoSO zhzW`ikSwkUVL^)!m|8@HFoQx35+NF(E*ArM22%&h>~2v3IkUS5Y&kgOL8`hTPVVjj zPc?ysK{`OCzdQp2EJBz-MnfWGW*RsMKp6z&PjH05IM4|zkT@(tKz4AqsDR7_6_@f1 z3{a!Hdm!$C6dou+4N{Cy1u`6(6){x7B18d}4MHHUgYl2IsHniCEKwq41vEl-K>E}Y z&`>(wq9Oxjf+7SYiz`AH(INz<77-x~piqNEhyc{k<1H#8P-b_F3dot=Jt`o}!66S) z)eUiScMsShU}2DsZiq%$g#2e=U?_z|$eUDfgn$eI1w1%HU>vA-K;p0n0olO~F$`25 zLLvlgLl49~Q@}xn64YR6h#shOyQhGihoK4<Asnz!Uk-5{jDNgEg$E|plZu=}9zY`m zG_7#FMTG$xO2=DNSfET$gn(pmMaVxE(3%=Vkp)wWh>*XaP=iFs4>?e#1Lu%GP-b_F z3dot=Jz&ehArDg34RLaJ57;4KVUUjQ78MW$i;zDcqahJu5Aia{2cUolM+l4q^$tiJ z79k)zxFLphwy1!3P@}thAnut04l<OW2HA{I1v0#Q3fOrVs$dcFK@M7n{7L~Q7Z@M3 z3kD{2KLt5LBt#%}2&fHqyhY^&G?b3FsJwwPK@kFy#T6mH(INz<77-!8K%oYSkOxph zkGH5ifik;WR6x$`?g3j44tbEO?iQ6VkkJ;9L%_ly9o-O(un74HG8z&glOSFO`2ZB~ z;0S?npxyzA!y*J^2RFp9&K4CA4{CIG55zrF!1kjAHIf6M&h4H84krv%un4&U3w2+J z>tOujEh=|lQbs5d5&(@5&=CFc7L^OoP&(eCas|o+MF>b1SA_gPix8MvM1*_?g&HJ6 zPCyMk-lB2_%It1Y0Xeg~2W&Yw<Uy*sAx`e@0XqaN4ARjJ(Flu>Zy=)~5%M${oCZNY z00lfaLSP)IcR=E>2m#r_4Kb{<MFqrz8r|IkanBTRkf8)Mk^`X5?VbX59)>DdgdBi{ z`T~gSVEp4PDo0>at;xtaqyriupiaVZ$Tb6?Lgjdi3g~765EB$3AX!`y@)a#YU}_N& z@&y!XkO%<{?12nL8c^(RQ2{x#yGI3dI|4Z5L8`hTPVVjjI|M8Yx+4HOXakFo&mf~A z5n=)HGRP26z=I<M#({bVBo2!ZkR9BR5g^2617I6^Anut04l<OW21`TqK%Lt?1?)Tw zRp1B#jsAc-@yILGI^sbk5_n*Q8M$|kuI>O9buVYm{r{iphPu$g(D!p_;L=&NFw~V6 zhJKh$1MknKg`sY=F!bXr8hC#WEev(1g`uBj(!l$3X<?`bEe!oUg9hH8M+-weX<_J> z=``^Ed|DXlMGHf}PNRYM7tq2`Z(11oZ7L1CzmOJ&`q0AA?^9^t{YA7e)Rz{9{+LVy z?=Pl>p?<V5^yefRcz+2k4E3jlp}!{5!23&SVQ2s?4E;TU2Hsyr3qu2GVd$TJ8hC#> zEes8!g`t1@XyE-7v@kT77KZ-orGfWX(!$UXS{VAjhX&qXMGHeiX<;Y>Eeu`VO@lBE zqlKZ2v@mo{7Y)20P76bsXkqBuP8xVWf)<7{)56eo9pK@0(4s}qc=5|6eyIF<e^h={ z04m=!5S1?wgvx)7#y=K}D!(uUm0uHz$`21i<r{^g@_8dr`7hA;ha*wt=S89NE2B~Q zAu*_Y{a92!R~#z;DH{JkJgWTc1XO-mA}T*H36-w{8C{3R2RmfO2hM+l#@`E>K!nTB zfJ|z``Nfb`2XMY$2C99UnW%i0EL8q|H2$t^RQahnsQiLlRK9l}Dqk%hmCsm!%D;og z-(H9+KdA_npIeN|_b5T-E0?13|Do}3mZ8dTDM#h^SD^B<DpC2aRj7Q0YE=GjH2$?3 zRQZjysQjKfRDOCrD&MIAl`q?f%Kw4Jzubf>zpfdT-`Rq__{&Iu&!4d1n?G4Vq`Dgj zb)5Lj^GJl-i&vch7IiQ0?i)s)5g1OMao#(OVy0}*F!IdG-NVQ;cXkaU&+rc?&p7QI zMln;mV;Fg6#r9$3ncLfjk!SdZlV=>a4x^YU*)ohgvwZU~^31JG!^ktd!^txa8;4QM z6mJ+to>{hj7<uOAx?$uQp5f#f`?bR;W{TDfBhM^dJ&ZhaW7RP74EJ#IjNQs%6f=b@ zhLLBMEFVUmxxQ=|d4_8^dB%3>Fp8OiCBw)wix&?g&s<wHj6B0RoIGQ*a2Ul*{(@oT znML!5k!P;X8%Cbt7*3wC#<2-@NG><Y!?C4xNP1==jt#0q(lb|ZY&RW}o?#zOp0UEQ z6Lv_(OfHUntwYi?3vldG9g?28jAPH~kn{}OaPo{Lj_t5RGG=mcY;GNro|%thtLl*S z%q1KfPlu#uScj8mEO6|J9g;DVjbn%Fko3$v9Q##=q-QST*nK)AJ;O4bJY$YyW9*QO znXDev{WJ+^``xV3_PdFp?RWc##y^9$|7|(i{<kKy{co{o``s+i_PYtA?RR^J#y^R+ z|7{7{{<nIx{clld``t{@_PYt7?RR^P#y^I(|7{`K{<j*m{cquD``wJt_Pg<-?RR^D z#y^a<|7{-H{<liB{cj;?``z@>_PcSR?RR^M#y^0z|7|wf{<ku;{cnM2``vWV_Pep8 z?RR^G#@~y!|7`}^{<mVZ{ce6}``t9r_Pep5?RUG6#@~gu|7|MT{<i|O{chf9``y&g z_Pa5n?RUF_#@~*%|7{Z5{<mDT{cav;``wh$_PhN<<KJvS&A(e(QThFCsQj#URK9Bm z_Mv91m$MSSv<`MD3+Sd4$RWY7YXl;Yu4aXtR@<Tip>bRzfc;XI9`Hp0pZEnDFTifX z16_`abb$ld<;{@W7a*swLiBhv9t2;%*?As*m&*$l#9b~#T(?TprJE2d!PhFluM9@L z>=1NrH<EiHHy&&OUswsh?*eo!?i7^=ETGMV;7ff$Oe_~DS%431hlMfnrJJDhPLD&* z!3J%Nh91`qVuB9p1j*vMKnWCY;JXn(M@Vh~pIi;n(gCsx<<iZU%DqTOgd#5R0o}ZT z`&JT=EanYFcUT}dXWj;%63WR6JBuBx8gx6&=nX`mqoG0h>H;j)!ZI7~um;CjcS8fp z?L?5P3wD7HIfX?t==^w;OF|&&Y76AL6y%FL;3)ysRNPJgUA%-D-DjZDeHt9yrp&PD z2CD`|H)z8*<dP2}FQGwl80_vOkYUgx^g-z!dW1fR*^RjI6{HCH-lQW?mmLPXY#S5E zWvDm%fbuR_7b69?`wYaTNqeAP+YR>GH%5@xK*0v~3@p$=0`N=+x&(^Q?LRm|7<&9I z_|7ocrAb>@7#KRHsB8w?KM`y{YS2P1Kc^sAX?1DR8feh120Q&D{Hh3)pd%^0LJC*V z>B6882WM1Pj7yVVo|p!%u0hKFL+)b$oh=MvzLfoqQ|iMXuoUPb36N4~!Ur*57W@TE zVKdP9A6N>Tu89ySY`RP!&cY`3b0XM4Y*Je$<CH3&0+zz&jH?hSYz9_LMN~!I9X)Rt z7@)V-AwstSd<jvD$^+;nMi80-RHWXJ0bhLvs$)7&9sDJK@Rc;<#e+Xt4?bju-gk#R zm4gh2>IGej*Lkj+$Dn%(_%fl+>j(eKAAB$E*m=Q`@rPsMg9i)@3@)0796LZ40xe-- zU~uU;**!%C#Jc<ndL0nlyeZ&I_L>i|bYANgG3ef-0&<#T=MTq&Kja+`zL0k8{N>7c z$g%Me#MJ8!9Y4W_fUf?*?ttb84;;VWaOphId962u?Mdep@I`Ok5UTYO|NMg;Jt~Vq z$-6(D15`3V5-2Ddx*_+KH9z2o-dxt*q5`rAA^>86ibQY{Zam0f4QjKT-w8jp8ssOC zTzAJ2NUVS^{ecO3u<n4y$^mGsKxlBR?101yNIjNVxdOS(0@RuU73oO#v49MR>IEr+ z#R}LQys<I|9xEUgI6y(h!_Awb0x}ONRzOA)5i8(ZSEi_dRHG#e%vb>zxl_Q`@4;ea zHaJ#RvLj*z<f?ASoiC6`fC_*tf(U?ENU^d4cdYz@#0u!jB9KQxQRTt<0U9d{ps@m> z!Lc#}5-T9}SYl-hBvwG}2WYH-ZZ`rkL54&1f)v4G1#Ax9SeXKk6%Y#?D<I?H=1oxn znTHfBAR~#06_CTar>KBb<BgT)ol_t;`avjItV{;S%4;@6tbkkvy?UnkL47Br@eRFn z1|k5m5-C;!Y#@0Be*YTCPoN0s?l5@^F2O(-F2MvnST){))VHWKKw||$gJY!v5-T9} zSYl-gBvwGz%YdQ+dUp+o2{Ih27o-RlD`0c*#!3%7RzNIptbmM%n>R%TWFAtifQ%#} zRzP<Ibx%<NsU{dJ;Hwp<fNxlY#Y#6gR&<eK1>~x3$TdWeNPr4}EP@DtSV*z*16Qng zuttC*;5bUxw6jGe0U95msPkY=hz4D-w*?}Kwe8G00lPUKav+_s-dsd1h_^)rEQ;Nn z6WGmhKryEv9AXYw6stMiJ2*<9J>i|;%NNfZo&-fC#6OUm7muOeya;v{^oBkU)&TIe ziI5u$!4|<~;5RRV9R=<fW50Rv2iOQm#~suHMT!#G&5ICW)N2!wZ(daJ0NqsxF#>dt z8IlpOn-?L%s74^&n<x+rG6CWb$R&-i=z!h42oVMQ19TY!tP2JAuLIJ}i;!CyVJ5(C zUWAB(O@QZoNNo#h*&qU*0Tgl2+bkisHMXcgXdFW(Sne+-{pLkbypnSB;!I{xp|nS( z0X$d%yLl19o1#*|0>62&0L(<(ylCV~>dlMbrWT%?7jr;m66(#18DOE4;F}jg(%_5f zz>ONj&5KCJV%&HKYIi|yyh~w$-n<Ah(h=q6MUWKOU{G7=<>7DO>)AlHJ&`vrf*UJ) zaNoR`0QLmR4TUjaA&9>~(qQYstpLQ$i%7;|_zUDZh`%CW{sI|^>MxKK*kDj=;$`D^ zu)jd{B`$w~?pTD@fS{`%!PPyUn-@dCo<Q+e09Xj(FOW3YdT_-GxjYTcSPXxGTnF)& z56oX6BT@YYk^&nHs*hjV{{Z_7RO8_C7wC>fXdMD_0Jy@$bMvAH*b^xJasdlL`~{K* zTMw>@rhspwLo*h`Um({({N(`i7syCde}SaH27_v}mw$f{-6ewD=L)~q71SjH^^Rb7 znv&Wjg6bu$OJoBIA#j%n!~!=cK=~9@9D>aope~U$xIrOrhiK-3Tt!ls$O3oc8*i5g zR4#$M523-$JW%hby9d&fz>F18@8me7TL5a?p>>I%dO?a{%{)*kfY!=F>!_N*V+F(l z#|o&F0XYk7-T-xpjKQ&zXp4vykgG`Q5<S2jD|ov^pi&j&eFzPX6;SV}y9d&fz>F18 z?*#WqJX9}85iC|fWf=Zg(SgSbhy{)nP#Fnw7TCN2>Jn*#V`Z@oB33}If>sESwl-7% zRPaIsKrEzYS_iJiH-Rn@sHy>ZA3}p;1=Kt0?t%0qFk=POJHdTBDO4{=5iC|fB{2S2 zQGv$_hy{)nPzeum7TCN2>Jlk~W96weB33}If>vjcNPr4}stt$$h=mj@2DYF!-ua#I z<|@cfklq1~E)l4TL@1g8?Gk}Sv35alb%{{BRuiCIBCsfSb8vKtEWo`FSWA2bsAb)~ zMFlL1)f}WQ5vXYnYUwk0bngMrK6*4BmjGY8c5Ej*>?}}^UdSMsM{kb`s3`L3g>?U6 zb2RXoy~aZk;0x6cad>o2Q2}+8un#SEZvo#X-2ChSNGnL4N4JnmH<x4cLwgs_bDa?B z?k$j!I5bsWEC^+wHYwaNdvtvu0gwuiCEy5cJfsl<>H<OB2MQqUHA?puus@;h1F1uI zA1D~Qw}9^fM^}aHK2X~dZWzcpDEdGGAQedN`w@t6AEZM#1sW}f7y=MdppG8)Viy$g z@(c{k&whYR1*wBOogEUi;8JP}_=00}RbZz>m4V7GxMA#_kh#)sm_CpINCn6eh&zS$ z2{14)bZ$|R0hJV>Yj44QrYR}{Ab#g5$6cVaYC*TubASadI)aB$eY)p>Rrqwus2q1u z;Q-Ctfy6+Hd^%%P1dh9?NPx1YN2iO5!f_WB4G?Do1GsDI(Yr+j(yfFi-Vf3Y47(B- z7#Mc)Gl24SiwXl`N)eWf!4o$gy;H!k?9poqYEZxuGrW7)cp$--fx)x+0EZ7~3Q-Px z$1JFQ1X2y1b^tL!7uJJ>9h)B>0BHs#XWwoH*KPsF=12CfoEKd>d%(#Zq!5%GF%$-{ zAgKhY0I7r;X^%xWSPY~NWF07Bdo~`(@J6^5lwgshEIbiXptwSkTHu0^0;LrsDF;7< z6lhogdrkql6qKeNn;-rFX$Gl;d!8NYd5{8-LQq=APzd%sOeIJKNF_*zWAj6Hkmo^c zkQhh~CI(`ItOL2(x0?Z!U_d_e?UwfFo&zcQx}_a=f>NYM=N6S8%nS@Jo##M>(g!f} zlq0xw1Et0nV1bi9-4JD<xPHLQz~I~Y!Eq;OEXSvNj|xbWPp1tyfr7^VeY%&ZfE4+3 zra%%XB-Jia0T~7o1SeFm?#>t$gX1nL79bTKoiQp7$6ZuBARHH!fa5MI5k#ct0_603 zgBd!UMMAL*8MlEHd!Y0=*otMy$P~nVpwx>!vB67HaJ2za2QS;8)dnc7(4ts=fK>K^ z5*n!317$pq#zPzYhDNb`1(eP~SNnp8w5F(>0P&HF<pW>=q+%JQ0=-xUDI&XAZa_}P zJ1~<mk;Sry529qkotQyI2DA(vz+yST3*lB!f&~>?poHVucmOn>3$I;d85oeHJY11< zp-O=Ul3}_)g)NdU&@eSj3N#FhJrjY#1(Z!-1u;k^Q6)B9Aw?xN$j#6a8&Y_KOKeD< zk_HvRpiuJdHbWHN8^GxjTzIblGfz40<N(+0Jt_;p0w+ObG)Nh!@SXuKyni_E1QoKN zf*PdBr&9%~@Lr<=QsmQ_gH(8T#z0yZ5M6V?CW8_>xbOy*<S2!=PiG0F@CGRdwM-I@ zyQpLkQIbzU&H@uKvjD*cb_I9@9#T@`PW<pTF}S1zsY5R*L0N+q4Qvna90SCCps2*2 z2nVhtm%!b?R`4GhC3z1h(RcT#fM`&YrUAr9F3Bsv0!Sq}NCkRH4pIb4;AAzhL90LE z4eSEUWDG6IMM3RjSi>4L3JfaxJQ|NR_<%zC7ze0r21=aRn<FmJfk?;Z2M0i!K`K4E z&0M<qKpj9=&J!-sB^w}xpyn`!LO*a<5vmfT0;Cd@@*SHW*kjQR76YjRSqF7%hZn-F zpahL16#<@+gL(tJ#1Oiuu-nY1dkVN2>f0^kxC7P<%>c*CF;JW(fSHFJcYr$Ipk`<U zSl}S2I0wl&f`=nO?OKooEbhSNQ1=`akU^mG2po5yBFLvR1W|l}$|G>GMMQbD0l7R1 zz>G+O<<W*gULG|J^71GEcX<>sM9L!{P}1%0Q327QwCw=mBbP@OU;(7^2&4j*roqV< z)M;Y|O{S9B;Xi<!j14f8F@f@E#}F!y4&W}2CXiSjX@KJeTplTanMmc41XuuE9)aW# z<q=2%7I(1n2xJg-%A*U&5h;Kfkp#=57lXV!+A+w>qYm8V(S#vV9`S&ZZg-Cghz6BM z3?M#odGvz`G#rFf9)VQA(lo3*0x2T9eFR#$3U42MV8R%%Ay6KD7((UI58UO^2@=br z7vOjSmq!o4Or-MY23P=G9)aW#<q=2%7I(1n2xJg-%A*g+<<SMqh$L7ZDGc%nkq?8s zJUW28JUTH%%A+%&q}$!20-{0X(E$)2xjfnd7C<VGKq_Eq8de^G6p>vXF)$)ch-|=2 z#stbE4PQhb2-FK3%>6Y3Z-iUX`fCiH2r0;j6aN0%3UIuD%cBKgCQ^Ac11tb8k3e#W z@(3gWi#u3(1Tu&^<&gk#L{7krNP^|jgh5^&B@FTjT7w`^8iI^(LDCR>g4P0Qk^nTe zIanuXdq7DSG=9VcnjoE`(g5Nkmq!&~0i^N>qym<vVdW7>5!vOD0&+4gz)Z%_@`%s` z?TjHbLAwC=1Z@HC3EB+Y6SN$|Xo5BaoZ`S^{0U$t(gbY;SO7dh3sMFe;}2j$o1g`0 zLZ6@oDFV&KA_mF2m#BaY0|`=jg4O^zJ$qoLXM)8t#~|;vE<h^wK(*>%8`fWeRQ943 z%N0Xpg4P9;&bxb5Ks2bCXaV9Q7t01<0i<FXqyoKI1}P%DSav{8#u}K(n8;#TU<ggn zO5mQLec_Ing$1Q4(AYd^QoytEfB^0Z+5^rAU7*QMBwZ3nQlQyX?3rlDP0%WU(<Qj@ zmH;!6CTIn~0^kW+kTOu=%>gdFktS$Cn$Ra`L5lEC(1J_`C3Nsi2e_e)yt;<$3EBYU zEWm)71t2B4M=!3atqb7xD|Ai>&zdpFQZ$SiE4of?-2fNr5Vztk)IoD*kOc#fX*iHd zjA=NK0+2$`!Yr7l;0jTu;Xo=tDnUA6({La*NDL$g69ci4=Gs<Z>AQh4qetVh3U^SG z9O@0|G#se??E#sF17%&5X}BMZpy5Aox9$U&iPWuo0Tuvv>p*g_C17(@K$=j>+RhN9 z)dSsAR6si6({P}fH&UkI5|C5f14fMTLPDu-#t=$%8AB-5J;0snHjtR=Zh%u3IMrPM zGm%o=39tY-)q&&?sSczGZ>j_7Bs<j=Ag8(mh*SrflV(6n+ry8Tx{(0NXpkMKpkW}; zB6<kTxeGMM-FeLsG)lz)n$O+=@self0m#q@XuUj0%%hV9yb_0tfq{X*D&7WU=WQVD zJb^?^=Rt~ygA0&c4k?y;z|->{-JGDMd=O8-S1_*uxxKqZ1w?~dVhce0&O?v|%OKCq z01KQ1dk)ke26+x72J;*!06}6Pji6!x+yx=R#}kl!4B|jnIfKl@79k$EeGERZ5qeS> zVITK^Jk;Hy0-`}a1_iwfvX3i33OZ4J3=%{4F-RjRJ_dyle4+%D9#MS^ZE&Jiww=d3 zJ5P9aUi9od<=J`Jqx1A$P~rovCCOl9VCZ}Y@&Kqn?R>SD6S__;0wnPgw7d+Y1k?%v z)$_icANGQx&!@8oye8MN^Cf5{8AuA&nFKW~K#RygOLjs1MsQ4m1z{^LAROo_Ul0d0 z&<9@S3*vy*fq>is%A?@bz7WAVDj*kunlIpmV<16Ls1+P{Q2~W2!JNT_G@J`+v_nD- zzD?T#l-!|<)<NkIoC{bdfcJH@KodTUX6WW<e#i)2q7G6FNi7WB9xSaV`KKQ0n4)6O z$iTqg8o>$LWP`Nu9i$l5WKdO$y-^EN3zGHdHUJeYpb8&+NEdjCHQ4ptkUFjzd@2n{ z9@G^A?PLH8Kw1N^EiQ1MfNT2}6-aH*(9Lo1B{Re`piK@SJ7F>)&p7f=J>b&OqGAX3 z&NA>pFvv&8^+K~5YNCVeMS(dQw)MrQw+FoQ0GtL-gBG1b$`EOf&OIui(Ey*$^YCP; z0ZM(~WC<GgLQ0krAc>dIrHY**;DQm97C@;6VhFU60TzTUQ3P|k=cs^;1tlSH3mBY^ zySJ!-YydTW!6^_TxJLzKk56X}WXU2(J1C7}raaKnb^>KG=%_w;egzFigX0bq(vXzE zfR^$gp@NadV8Wnifuu2haHJT5&w;_3#$YBxk|$E?z4#Im<)AbJatK5La{A>1oBauV zZU)-EQHZNgKywBpg|<LWhU<pA8kU$K(#R(Bf=%85Ga0la2E61Ao)cjvgVG`H9eE&G zXwCrbR>RC0AW=xp0I|Azz}u=J0+8Kou$%$257hGn1qOsh&KVk@qYk@URA4foD1hY* z9<X=VVBXOIoyrF)L18%qvX2Gc`GM?`0%Z+Q2!XN&$aSEs0kXuWcM3F%T=oDJ(|c4v zvrs;r*Wvl%2WZ0(IA4IKS&{MuC{cC31QpYuiFDu26R<1-*&_r>!JsSxk^~j3@Io1s ztPvx7-E*KeLJMb5ZUE&}khLI{NLgfy3djYZe1lX-?@<A{1C(#TSp}@U(?tbbNQ3q= z5y&bZSda?o8;HGhSWB5b;GlwSp}GP(POG~`1w?~tvlAdba&-(^@8r^X5;V2|l5^Y% zG87afAPFC6NdoJHfP)95fPjM?*pVE(1LokD@=T;2WdYvOj^`+gEnx4U93cQ&hv?D? zIYIy=4c_SwTB+HMILZRaSd1eC5Jy?8VSpZG0WuQx2mz23*kI5S&zIZ>!AB#2wu$39 z8sUsA0|Rv5HK;2J-V~1KD2o-C{#pVSg7^z04YnRsQgtJavOqEx!(SlRxpbcBoT36+ zayt_=HUu&f)n6bfu)&~O^5sd0zd#$Uarq1FC=2kmYCK0-%mI4>CB9~Wg&_U{NrSBi zl>*&}qb!h&#qbx%br63|fyEcdNK}7;q`(G)%GH<chrsa#+NzApUuZ{JfHx-NIm!aG z7~ci;NR=KWe}SaI)`Lp5Zp2X*NXBCL3*<V8zdB(40vU<wFOU@2U{Klp()BRpC<{cr z1*y-#iFC#{s3f?h1FCx9O&oBbxPOPpBPvuR&HJH;WFSmF_>vJK4^An+q4J1&4I&9P z+2SX}WJEmyw;5C~ynO!i|NjXH;L-fX!=tlC#lfSqM8(3RyGF%gA7TSBi0je4KcfUR z<5;7j0g~77X#LMW<v@pvih2p>YX*;Q7Zr{F50Ew_`>0rWG#>0Q1?f5O(RmD18Z%(X zHz?tkw~)Xu&p<%_0RedfMf~P3ARsS5!2LG}==UI?AF`Vp6n@|>kJtmzK%amoc<{?Z z_N;>}!EXKpPW<{cMDfdm`W_yjbE9!2f(hdI^-HM3<iY1-gB|GsZPj!`(iuX@E(Oq{ zFHqZufI0^v)q%Go6JZ{Be;iyLMoNF6hUp0mMK838P_%&<MK8byD}&+`5oQ?HDHs!B z9ft~Bkv*(gfnkyZF^VdPQM7>=MK5qDDp4`u4?pmUU(iLx08}Jdc(C38XO81|LLmT4 z^1|@o4o!F{;Ev-2Eg}@nAV$#zVia)@lMp(H@!bJEA{_pM7)2{|i7=^v7)22}M3|(2 zLlKsQa009pEg@i0HvyYEPy?L716tT<D8pO^E@Cj!N&*3S21HSSJ4aa%qi6;Jn-oB2 zi{LAH3CM$wX8`NRlYdSSFeHM2e1Qr6;9NmKUV=ayBLY#%;STNq0)`xb%i{@&6`<ZZ zq2PKzz&ktOO@f`co%?|pMFs@w{R{%~H{kN%K_l#m-9s9G?CpTd;|aJ7$SFP^oyWj^ zVeB?7AV$#*ViXD3!Q70;IuBwLH4vj{2Qi8sfDZnFq#aOUgFOfWBH#{(H_tJOpAKRa z{UAnBMkEo|-5^GhM-&kz?I1>x1ThY0;DT9)JseLEXweoB&~HIN{s95`6$Io1xbeGR zf`EJn0r>+2<bM#5zW_Q`7@SzKhc5@H!;42A+-JojUqL|s1_JUg2*@iCi2oNH_`{#h z-NH}&0-!R10W<~6(0S0KI|S6ZKI8!EkavSR?2X4jY>4#nPy7PlNf4i2Ruj<pZ)c5) zgh%IfaI08=UjwXN0@Tsx@aVke)9s_e;nB&X;?sE&9G4!=M?4Ng+s+_EJvxtr#a}-| z3>Qp59WO9E0COO$g|vegHks?AVgR;S!{fM%3TP1#1DGoT;(`_dLAV?q$5~WB1H&K# z3_Q9yy9Gfki_VD(mqABF`+%DBoZW#eP*D}AC}@bG0W^&Y4F!(Npp!UUR1`cq&vzc` zJP39<*hff?pD<y9N8=mNfE8%E2Q*FuntcQf>ww1G!8EG?I03k*L>zBX0d?#^^8&|P zR9ZkZC``LuR3bb;a}3=9EX@xYyTON08GdYj#K?K^`=!>44*XM(J9N~jX!Eyffo~H5 zg(yfzmj_G3L&lbq{H@|(aj5yA;c3wHA;|pWE-E!3x*KA3cMsUw?-vzMG(TYMIMjNe zBSb}uzx6%%s*i4nqgoH}Pd(V-qoT>*`UE2GqEgZ6q7u^Wq7u@2fPczC{%r?4T~q?P zK{!OEhVugdRFHs5hmVRff9nxsO$psDDm9%hDk<GADhbUG7&~25Ktd@HW{TkfpI(rN zk4ge)`W+Nton03$Gca`fsH7l7u7KlciV8^Hqwx@fASfcYK)J_ufMh$bBO<Bs2q;Dl zzx)Qd&;u0c;6Q=+55#=^7!*Pv)=N3i)gI8<R#0$(1v&pi#=Jllc6WSXW?<;_QSm{< zDd>17&^)aJC@vg4x*0$mi*6qkAB=P9eN;@2yQqK;Zelp@qEZ5i4Ui^~ViU-ziy<mL z_>NrkQIP>%q7kAZbNN+gh)MxOcZiA!{Mh*#6(53U&WEU!bYohCdNaer&JY!Y?hqA& zR`5+3J}T1u{h&eS*9_e*Dg}@zE9iDnDS<FcKu4W`{BztzB?m-<RCW8Pl%S?BDgIVQ zaQX!4ge5LX{??!1baLE91vDxG3Y!$D85P|=DjA$7ph=~s+eak_%mbyN6p#UjIzm(= z_*>6{4S3D)a@*hk|GQmOe6WuOyQt`Z9OePa*WEQLI-UO=JAe2v9_zMq0Y{jNiU`Ws zuaAlVWC9{YMTGMgD8#!%R7&95(MEcGRD3|gydf$)GeO1)AP?|{sPKRdD0#UDloDN3 zKx+;dUV;)ADCj^b4HR@B`sJ5@U_T^qyQri<eV)?oqf*fwqEgfR>A&K|=8yjsL16+8 z1yBfehp6PB%Dnva@Be>~<~J3f@z(-Sv7F)2?V^(5!O8*3F`X{pL&qXO!F=3BB?d%; z<U#4Tn}a~<qt4$N4vs~b4n*nW0u~3IU<UFDmI#gL_ECvJO%!VUt@2=P-7YFIpg29) z;iIAoE6G5G1*imoT&d)uqR<hdBG2Dy1k;hw>7ruO?V@6XR2*4!yQo-nhp42$iX({* z9~E)_RwkGxaMA%;BgWrq2@_0!*)7W73YsT+&EV1Nq5`TK0y=%bbO<=-ce<!(7#;wL zYjg`XKVk&2GCC(VTmh9tJ}MgBfh-8o7N}^3N8`Z_Ss)c9Dj6P)$1<)!suT^hDy8D^ z%VUrP0$L0L$_g={kbM0T6qX>?%hwQT&;k;WbP8DT8Ys5Gt_9c8VE4jUKA-|g<E7{S z|Nmc}2C0LjgA?%k38AA}2H={f(?=x$6t7^O2TP}qN=UblN&sjQz(*yZ+eak?!VG!2 z<=_ARpdvc}HfY*gqvEj>G)@XSRqs$IDD!mts0cu6%ia(b50B0e6%CMUI9~4l_y0er zzRv)q&je8Vi~x=QN9+Tg;snvrT?48N_rCx&M?mWoK=J|b`2l~}{6GNO{6K_9<3WyO zl!g|p9kBxxR*;5Hgh%6{10a*TOH?8}8jpe45NYIw4ygVGInV*t(6N9vbigwj2GE9% zfk&s0iUv|kC*v?m?}-*JQh>Qg0_q|KP#6k8T_gZ<5eLjgFEjt6+<EB(@-ccCf#N*E zgB27Gu(sJt!~ZDLITIYi9m9e>8sAJ{1qJUOl@2hyMWq2mckcny`#{U9pb-cW0nPE0 zLNqJ@9sk+AM+HnnW_nsw%vl&1N_aq%JuP5~{|_K+u<6|n8Xk~**`s0xI@cFk)Pw3@ zkhRAe4wN!5Fv7SVy}TlzhE!)q2FS|BBOs?nJH|Q2AI3-oQ^4*$)&w$u0o1?%ndi}X z$N{9Y8|tgZV<0YO$^f+nK<zvYkdMHv;1(4Hko!Ol;VCK*;Vmi>VCic<-91od5A<MD zNUQi|6s&Op4nYSEk8TGCxPSRU=Cug@|NkE?K)M|qjyr%>$TNWJ3b^N41;FVZ5{$=N zR4TyDd+-^hHBcr<EjaYMLGy;7tlXmll0z2f_<j-8_?!Zk-~=@~k2&yfyVf}cY#`|7 zL#ScLTU1J*%x;Ke_a3mO@7EQ7H9ul>=(yH;sk27~bZ)Cd#}*ZKkcV0;c|mK&x?8|1 zVR6d_mdb-k6|jQuCj*%dDfl5rwsuTW`Ogemcb0&x5OnbnNIk?goh>Rh5S|4q0|Tge z(xL)lf$k-O3xHIBmfk>J54s-+$^;$W4bt8NHU+t+nxgU#Y`28~)cqhsVDA45mePeu z89<CMVP#-|xgT`iXvY+l?_h=U$O=1KR3t#^TU0>mJ6lv_AUu#D#Qh*vH$)sF08(+h zMFrA-14pn3!u?>?Jz!H%-Tw`2_a#B7`$2}l-2W9UbsQ!IGPt`(1!O49{h;$sJEo|- z2P@o(tgy31<pv9QQ3ObRXNwBxZqsgvAjG*)X^=QX0K|f-=<Wfl|9-Lc1Z36MJFpGa zVjx$(2G8wo0Vh0I1_odH0!rGTGz-qNtQtw6@&#=W9#t2bV(2Y1kgNd7Fdp5YTV^;u z@eB5-fUa)?uLW$2vf>;b#sI3(3VnoBL<0>p!L2D<HVySf_|faPEoKuSJv=?JPJ z;4TDL)nI=?Erz*35MnLdK-L|gZYlBs-YDjP(*W2U)bL3FyMoB@0V}3v_*_7@9qyg( zh8tix>V?k-^zeb(#d-iVde8~6ig4iwS^(P(USq5YE&)4xzz6w)im~U+3=E~VkTMyP zfS_e5ntIS_z##SaLF##5Ge9i`H9~L{qL3oH8&V{r=G}YXT(MFFlq=B6&bwf#c`zv~ zWhdx(;EpLO*TD)WAS<L>*?A3YcZN9B{m5nKRj^bnObS$XcDAU1Zdn7ZK4)QIfH&=1 zR5~C94Van&E-xW%{1%l9%nS@2Ju2tHhH0Z2#sDc%q2((Jga;CY6h|OdcZ&*093lW> zb+@R1#e2Zwi1O(i*oIVTkWZ+Xx<DuEf>O(IP--C}b%9RZ1*zW;Qcr2>+6VU2cX^PX z&{Ef4u+$rv6qeKlI<>cBipqAd!h6UH>6W^-f$iR=1a&`h>e>pHS`CvTGj)MZlI`eG zSr0a>63sAhGJ)6LNNER>n4r=iafkqjg)4Qf1KY4j4dj#843I(wQpr(Vet{0X1*Mqf zpcF$y3IiRa3sOHHq#iYeb)%J3u)2F5*!2$DAlIYR*Pwb0QdNNx&?kNYSk)@nq5={@ zWTXP{3^h_+g^;QMOF>F-kSMh9{lqT-8t;Xf2sN5N{NyM8Lnj#0JoxKQbRL8>bwSl4 zQXSq6sb0GwO<m{+>oJg@=Yl=bp#|~?awL%C1lW*y8o%BN{^_9mX6Jy6ZO;K43keR8 zi%>!eBmnXpB+@T{r{a-912ifDuI51-t-xv;4^9Ag<so~pAYsx2?n!xcbAI9%U<5Y^ zKoNkX1suxItw1wCos{k#6$p*c0=i}m))z!^ETXvrx-jh%e<ZBABG94&(gbcfL8RhN zfZJ23o?3vucn0C$6<{eMqZlcC5Ashx$iM9(qK^XV)PS04IBHsGcV!E>6Y~9<;-}_E zj2#zSFLm^&%m&3#tDPn&>e0%=Szsw+m=q}C5?ND$dotj`@fMXSkeX^T*f2&k!=POi zkb0tfGU$C4SZ<sIw!s>l+FmofT>1fg(KN`_pf<?MDW6dI(B2$as`AtS|DZMe39JmD zMlm?Sfs;`OGgzQU1;hY1WI=bQfl|)#7L@?7I&gO+1i_3zFk_%hP<(^*bbGLXwpoFy zV{n@4>{0On_k>%(iLu**r+bQu2S|DgSc3Bu<jQf*bDW<*`x82+sI-6%^6O|(X$Jch zv_B43YC<gFKs3sxAM5N<sbOYd0A=uMkoxv&@ZcZ9IY_D}fmD}3RTqO)H~FKgMp6tm zItQva8>F~h1*c-L$th69$sol|kO6Rz%fZb=2WU8fHl{$C-H_nz?oj~+Eo$2^31mj= zcJLT@cMDhntY4Q1mI5tu2OA7ZUC>+ssv|*6(1rA%5bjX{*{XN~wax}@xB_=#5s49` z5oA1Q7Z~VR;?f+DyI-z_q%Kez04E_+(0HQ(XhsF%a**J0h~q%am!hC?jdQQ>ygv2% z255g6D+6dN2*b-Th!&7zK<c1D0Ajwh{tsU54e|sxq?eCyEXY;eJ>a^e`Q!iYDd3R_ zq%m=bdgvekXkQs<EC8&~1;j!OtHTw7tif+0SOs)+0TO%=t1(OjDSUYgG;rIZ0&0MO zeRK6aIQzl)&@l+G)UNlCIVW)W&YA(97Kdbs<1H#0&=hgJMMVe7ge73`2rg*s2DRf7 z15Inupo$z?@q=;)NVx}iq7hYn2vmJANIj(D2U!Yo+VK_@1*oORTU1n_%x;LQK-VX- zGBBVf&LEH>)vrN&CP2;wr5sRA7zh$B1Sd++cm*gW9&Z7US%8?J%eo+m5@Z`VQG!%; zLmFA#Jz$$TL1`5<hyfiM0T~TSl%ON4OAWz^^2R%G7=sJ}x&HM;sQW=2sQW?8mt~NY z1+s%1;tIr|4A_PqaJ)gH7u1VDNm*bO5N+K(;P8Pc1O*Q^g&=FX@tO!$(E=G3!fPT( zAvh~RM}xq=v4;2>G>8M@A8!E<<baqj72YAItPXH*xkY6H3%JncfJW!>78M>S6O^(* zvY@1brArJt-WgPydV^vUnzCTZv85~*Xv%U1sfVO2kfk7}9fx$cK(T)u(iH<SyIWL1 z3P2~fVx%l5aM_j)E@!(TP6j0bQ0j67OM#|NzzGl30w=Pf2DQy$T}m5BMQsf>3^L&k zZMJu|sJwvmL_z91TU6dacpyPY8z02#hKNH1Kq`*6sDL(0f;@J-Mdb--!x#g2;0&y~ z2W$#z?y&;f-O2=QjDQS*`Oy+ARRxwh4jFBO8Vnj;12MZHBZS>OU|Yet2s#o68mQ~; z0lNU4i$FTMAp>_H<3YIybU-(Ms~On%*9<TH-hguwC?r9#^>P`cG=uS>Q3jUk22V1z zKu5=5X$*8h^6?gxA5c#pZ&CRJWrETeNESyLGl8ZVV^ErbrZJduY-vmfs$LtU9+JjD zmV%siyhR1H0}m87$6HjsK<)2_xT?Dc>>||g*8&*=DZN0>1qBW$eQAP(A*B~6>YxUK zVh6;8CX((Rux;P~2C0IMFm(5TZ3YX2bU;%H$Y@ZwgU-!{mR@K7g2No-WRUB@r5B6? zbw5ZPR(gT#;D#hQMEV5V&;uFCm;xTjKq-;H(hxmR=XOs4I}bzE%Unps3`)RYTRR}G zgYlsW8Z4CynuUiXNp480LW~iElUfgW=o(T=g3=<2ZD17;ZP26(Q3%Q}*c5`(G+q<I zDj@E`Zz4$HOM$=t|HHauphoZs7Eqg4utf!Q==mppfgUge-1s#-32Od=?)>)X2A7zi znI3Sh4V@12XgnT}3!3(w0=^l1A1HV{I`^o6PE7Co4w@*Pq5?Y7yz`Vt=Rrr%ND9Mo z7L_svkd_v(D)4;iaTgVV00suogs%il5~K|z3Etca+I9ln$_aD&E|6WF7hx{)Y&-~> z$^vZ&1v$_YGSLNA<k)!`)|K+<Wz}K;9lC!W+}!5?l`$FzeLC;?blw7;asfFN`y$x$ zFXub~%`5H)+2gU#k&}S|zIybf>kDwwh4(d5UZe1l`x>6Far8Anr)`6S8^i$H2nuXa z!)1W_8ec#MS9P?gd}ad8K@sk2ykUa$HC}_%6YOg|fvSECQcb9@aR;jSHb^m{zQz@( z;>#e#6!tYPfy}_(*SH9lqFG-9bka7ouTlFFoSH`a8dFq=>uW59WJhd$jjk8SEkf8J zBQ%$Rn*E>}jrhI>=s;^wi|{l!F>D1l&OjAB$Usm9kFCZ&0!^QX!Fn3OdY}z;sO6xR zG>D0>qj3nVI~c6H8`3ud)v2KB`XE>ebY2U%ISA?w!21yVQxA2vsO({4VCa~lvKy?> z3T6gKVJEZ^1?lZ{LfX>MmKCIB2Wn?`L&PBhBCNQY(@=fTsa4db#xAhkHQ)vp)Hs;? zK_{Z{w-$n>aJG{{k=xw^ZZ3n{7NDjXqQeAg(1V3R4OVDN1Y|s@$pJc`iN94BZ2W76 zm(0(>xeFBcprZX{A*87X3JfrR7b+jQA2lDP=?7bm0O}DOhxBGZk%-jK1Ema*EGT7w zmYsdSqzGDj;Lvfd^&}`2Z3l-*6L@3;S*-{B9GY!R3=E}^mKZ1=pyq>O0K^2HX#wd+ zf;vjz7BEOvHzYDa7t+E8H$Xa&LLC$=TR}!c`jL7NFM|vL1p&Av2ID}z0}_X|#6Wg% zLsA4H`G9S}+nEEafM^3P7y*q-fJZ1m{Y#XF4^$z@8vGqjunK4b?g4ivAx$X^6F~}L z{YX%U3+$VTkQN?{5A7C$rOF^JJWv|rhV<4tA^kUK(t>txK|AC@-asB+K}vwo<k~$2 z+}}j;B1qNC!oNsm6JjzQ()!<{0;0j~0&rUeG{TKEu>e`E4jp=j3=M<20Z9E09KC@l z;66(C7D#UZWoUQ{I5}PhC&z9`2L_afKt;@EuoURv4R8?yYNmI#sN_Jpg&+!P5;FrL z3Z@{fZCH<U4X7CEQCST(Y#PiSs9~VSX*Z<XLh&MtRbU&=gA2OX3@@iW1!sAXMd0YQ zg0%HveB{oCJaowts1fObn81g$f%kx0xrjJ{%m%=x1>kXl7_J9(T8=|HAfOD3qlY{N z(%s$y=^>-U$x2Wzh4j!sof%ksfR5LPmJ6V8gPINMT7a0{kd7d<GXO3ZL8_pkOQ2`G z9AqP;T$l?f7hruLaJc~EAa{tM<4YhraCL}4VU4Zt1#&X9YYug8_Y`o)9VNSfRDpYF zh6g}5vTp!Q>GV!f*#V}vs2l*%&@Ra*eu4HCdC)EmWR^ytMFqkTY(Y`#(RduZprZLW zhezigl>n$I5Ze9|zW_Tp=XroTNCGV?5Qbn2#9pX<9*xJrt5%?fI6w`7&<H~;V1_^# zf-NdwPjuUY;tSS^0Ud4wN_d`)2SD8_M{xHA<TTI?3of14L0c|BHz0I^&s6MSVqoYz z1iCN}Bn|4mKn|_H3BF+ubd(V2z<S5d1Mn`_92JlV=pY~t<SthcxIZ>W1!Ocx65Qni zt#m!^qGAA&1f3!WvJG@vki~Hq6$hxK2SjoY_&j~ksX^eQgg}x+cGl*AM^QW*4}<z{ zVBg~GtAUQp2Q3EeyypSwt3ggizX{HbFF#yE>Z|#q^ws|T24{YFUrp=*3Lm+z_WM4L zz8dJTb#Pw|!~okkz<sqCXkRTFT+k8jtA#+@pur&Z1p8_}P}SZb)r9(LE>OkJAjO3G zYBo^C)*!_c_SLLFW?=8DS%Rh3fNL1gofP1~3vjCyG?4<zaum%AfleWZ_SN+7fm73H zUyazlTKHXXfPtFxpt=}&UdZMyQhNx#+85NdB4S>sJAehfd2J3(1zzA*0H}ElG6~ca zM>MZN<G=i^pquK!%^Faj06NP9TNesCT)tz9iayvV_#in*A)@IEn)sn%&q)t#_g!%R z1*r`WYL<aIHT<ohK@0Fy6R5okO5D)lY7i4K)dXtif|~*$RmAn2big+Efo*=x@KXH_ zIO;&22Swe>Q;_BXj1TQagQeD^^ps$O<e<I~_MR^&dO)(E=)p1(13H)=)L~KwwN0Rd z<S^yfy4;{WlOXl-AoY+za#;Tkdru9~lLGa{QG2d(AVVNcFpwmy2__2?#@96ig$uN! zgWNR(r4|BRGZ~Q4kR}*(kQ~+<12@5791^=`AooD}M<6Glw68=!y-3o#W_U~l^|%nj z0U#GctR}8&W`MhECQ6sC8EB9klqNx~F%OcuX8hqNsoN`)24_O7y)r4V6s5f~5lF90 z7;G3Vdu2jk8%}}C<JSx?|6K<cC!n4IxXhqQuM83$RP2xmg7PM$G(hf<fwpDSs6)mN zvJp}mEP|A{us#^LG=OmibBAohaO;qPuE~IQ$UxU5Ksscg>k}XyGLST=Lk77a0ns4? z-E)B2Ap?nk`dnB$WFVtKl2|)rAlq<u$RHL&k3XhOhYWO3KT3xTbdJAIC$vKre-f!f z7K+j#WB&91KjgqM$ePxdhpvFsdh~7quWy1)@PYf@pk5oi9A*VC>qOoj^3w4tOe<&& zCQK`u4(M(VNb?V}&<J@q3}h5161KQgum!vq24M!qBGs1>SHUG0$Z_D3>-;qoK600G z%QfUSEo}WKrc)qGd?8N398dzSuZ0ZqftCv%ZvihC1U2uVOUs~4&}<2aiMp&1b^Rx3 zP^=VEet{Mv!df%u7~uoWpyga3Q$PoWLpx5Wy(Mrf5~QjdvL}teD!Q{Eqao#&Ataz+ ztKY!o7mS0v6b?F|1hNC)QaIw)!GX@5MIWUFbpyczN{DDiYovlYD9}&<t%w3KL26;G zPArX7(8Uy>M(1&me~D<Mg07zcsRzw4mqHq;AWK0h3VVMC(Uu3f2(^(4ntJDN{mcwq zNdr;<i(1fu|NO0>gB);mazGnEL0cTaXJOxhnE`6u(XErS4Q%%&@HyOQogC0C8-MF^ zm=vfVL*&>qcvc9yEE#m0255@|*q%}}!$1Rw&^1<_Eh<MK1K1!z$cz_=)eRAc2q3BG z?g6VuY@k^Own3O16ceBn18zdH!crG#0XuZ36evj{t=0#r1<B&*0<B<#%(gE_N?kDJ z*t$TVYbHRcYd%OlBz1v`NNDN;6<tvKL2I!=O!Q3;h?7&MsLTWVDTW8+C$vT5bHP%9 zFe#A1-96ytsjx0I=$ep@DJs*!3Z0P^BG$Kp9D!Ja3(EJ9fCjOkt6Cufpo~lU*4AlY zyQTS|?gtqH%N<j}QUWk3P!1$=EgdM2!Dco<BXAu(D*a%??(sqGff@#yn1Ob<J0a65 z&^1{QFF~b23$P#pAQn^weAO0g(R&}*hE8FS4d6APEEmCb4=A&O;`XJ~9~3@vr~LnK z9K)NSD@?${n;-^!coQ`LMCwu$kRHggcTj&DvYfpWvYr)`z9G^)-BZBJNV~T{7P?|C zd#?fAz0%R50$RY%-wFy`kY5odps!6Yfo6_kQ0O2HZ$j)tQVm|5o&!~#4N{FXya`r~ zq!_&H9drT(C?6$*6eA69qALcQ3|f*2QXCCZj6S?60j*4--F9f61C1PFUG^RYG6VbY zW+Ye&KD-I)YlBRKu7m|K5i1Emwvssf06LokI=pH83!Iujjs&MJ5|_P$EC=g@E_;XZ zp+NwavW6^926+P4q%tURLxv9q-N*%W**mCG0{bTVCpf@heB?=G2k7zQs1*Vz9bsSe z088#TDufVdDh4eHf$i4?83t00twQjDs`o}z2%wQbkgKsTTt%$&1kF~XHa9?ns{F0a z;I2G$P8?RCdV&)td`uNwy7ho(n_(3K=op=jDJme{u#>)^#a$<41u=B;xf8O8xEmq} zDH}n(-EN3DL;$pG66gA0uxiLcU{v=zfgK4SQw13UbH5{43O=R^s{27X>NuqS2Qd+= zwm~zV;MOom6|?~X-SH0=hPD$x6v%kcvJ24NMf|PsG1YC~!RZAQN}!m4jj6);(D{6@ z6zZ5NZ1o9fW*YlcKPdfxWI+i6OLNr*njWk{=>ghYg(=6DeoUb1jX~-m4GP%M&2h+j zaZuPGO?D$@p+QTLQNtf}z!9_;0+Iv;4rn~v5M(xFOcfM$Py<1+17boGNp}x;x*S}n zfmA_LOE+YJIXK)wI-scpWHcz;L6-}mE<6U!&4U6K+zWwmpza5W!<M^%?BIqZIYjyd z+t34EO93gFK$SMim?~HWL>tt_5QU&oBWwym)^y`F5v&4|8StA3QV3h_0!pG_-$2Jy zVf^EeCCFeY=$I-f5pzS5c_(D19NOr`vycUpv=OR6hIdZ^pLl`dMUX1+!ehw%{R+?| zdG8d+6nO6z^!aq~21@9BI%F~)HaU-&PlrtCBhT!E7Xv^i<w1)8W)wgd0YIkhk>~Fr zQ}2-Tdq7Gjz?4EJ=LK3+APm746|hr@oTUfdP6Ztl0^Lak859CtL<JcX0!f1gg+O;m z`E()%g*X@)7*Gd=Kq8=VAFP8yAfrK&SO<kbw&5HUf>=z`K_Sp4H<Upk(9J{eK_Rd0 zpwSvof0w~yUn<I=kjYnYISA@LfRp6L&nSH4A(d&LaWrE=7aD<^u^<M#84DU~A+;F` z(gSJ6g2r3m%~;TY38++sNQ0WOU}>DYH{XD+R_SO_dCfrFifYg)l%VG0V~~2JW-P=$ zq?ReTv3Z99(j&YLQjOG%1*=9<3~t7P?o|RA4Vp*bM{35RD+Zf<25RzYu*sC|-aG{| z1A8;}Bv=aGj0N@eK*c=HaVKzz4^l<kxD#kz1lo)}^%0z!$Zf{LhNwo)?#)k-?XI8> zEGRo7&(dH2fIOlJ8`l7(BkZGAundEv83H;)6_hX!gA)U2tOs%+0mwj*7HmzCJ<#;I z8?1-i71g`Ix<Son@VFSLYXNF3fZ8ED!BY5ERD*8p>X@Rk8LSX}MK!4P4_$=Y3E8;^ zZ8AcdtWast=oCZ%#KPVOfa=4#L2wh;?ziCCd1%`c=KhUfsYhTboQ-=><aR^$PJtUu zpf)FTn4-G}yq5|r3~Jawn^+*@L2W6}`H}ps^T5WxW_TF@+3E}GrGXL)-Bwg%ZUqLF zC7{H#ngMZ!5p2X3TS5Yz`3h3M7^EK3rbX(ag1V&80tqxh4q|q<fR`CV=kHP5w2MH7 zKt|R<v)rJ-0;Q#eAYn+G78LOyQ=q*<5EHTR0Aw4ugafI9rXJ`@dT=~|5(!c?gW>^n z3mUXdyB9KJ4l)E32jDg>i~}7P0Exrev>-dUAxX0nx(5})!@uAf$qn6jx1>Q8f~>)B zB3K2~1$a#aDTK9YVg1&Z3J_nz_|SDPV2`pw)(L<TH8*6?trNNt62il?gc~WvL-R*B zmc4}_RWBL-{{IhY(}LRE9=(v2yr8KpP~XS{+8p(0JdWI^h4j+*fERdsbR*idXiK~W zA**;{hJYHX@HQ>lM3Eq*1BR>=-loNDDTAF#WSbUrzBIH=3p(^0(xwF+4+&}0f}}xh zTF}YUh&HW@N(FL*Hb$ia)L_NhngtmOlEm7Y1=)kMH4Cwl>aAH7`2Ii_l>(%utdB|t zydB%L2B{sJiPDbEdIdjJ)T8+g%K5LL)6b#Dv_Z}%25or+?Rg9V6$GFYO5x`dqpoBt z0UZe}1|DmHtAedz3sI5dZ)FF|fln#-Q3-(zv$&{8bcBG81=fa3cDksTbi1gSz}M=# zs2FsEaEM9>WFXr`MWDk+g`d9_bT=*dFl^8{=-@-r`S@Ff;U+=KHXjvU{#H;K1Uj|H zMa2VjRImp49Qsbsak$++pj+=i2hewhsDO{@Re&5UtN=NvKB9A?!Bx;sP#+Zq=qdFP zofA!<LZD-1Gt5BJkRxm*u0oC!Mn9!K<M44870~_F3@?9!R!2ZN$6ZuF17r*@pMh4Q zfzA#Fxy}N`bzoi~3*2=tW5J96!SUn)I!+HfaqOaE@p2!?5b*K!tPMyK8sJkeJU}M{ zgCfSlqgwz(Yxs0C>;x4;o##4zR5(DVWphBfBd}wF6+oAWzkCNeB^YtWKGyTBJ@`Rq zKf0)Zj{ZkEJ{)9`2dIIBF|^~OV&c$IqGAlH8Q`igYd;?q9gs5ZQm)saL<l=^86{D| zj;BVh=)mXbgRad5rw{m9)0+IPEb#OJI!GCo3{^TpK!@eS55W%TbWw5Xc2RLbN`?;I zE-DV)NXby919T=k?6`i&as1$9D8=7;AH1v=l-gm*P?EoOE?5wBq;&+eyXK>UV8wuT z5?er0p9S)<)(@bm%>w0E>nBiA(6QDPphIW7A;(&ufTlqUjAO0gY49JmH24yfe0p7w z9E9$)mkIy>|9^S!-~azF`9O&fdQo35_}m~+0*e4&(bsvcGekwiqw`d^kBW$A=K)aC z5`ibJ2+#@C79g*3yc9q?+?bgA8ycW#4RrT!1*l8{-4XzzL8&MKM_O|MB_d~7S_7-X zmey=Q%B-Pj4SeA{s9yjo;5}FyKtbFIx;FyL^#P#!AHesuBThP+daM&Yje}KTvlk_e z7l1M)=s<dqr$7hNgXnG-70@~S1>lqSGeEaEfKK)Y^K!afRB}Lx40H~^7Jn;fE(kOc z1-*X)q#Nme3(&#ipd<WIGm9pFYY{A8fXxCO%&x)TnhOy`xvl~&%XA<s!Feym6!1+K zu<{$4ae`o4L6>iUd;qdlioX?fuRXZ81FAh?;*$KWzu?)Y0-k*ktQt_7@_=L?4`}x3 z0bfedIdQ@@P#Fz6K^}TAJWOH=SOUWHXgnB^0#XOR%A(>Lq&W9L$x6`sEI=X2?V^&? z>7oLPkQ}Vn1Arr^+ef7UbZG(7Xrqft&dX>}E`$UvD9T?>Mdd>y4J=g!&6^0{B3KZA zzhnmG%~DX_Tn$zXp2zb*<jo2q@@54nZ+d{jk>llNNV)IP{Kf)w{JH_?Y;(x{u=}`R zmqmh(gV*rr-v0q~Tz6-UiULSL0e(KbIP83Q1;}~g;6v`=hl%^Bi1D|A`mms`w2O+y zu?CMa@G;^pDjFWWygUq`I;8__bn_7l@WnMyH4Ko#1avX22WtW-$~s+CBtZFJ0-XQB ztL(uywHyOwXi@&wE^x{*JYaazvGXM8k{u0?#zWx9Za%~T^0Wd>5PV~5cL~TVW*(4R zOdNOcgN}V*QGuU}|C-^Y4yXVGwM#re$D<>T4EIqH;co#+LVRTalZG7@E(kgY9_o%> z@R3iTt1L7;8jpc(?)>i2c@1=R3KmPdLA9_);~UTw;2xcOR6reE&}J*p{nemy3QR*! zssfc$E#SMUq4^)wi3Bl0p#(06Ft_@asHpR|`hxq*a8-yFoEm?tBUr8*VlJMn4zdfD z)fGB?Kn+7h6s;{PpcZv^iwa0(XNwBxLg{XZAY`loDh(2c2!L3}Tfn&)<cs4iDxm9| zK}@K=?jEoysKuHbe=F$R3UFBhG67bW$nv*-fH??My5p?ur+}@2`2~7~1?Uh2u#r%$ z6`&(>85kHkT~um7<uu5}pyJv^r3S*RLBDYZq^jFTr3TftV*IV3a}U8SmKvB-MfqDn zhZKSZ3-Gj!OJI(Kwv9oj<-s*2fbM!B`r02*I_m6lxQ@8?2O{Ef9aK0@Q33Hh8V}6? z9f{Vx1<F090g{De7)bVPJOWCnhmS*&3%D3N2<ZufTH_%8aYzCHF<&l&ZZifO&guZZ z+!@rMY*7LA-arw3yhR0cqdABPN}iBrB{-`i?^iET;VZ3#<UOzoL;~h5%>(a00i`XF zA;%%<4#b2eY0&wb44~8EJ0WQinn1gIz!rnYH$bVV8=?^uL!c^`2h^;1&G0f7;yI9W zK|Xpt<v7IcAP&^+Am+<T$Wj83Gq@opA*=%%-viFK-BZB11F6n{D2Cb&b!+z&u-h<H zftL+JGYr^PA&BchNfyM1W*`vr<@Z03u~JCTb3lXM0(<)b6!aE|QWiDnxl6MkK@V1e z2zsv4cyRL_rM=b-$<Ez9;A^<RO&M5Df?kXT76WA|aBcvFHD{?FsA=<ZHDvt|sC@wP z!t2FQ$AUOW_2%ntP)_59+<8?2ZZ-9Q%aCqJ#zqP@NZzf1x~3b_UO|<A-2uwSAS+(x zgY0)vfi=}YD|;ATMxlh`1n{+@E-D)6O*v2qYTyb%j?y?t2!d50LXf>Q033oSy(`c? zN}#KUz~KbW<Dixun3vM+q5|&qfI^L}R23X*A`n-DuRVIb3FI!2XFyF%5dC@qs3V1T z;SpxL1#B|NR?G{JUeBEXx=#k9y}*s$UZ^Mo)$Qc87p8+Na&QnHYq)@=y#O{Esl5PF z1G&Q<(S*m-Q~>Rc0GsZ?ssU|SD1i71hzLV8EJQ$y1Hd8chqE;x%-;f%ghVPvYrq(1 zYhVMoyMeDY0Bg;lwjv1WdkJz4s38Hx*xGlXrWd3P@2&xjj_hYBr%HT9lw-E<z(ym* z7f1~}zMx&bp%7m$$c!&S`Y__F@eO3d#U2&V-U?9r544Q}G^h%q_ksH2(74;90uu4) z-roU^zC9|Sg_9tKU>bJy59mN$*wsHE2}o1D1!6j=Z40XBKvM*M;3Y?(tstPp+yXZI zSi=KIoI<!By}Y2NvPWkJ*viHuAg3M%wM{`TfhcBxabZpO78OuW8zc&$8NipHAs+<p z3$_`wrWj!}LO00K$6HiD8#_RC`SBK&E1=>G)O`Tmv&aZ)v_LP1=<ET@f$Re50_SPi zMLQr(oZxFFpcn0c3_RYV0$MN+HTMkE-0mr0+q(CFO+&kA2Q(iCnyqmMJ30^6#RVA; z3rRPy6lkp`xETqup}R)~WICj8-J$|of7LNX1=JDdZw-Z+0aDo6q5@hZ-rWLL-`S$F zg^>Ytt}93o)Y^nggTx^MAXYb2ya%ivu@2l0>=e*B5TJ7GHN(sQ&~_L&ae<;A(Y5JO zu?4Aa0V#n*1hOJn2j?N$$$g+5IN)6}ptVilg%2PGIEflw0v%8QszgCC0!ktttl&Gc zySIR=R*%l(STD-%0jE8W#)AsrBKo{X=P|H(FYO>lrhvi;6jd)lM<GF@5X3(Y2{aJ% zWecd2+zm~(tOvlCZbMQcXq_HN38>H4qH+hp1SNfFN_YYl1-ToRYQg7dgSJaR$0mAI zj)2o1#8gmP10SUgR<Q*vhkTSa=vvXvDJnYP^=o@nK;z;3t#iOJ4+(3yHRy{bK!;p| z5}Yz9a@t$KT2S1DqyfBeLI!Nd78Plbh9*erLe_w!9=s|;1gai1vd-VGj$r|kda(JR zVONlYxWVTC1lQP*)OEZ?1+<F;8i;$Kfe5;U2$YC>z=4h2Cz_(d1u_G%Fas1Duw29m zmI6(tf}8cA3;;D4l;A<kZb<I!?g85hp0)(3g65s>9u<%az|DG)j&4X^0vQjQwgfG2 zf-cP14{A5HsDM&3$jL8}*B8SCK`Yf5p~q*V9jguUGFTsUeKCxGyant4u#`2VQvh-v zH^d!?j1G2O54a$LEY1U!6i7`|h<d2=p`L{(1o;n}LXb7xcufSWfR`B{7vnGyq!2p6 zutx>7oddSL4V>peE3LqJ9>f6WQOq0<%X^?^DJU6&$^*!<CeY9#NCYASD#JT_RC=J9 z3Zjgsdx{E3S@#yO1m`If^*l(2)c=7lbNUTlb>INrKXklB1vFX;N-M`(RKSx944~?w z1zh}eb08U}&C%JT0$Sq_5(C?fENjidKj~1zBS!vhr@FU*m052A8x0fw)cliyf9j#; ze+;0E?!fU2qPVjMY-=~fXzd=b9;ij#5V=l>AgH$rN-4<h^FVfjwg<?CplvL07ox~p zd!V=xq>xlMg7hHV2;yP55maJ<f)jFA1uVQEMf(SEA&XR`gB%Kx`T>?|0k=>{Eq`G( zIk@}<Rod|K7gXnh?0~D_fz{kwz%@6P^7jjP(as)~&)`%7N)e!v3&jwm;tpIqg4WN0 ziaYT7xpq+X4=J$WwjyZ&myak5=$a(q<ta=9l6tTOC`;(tLDryJfTSL5KFTV(roBkz zFM3G}+plv8?AxhOm7utTj2?p)@Pe`%W=(quvLYN5!T%wZ?i5A_1}GDhGN4RQdH^wB zzW)3F|H~SPQdpV!G6$89T!scht|qwn`qb;Qpx}q3lMmolHl#*{R_vhE2CdjZOpsbw zRf##oxkcq7*sD_D?2oJ#*43V(asjLYbm}gy)){E!VaF5|@WR8^U$B8hP^+U8Qo%uM z7|@xPpo$G52x*M%fCzv~<_C<ZDxftIqICvYmKeqY+D8R)ENUQt(hK2+FSbB{tZ4*o z4MR;yh(G`>OXP1=Vg=dU4QX6=LmJPpCMsx2T*nj@$ci`-WQB-80A)Z_FOm@mhrsc1 z2)r4R+&}<Ny3wQckGkm3oE;i|pnw21EI|Dz$cjE4m=q}4ptb%{mhH(QD?|h>s0~1I z&_WjH<#K>r2`cA6?SIHYWFS|8s+*U;AZ;HQADYv^Qum?bU653{1DZ8Kb>s0C6;Lx5 zlpjGmQb4L15cMjkDU2;uLe>K!4jKiS1X7Dgm7p09&<G9Ab|lD|-92E-!OcBrZUY4t zXbUVObZ{4x`??{mFHo$2ntSU(3*aF8zw;no2KfNwa_}lO7zgSdkT`6W8psZAh+&B6 z0o#DLg#}gt(FS!fq=g0QG@!KEpbA0O;BT{mRY1xb{3e1Ff>)`*+G?N^)*)>-LC9!5 zxXlI{riZuLAZ;*Me+gWbgBPB(sDL)&f$}AUw%7+c1|4*cE@YMtRGC29k+9><!3{&u zS{U#_p%6ygL9i&OG3f!RzCouAf)iFZbQlA2h`UdB3;5c0&`xp&kIp^d*@4dQo!1<9 zfo>82ohJwySa<Eb06k9-eDWZ8wN#7>%Gxf_5$+&KkaC~S7!~l^E|A+{=Lv$QLBZz; zf&`&Q1-`rkNgJSM1~?5ofNVX4@u7ncV5y_OAj9flU$DZm2dHi$Tyw$7D^S7(g)K-I zC@a9P1qMl=j8=eBKK5+aJq6r#=-vY!F+dxw0L`L-8ZX_T<PTXE3Njj$D?nuxXx<cW zh68yVn%Iyt9H_h_kl{K(HbOES6C@B}d5n?_2XYT2AAy{JEgyli6QqcMC?t^K@R$h7 zN8My+I8ZAHoa#Zd7~l*CV!$&Tr1Zm<;b2)2Len9`ft&)(a5X9w$hpl$r2sjr#i&$( zvJ#ej7Nb%Ck|8dWl|r_sf|4{iCtdpi-WUPnBiBK@f8fbvpag}b4uWSUER%=%r8STy z5lj)R@(EGNgU%m<VjXHKC@q1Qh=B%>mB<+Zl$r2mgb<Zn==@<g#FrowK)wdgAHq1u z)edz25af(uQ0+i-fs0DQCw>7Rm4rc`{v%M+e*kLwj{v1|tm!`hBtu;KFa8E!o(q~! zivX>^2>`9X@c^x_@z}?QJfG&_(Y;@w0x~~i0g|_XufGw7t-rB=4C=e61b~;LKuUKX z6(RmsC1~%{MaAP-Lq-L3e#Qf_{swGx^N|Sf`WvVk@cfL22kQ@MkhS1dJ)niPtPi9h zd=1cy8QKJ<Ab+bS*e*~z6E4ru>7%03?V_U6d9e9qJ^%betp^<VXB>0j_|$T#(?^Ae zzx5lqQwmbjdVqgEXn`0ve?MsJ*=q*F1KJ>+E-E6RwTBL%BY#1e@wkhM3y20;V&Ktj z09u83@F7d*shtAwaO-tZaRAlt65z|tyTPu%!2@cdmZ(U8hMhsv7~rJ%@-TRy7#4Jh z(QqFXF8&sfB&fVVl7@|guK?)-*#mMHs0$2o7l`imQE_N|`2RlxL#L05L${BL3!LWy zcGJsrP~Z3P>w_R)H@^U_D-n4q30*4b(fH;B7buwbs2l*(TU2&{=<YpWdLP{3pot!c z%>EhR<grI30;&*9!{&eXb1*Q#=6^sEkfhQAFk07)%9D*HG<`vXDu*MofA0=Dj0 z!v{#3fp8J?KVT~xkAR#Cp8o;41fm!`{{!NBu<qahd89=p0PHRpjXD3b7i=@=5@dwU z9;}dspe<lu9dA+D09us>8MEI4WrA8xATNPhPOuggNDf&X-l76YptPt!1|DxwSphZd zc#Fy!D6@MC*tYIHDj-d0Eh><wKrO26U`Kxf_uWBbvs~aiw5NhvRolQ)&tXy^8@hYI zro%ed8#usMVXOx$yos!^vqfbF7kI`8<eJVFl{pX|ND$Jw2C=#!;t&BO6`-XoT%g+l zVIx25z&4nIJJ-m8YrqGI8c6U#0vQyHkbWC%3Ve&oTCn~;4E+J%q5-B4Mq@_p8nAw$ zH;^7EC}KcKqq}1dGXq0skBS5|Wq<@dSV0#+gT{~190d~c;ACI`9b?MHz|eW>;4k@u zucR3-9{kCA@F8<&kBSHv_*i97jDkvr<1OHb12I81LiK_ab)M_yG3cHGwzu>8!T<6H z-%C4oUT|dm;n?`#0cZqS^N?f5#m*j;6&wr<E*&Skr+`_PUv)y#1l+tSU`v}1v2<SR z7BT4F12)pJ^M~WXAM%a|Ur0N4{&HnJ<k<KKV(N8=j-OydKq(5l1DYQ^aQuG5rSm}N zwcZf6hn-VYd_YkTp;|A23dbIm<)HBF|MU(No}f8DE(QijA^`avnhYTVV2dExrn?6m z9*A+LWgt^pi{67w0hQM;UxE(h=|(CP5anQx%2JT(7LXE13Idl)ppv88!2wnpo`xC# zj>zr?aPsJ8fcEt~3{QA~w<3VrJszzm_@{t+<V(QDLUt{puXTVHdX2|C!08OnG6_U+ z)4k&WNHJu&gyFZHpaTcNMSN!qBqSkJ>j6jpDF<9ST2vN;9q<TT0U2I0JmA=Q5VoGS z8}5J|ATvR$HqY&pXJBB!vTPH{1r0Mm6P5)0y$EbAXeAh^ii50Jg*e&+VeJHvK_F{! zEf!^X=?<!ZK;08?9%=xERJTV;>q$uHE(F_h7qng&G)oFfx6rr&`3Onj0<c0e(CXsv zs3wBkfTVCfs3K{9=?NLr1r=(b=zJ*+8Bl`pp~WaziUB!Mu!6&;6H*HtZ&6tQEo?!H z1ffijBO!GKtRO*Nezrwr9>}S!hyf&+T38!?ippFL_yK~TViHsWfR^S#&F_Yk_T4>T z%fZcfkg9G-$=}_hvV;pdfCMd4K@_NZ1SQuwAfqAu*?vfW7UTnvKf(Q37zgSdkT`4r z31kO1q`*d$?jWPPd%(31q?-yV?os-)U=<K;P!~fKf*grWA;=p1CW2K!>la8E;4l%S z5IleciV<kC0Y@K!q&*vyM4Tu~))CMHilEk_CBj)C8*aXyw}WAmI78=g&~58rg^dRT z?t^NybGtyR9KqQhvibm$Mxf0X))$}^N6>LC2XMZD$w+{-gYGN_iyDI3h-~oYWH)%a z#MSVmPcNiIMY6X5)cES&0&V0>0XLgGI?sD_PEnZvZvPwtDF!i*vw*hsL59s-4Nrmw z<v_Ux9>%N>?lCZUKwW16snS3Sps5&?KtN28dXTI~w}4CMIhW3pj-ak0#8^<H5aeT6 z0Q7DFr!bHfa4@k7fQz%^V0nZnxVY|w)#5N3mI)E9fqrlX6oyZ(fXyVXwC@9HZJ7bq zN|n;S0bJTc9gV+z*zLgr*|!5}|8@4LbZ{_$ZzyjExvCX(iz%dP1GPN5LG!HOsu#4@ z3e-w50oB7j;7WwKM+L;|>;bnB(Ha_%-~d-chTlNja6y$aN<Cx%GO0xcL?P;-HjpD) zK=-GCdMnU+Xbac^P|^XL+ISFDY<qN`CtM4$egGwMXu!bZxO)e<_tp)ITmi#xJK<MJ z;;JEA!7d8`&w#;dNYDZVP#$SKcmvcO?A`)ypnG(ifgA_QGT5p}Na%un!YTnyf)ID$ zk2g?*l)QF5dJDewmjnM)&}H)t(1@=G`K%RmEG4M@0}mzE3?kdbbzuG3;E;LE@bd8& z)ay(;xS+@W6mWnT0zE1q1~}J2t}}%cKHy>nG!hOD8E6*|={i%8D(Jx69+eCZ1_s!5 zrU@W6*6U0`szAL=j4=w3A3@qclJH>)*symTYR!+<mWT&=wx#~h|Nk!?A>-qqgbnKJ zLNfq}37$y;SpxA(11P;BwI1TYW`zFz|No@~bO{<%At)IkDU1axJPA_RiMG@X6sm9= zFv@c9SZe?*yg>}4@P-ta#Dup;w-Bfu2GRg2SV6N89-!Vp=W)<#Ob1ZVp92-|79bWR z5<qhk&<P2U13}6`;fysBK-xf(;79;vLC}N*Xtn~R6J$C_5@TW_5;@SIo1$7&KnVn_ z4U{9hF$-*SP++!#W>{Y{AWsH?LJ}Na8nEyJF_6LwR1hLvw+o3X$Pffl`UI(hr%we? za6qryl>o6JLBkB{vm>tC1*rmg5NptYw1FhSL4!OkGaEV;33dm(1Oden$oCK$)A!<F z-`Da&x;Y?~sQN+9CtLzSy2Pk0Q8BQ|?BF9-LFR%?f|ORRC;6v>nv0@f6<@)2Lpy4q z>+T`tKd9Ra-+0^t?n#1<8wN>E0e96Pn|)eT1VG~iJu3WQ!w#bv25NFbyL_E3;I=Dh zp9{Q42$cpm7r`qLKrE;VXj>Q2Bjf|ya2)I*<iZ-cE+HIL;0m)_pu2#@@D%4K(2?H` z9oHSc9j^y3it5;-!V9+MC)gUKaO?75`F60LA3S)%16FbytORN!s4)!<LyWF0H(2=* zuyT-zkQk=2v$?>QWJrP%637y0bR#>P6RgAwtb_<>bAXi_gO!6!q=~cH!IrGlz~gK- zu##@D5+a<<3RYeMR!%c#vw$s;FvjC-X0Vc9Mj$0bIGYKq{4rQL&792$w&ait$W0(i zup|-&u#zQUB}6#;KRakdwim1%WFk!x$v?0qhW>b*{THl67_5W{Xa4~!|KkTUktWXm z4Yp)<B+L>lq5TW2q!z4%2xtEUD^CL}r&(zK09(S9g2&n4!AjmFgOm{A>~CP@SHa3@ z=IpOvOG@%UZUR|?CA7bQm4tzn5aI04VCD8;<scJjl1M&*EqPpl$JrmjN{)h+5aH|( zVCCz;%4z28_h3tWoAEgN9axDzSP2o%ehXGE0ai{kXTJekvZoi1vtNUi%mXVS!r8CD z%G<%pY3A&gU`y0y;Boc~uo4ci5+a=a9IX7~beM@WN!!oBmP}m)vjj_)d<s@l4pu^h zv!8&KCxDgHEVLhkExEQ4kFy_vmFxg3A;Q@Y!O9ncmD9}G55ShV?!n{i`(P#NU?oI2 z`yN=i09ZNAoP8H;$;-2NoP7tZ<TO|b5zf91R=x$S95i%-AHQ_^1X;fW$pWB*HbAp^ z8sP3KZ0Hr%SXY3GLTH9=4$zW;=`AYqXyO6~AF*^o=J5EpO~H^ZVB!1(>TiIS1G6(Q zbWTyZzz!Pi+oN)xoq?e=05m)en(9FeWPJdQNOez9fjG+uIz0zEWf9bwI>4{p0-oIi z9TIa8b9C$FV#v9!pfM3pPvs@=C-8D67#})D0+#yp5ji-(L+YK7UOe`hXi&m{^zmW6 zThxB&IdE(sW<+3W5i=rZL4JlD{0Qn0f(DSGQxqU3qE`hPXa;YU0;z)bD&dpXi0&n* z4+)zQIRi2pG9$7S5(FSaK>h^Jh`>0=GeXcSl|XiIL%OV;keMLRQ4FB&FJxS`8`29# zndJc4j8FwKyn70GP8~xP_-q7F$bl1GH&K&vr`Z`8N+_JUI0ikF7HTcp%*82?4L4uU zduap-D=f+5_2V5cwt%KJ3=ec3^yr4pB{UxT@d`Axac$QD*n|XRY6BA4uw1kOH0p~q zc>oUp)&n5z-90LhVG{7v27DC>#KMCfknwTQz!%u{jmIF<8hgMaOrS-%AYsqWhmOY` zL8s&}ID+n%0v(<N?(&08aqK+g(<^HUS_s~`N96!J$j#q<I<NV3Pl58LsO(^8VDRa@ z<N+E#2QyFlc0%uJTL-;&7i3X)$CsB_)(5<J2{N+<nonUg1E@p+l^F!r2Y?a{DAhw# zB!~$LC8%D~)(5O%hpi6)vA|RNAmicYk-I(sG|K}mfY25wpso*ijD3B;YEXgD9|zv9 z0a}_3ng4-Kd^SH|?}Sc)LZ&<+0w61qCK?z5K%snoClf5Sf&2uC6`fb$SmA*d$RI%v zRs~S<>TFSAfQmwBaIE}*tl9vn$FgeU3nzHh2B<IqMFmo^1hNsT7o-TbY6ENz-c=h* z;IRT?fnx<^Jlwn~Dj@TaR&9WcBx2PDxbT^x0#c1PRzRyZK=VW0Q^3<iuvHt2!Lb6m zC<`>h13H)$<SOV`9Avo$Q~+cVL;%D>ij^OM;8+0<(Sp1K3j6Mk6i9@;;RG#hgOvmk z&<J?|6@}2?2)O}?5RiH-5po3*A)wh`XoP^~pg~NKjZnQHMX(3~n}at(=D;Ha!~#bM z$auJUQ&d3aAw>wtNFpKx<go53Dj?N(Bjj1<6!2_YH-v&k$ZT+gJcrCiUIIl3$W`5t zx$)))_Nepp5CM>tND-nD0*;WKpg9G!SeXNfl{3)r2St?!>jY@59Ds^KXmG6TfW!(& zJ(gJ60*RFd=pqKt@i$N=C={W3L5g6p0yYP4tW1H&3Wx=c6_D|8^QNeP%tMM5kdZ{h zN(-n8pP~X%O)yqAfIK}#1w_GOWimKcG?8MZ0%Tx|3dnJgNC2_ATU0<6K?Fc7&@m98 zg<&l!Q1u|e&K8v#h;EP|L@|g3x;YNitOG|fNCi@yMub7)6f}*A5~m*BI}TJZK<3zA zs(=0eAKEya37*~k{<HZ2W9Na+V;%>eF?W6dT~`RP8B!x`Q32O5dsGBKj{NV~`NN0t zSht-EtiEbd=>f$@=ZTr$e>!%abkqc`KkEPsoxJ=Ubd(W00|V!;&MDxzd}yl&R8=Dx z+kBj*6T@Ve&J&$eR9e^>7-oVj0~zV~{imbmMVC&9!5}HH!JsPO<(Z#ge}M`)T>kn1 ziZn=z4deiD8NEjZB#LS~$c)Ywl?JdUQ2bQ`7J^t0k_KB3F8ro|r!dfr#qbx%WQe~i zVEzIbiRv$q6xd);A^NiG7ua8*vI3XCE<pSRDg;0d02g(8R6wGr{sNiN*`iVc_5_N* z3cx}Te}SaI)`QFgo!|wo8Mmk)8H?dBkjW5#<-q&}G7{BaAStlHpt9(t^KY=fK)DT< zzt%wf1<Exbe}M~&Jt`nkRDXfY=xkBR0DA((UnyWAh`&J6VCzBVfsW9E`U}Ze41a-4 zhWIN1<}Z+usQv;;fei*_+?R}?iRQ!4aCM?yKi;tdy!Z#Lm0a-*lzA~)$&gkrtZqbZ zB}2M<Fc}As_U;~N;}Wfv47LzYD;Z=7;Z`!p6x3F71WGFz!keNJ0B#zCTge_^CZd(x zaS73Z?CxNB3T}^qrW3(sHz+<>e>?$&Lkqaj0f~Z236E}YMFVP@!Ac#Rt7t)m7O2ig zYb8VVlGaLg0i_=BDq0W=T+x7x2RRFD-T<|doxv3iXe|h=JqB_WNv&iDKTs%RZ6(`4 zVg*!EfjkPS{tcdj)VHXBicF9wga*e7sC@>yTLV-(V8#ll!HRpiBvda*5v=+L*^5^D zqOEPVfyWAn1&$R^2@G-;*t`L1C0m1I<q&v3G^FnWaurFf<OMFEP(F`RcXxM`Kq3TG z#De??iI5Cvgn$ZVkSK%(M+m5C2D-?N6YFAgP=gh8^dqzphP1vEWDHa<ND(YTK=$H~ z5EFQWfLP!N0aYDv^Jv{leuTZ1Yz&SNPNWC{7yPhRGBbKB86*IznV{`xPy+zc4gv{w zLRxLmwp#N8kYcEDpi&<q0AeAv$2i=<(TS}qx&{)bpy~w_OprKT0F6^ng#;D_x3$4> zx&TxY_CT7Zn3)XJ+yz~TjEGZE%Merwf{cOc1u25XDac;@aSA#B0J4Dq!~(}DsQC#u zkK8sk$YI??w6Q^5QBdO=v=ok$fdSUW)&|EZFL*--B$I($1-f<_+$aW}SOFCPSp?~d zf>=ngV&Msn6|_wD1QH>0z(EeHRBu2dWCBzaLW3iu0}>%1^;kMREs#!60U|<5piEEz zLiK_a!6F1~4&F|W3Otj6Sl|c&84ovaiVDa)q)rdWNFq8tAcuh(d7#n))d8p@HlPS; z0C{?f3W$P5h%z`rLXbvmK&}Gabq|jar~t?!NQ8h`ND-3ZjV(fCo`D+&70{rE<PZT+ zE2pzXr2r}lp}`T70diZ93P?Sc2uXoNNCq?(j<=}fK$)Nbgz5z;f<*|}9MteYtA=FY z5dva?BLrkT+`K6&AoGwS1Y{%;5dv~p_Y{anP#u68A)v8=1dykvsDLO~gh+!UWHxwH z79@v&Tm?Fp030Epu>q(6$RbF`62wA^kOW_FgkX*hp!6f}J_EPkL9I7j?RQX*5!x&P zwco*QCOrK}5m1Pt_9F$rLXh@5NE+PC2Df$~qvvSGVzl2uu7kASd0_2#kddhEcaRj= zU~oTj)i<!eK<yA*{sQ$Fp%pvG0pL~#o_-_;*b^xI5*Dx!#9tt3u=U{f0-_&@WGsfi zK(2%Mivi{@kddhV0!e`l2KOT~zJvV*s?Krw3)EwT)_x#=fg1yO`jLOw7#Lho<Ld`l z2;wi0G}wAjV*pgdfZF%nTU3yY#qbx%br64jVT1PjK}Mqb3nT?L7*sL8RQv(<7pO|Z z<u6c=5n4xp`~|KM@$@4<fIWc{UvI!d5PyNB!PbLonknFM8Z=`u`~`9y#9uF9{sI|^ z>MxKK*kDkN^->lxo&hSxarvtTl>J*&Km{zw0pNONj|xZ>wSNpUqq9Zj3D^@T{(1lw zg7^z04YnR+UiTCgNHM)d1<6<pe}PPf`0EbLUmzn<{RNT&8w@h><u!=EK&2rrf5kxj z1uCaN{sI@zdsIN8sQv<((b=MM1MCSDe_a6!LHq@h23rp@uX_r(<VQ0W!(SkiA^y4m z^B2fSRDXe_zy^cL&zGQWRWF;a<LUR4GCPLayGQQ#LpsE;CJJnJ3?how?+06mr{52< zgmAwfWD07({{$PTf`HAAL3mSC4zR&z$9903h<^WsL&*Jpyt8AVN&-}eLTGT+4(e=@ z+wX_!C9U7T1(bTg{eBP&T(yJh9FViX<_%E4e={2c13zW6V;gYy`|-|>f$AcV_aQVm zRzN*Ya{K*Iy`=T~*T7>1!~(|(sKx|23vAv1_4`+YV}+vGu?LVjOSCQt-q|rwB?|I6 zga$_lsM|<xzaOfXw0{2*c!Yph;0OU#^&n?~&7*a{AJhT{?L|YI9a{{J5Q=8U1cE^Y z+<D9vaCZmZ*)dSd0_1%N4UQF1pAmF`9;knXv*!*PNdR@%(Pqb>dP(cK&w<AZhy{)n zkntdAfz2bg=MEa&Ag1RIiWMw9_u1fB8Nk^whfqkI;-4L3y#;Pfg8B`(S|@0|8gPw( zr&lut6d$Oq<OyIQNb3Y74Q@n$Y8a&1F(hL#S|=daL0Tt0u+|C4NYvH|ND6E)xK~5^ z>=?LA#nY?l0DA(Z)ztzPg7^z04YnRsMuTpPfwumUjK%O5qF2)Z^B2fSRDXe_zy^bR zHKfmufr}<Qy_y=ZCs6!V0TzPz3nUG;9#qsJ^=goe#qbxRS5pG>7syCde}SaH27`Mw zq|c6lGdG@IO##>wDE`U;3qkw^k_KB3DlCv@$B>N0@E4+2lL7M=$VgOwfuz6&g9;GH z?3nObJgwx6%~;luWxN1oUX0l>NUImt7DjF*Lz<5;83T}Z&^^T9M2OZ(23v@yl?<|k za4Q*P3Ti7k0i~4;;Z0GA05^@nvtt2ZCZd&`wH>*YyazG>2FmN8vKul0wgEZ-1}Ysu zq7WKf(SVv}<hGKbdP!>~`+!mpxRnfIfh!tN83u9|*t`L1C3}M_8bL15qG8AY7|2!7 zVJOIwF{l8jV1x*OSV#k40nVV*hIMxA3nW%RB^Ah{kXU&EjTKPY1`>tP;8+2*&&X{h zL-mr@N_K(A3Wx=c6;KHbau(RU0cs^XgJUI_6A>#QS3w8SAj85?0Z_3H5dg7}V&w-U zR?u3>I?uu5#GoP;<VQ$^C_D#6V2cW<PzH;F$BV%c0&1F(+e(J&C9Rcg1CJ083mhS! zssrRKuz9p@C4&a;v9yw{!4U#FkOVe~40088^bZpLPytYV1Q7tSkRrsx6%@+o)0HWZ z2m#d%AU{GPBmx>CpxOi^3ZcOf0v=u>G_?h)l(00Ap?XPcAe+D=1jGVI2*`Mlv%u!j zx`7OuuEf$nHU>usXgdfjLcrsTu;pVoCmf+ulASI1mXCpSLyZFsE<&a&K`f-``~Zng zY}1u<AaM#B?E?i9Bu*zl;}kLp1Dliq$0>Nku15u=9?N75Xrk&kWY`iKr=WpB5EEoL zR4+&oY%&IH4(eP8+GLClJWfF@aGZihG2!M7(CnBtI8L7;O;>_kMbhk;f(N!tb_Eh4 zpkYdoA0ZKP0vaI!;L@%|1ww-(1bT=ZNIjMa0ZmjLZ&3k_kAh+WZFUT*7o-RlAz*Xx zMu-YLLO?8Vgn*0(ITmc*6dKQtfl58lv{*Ol?3gk*LO^K*mdQY_g3peD=8vHQpfO!Y zsRv>qWs?9eY!Slq0$dHbK!Y9<A)pnPpymA*P*DgCjt~RT5O<FXNIjMa(Sb}?CP3#X zkGH6#K$)Nbgz5z;f<*|}9J~=C1CJ083mhRJ<KgB_Q308UG+hZYl8EU_ki)vCKs<u# z0L<x14Ung&sDLQ=bR{@Kijn4zL9Xg<Q2|kq*+~$q8xjH#0g#nQ5#r$kju6bj8kFfu zty83S?7@v#JRN%xP>7;->;=F=kPa0{8r<&zw>A;el}N^7bf`d$eMrZi2iBni8Hw7V z0!e`l2DMsWN<x<Nf*Pc_1}xB~E5Xe`JRN%uuqRMDiY#Cuh`&J6VC%u{HN<o!lCc>6 z0=W+2F9w*uKt`ha3nT?L7}V~2c^%>}P`eJ7ztE;D!L2Dg9s575nA4SDA&9>~(qQYs z&7LXXlS@I<L`cSB_zUDZh`+wD!lo-(85mIg1(E_A3~D~ToB)|#1~rdx`3pK-32BtT z=ifl0sMB8{Gdf#TK7c)e5?^n?LJ)s}q`}sM%tPwfgWEcg@d6BgflP+@>jlhTAR|%z z1(E_A4DQ%_LZ+8NRW&YufhH!Qbss2T!4*Be=}NFCQ2g}(EClh_6IST5a&VQ3n65-J z7Q<g4*FpSs2j(x3k*NLxNrC+ZYL&cng-kDlYCK&20!>Ups}PXC!1Wux=}NFCQ2ccT zEClh_4Vb^c6%k^(63JK$e}P;F@z({Izd%N!`U@ll_7|u^d-)IIFHk9u%U>#>;u=yC zgZu@qcJ`=%L{ZZr$c)Ywl`~*Zp!n+qSP0@TkTlqOaCtrjT%(~Gi{USj$q;`Xf%yw$ zB&xqaQecBY^}@^TKmY%KnYkO!0263Fv-3FG@@pKM6j6tfkO!C`BQLN4CfGDAL=<g+ z32Y&r0Va?oga?>Frl1Zm?O+8}e6VR)2ycqY23Ghq><TawF~G#R6nTIN?=&o^mIAd6 zAT+qi0vgpIcYq11m$U(<C7{#;9$*5oz)coVT?%p**t`K6U|P&d@ieT0KPcv~4lv=J zh6UBJAn!wHaIAoaILIAfg6bu0fN2gqRzNIptbkeqAZLNi8=wKE+2B~AXc|_6^i7JO z#sSFZ5E>jIprH+N2biFGNgH6A0*??73mhS!CJe|~VDo4_zyzA`!!p1$85|)LO~ZPS zISmVH+JL+dp~0~N8l)h18WyUTv{9xWc&va};8+1Qz(CFdn@8(WCQz(k8D#>U1<c<+ zfYY!QL`}n1?IE?d2CfnC^wwHH@qyY~YXA#DS|=cBa3ca#!yrw=A{mR(IzjZ-YGADs zkddgZ6Oa_xU~q4Z^l4adnTn^kRsr?|N~@~`EClfvNE&QCsEkIMhD9<K!(WKrS^>;o zAR|%z1(E_A4DPLwJ`D>ln(*}2a=@NI@mB^|2;wi0G}wAjQHL}Qi)1W@zYx8(6qvt2 zMxy!)Bn37Y+*>1k8Wx<nA>As}Rx+qh)!Cww0QLlmzhb~b5PyNB!PbKc3#8r}lCc>6 z0+|eHB}c&g1u_!VUmz*4!QkE+c$4C<4R~6~8rY8V*Z@Al0%IB$(&~k^g^^pykme&y zrUInByGI2giq=X7TZpHX46=l9D;Z=8YAZParIiffO;PaxH;uv5unu4*qLuu3CUPrz z4P;;sl-EIJH)LRM0cbuMwDJ@>um>t7pqmsyO*3*^$xywdwUTW>sR!Ij2C={u4X6wQ zITmalty{^U=?w6B<EU4CSc9woNTh*1a0%1`-USYse*ifXdV2vx08~UmCu$J~>wp9i zC-{I2fhY#CpeO1;1V9B5(y*Y08_qWN6G)tb$~jOlLE`iVG)_S!BUlt1x8TMDsC7rM zjSVV@prhxYq65SP83WZzS{oa5_bp`i6^I3nQ;_j+^T=&ugB;dP{y`wd;5dy%+FJs0 z6-jf35m=`)WFY6|fC^}kA0ZJU04gmyTU0<rI!F{kgChjgup`*U29=<oSU_7;2h~ej z8(RmS$v`Y{gn*0(ISXtaxovDvVNA?HAfV|CENyIUaD*&DI&uQ!D(H+LWcC6o0I~=o z0Ae9!lMbxY86l7e0o5!ZKSCnJ0~#Tqx(Fl+p}`RX9&{r#i3^&5ghmKx>Jh{Q83WZz zTJu^39w8tWI6^?i!_6bNc@1(H1*;sD!4dKre6T)b@d?OP(7h*+*$b!u$RdaUh=mj( z4Ma_6gl{CZfefw;@HCKRKp~3SK$ZXtK^i6?X>j`iTxuhxGmwnMXqbR1O-RE;1lBMC z8Hw630ZD-k1{L%#FG6;QfQn{Z!;;YH3{cSn8b1UVuy`8C0$@*|G`M)cLJ)s}q`}sM z%tLBOA{mR}FOcgX{^Ef73uGj!zd%x8gF%Jv%d<qE;sMIAAb)|&6+8`O7O*E!{KWtk zg7^z04YnR+9#TUR$yf}3fy!Tqzy7d5r!zoCqWTLY1vVH|ki2Xo`V<dPx(E3SoZay> zkbkgXOw)V;3qkw^k_KB3GOru4`wGce41a-&F^IoD!2AU=64hTIDX_ty{P@xybc)A> zWq2CMpz&B@hmj!-URX02xq%F6Il^RM!^jX(v<5QRLOcy*kR^m0$RJZt8^|wMKn*3> zFfxQUMdbktd>Hu#n2Bg0AL>JHAmbfI29*w=G8saHs|ry2irfY=R4-`_<SU@m18yLL zSm3GxREB|^1vZb?4P+c=-Cbs(co;c?%wc3u*#`1Hga*e7sBuNGi3@6SgKBNGv+kgJ zNo(SsfyWAn1&$Sv@gQe`%_Fyo3+e?>(8N6rjuncAkpoB{Mg|qiAfH2MaD;#wR|K25 zpgId0A)s0Y!~_`w)k|6v_Xs>fKrC>CfQ*NmM{W}r<S=5IxS#<`EKS_Q;0U2;7`cJW zVPsI10`fkD2FD6$Nfo)n$WXncwR88tV+F(l#|o%I2RRFD9=YvYP~}ZQJ9jrYRt9hw zc>__y$ok7jZ6$+i1U#+eEui>7Z6$923qe{ZAZc(T0#w5w4I?8Ni_tnkw364rS|=bQ zQClY<DX_udRx;_s$lx*+Pb+x^*b^wN$t7SRh`&J6VCz9;G}16KlCc>6LbQ@W#VPm< zKai2A{sKvX4F;9lWSn&eF6!{KlIMUuf#R<jU?GUVK+<6AK}8)>>mSKj41Xb7$x~qQ z1u_!VUmz*4!Jy)gjI-{*nHx_lc>>rIDE{gJ3qkw^k_KB3DlCv%|47DS_zTfW?tu9V zWF)G;KvH0XK?Mk8H;>{RJgsEVcq~RM8OJa(q}2;+3nRCZA<aja3~U$~B8t{Z23v@y zl?<|ka4Q*P3Ti940i~4;;Z0Gg05^@n!^j0-CZd%*ry04GjCU9rR62l4bO;TuXh2Of za$Ctzy`;60b3myF+)4(qz!eRs3<Eg}Y#yy!$vB3Qv%wY301hK3kU5MDDj7k62cf}n z3ToXEY-588B2YUH?O-;jUeemwDeyQ2vA}T(G9KhCuzBRRu|Z`T1#RqPaGX*!j68w# zVPsH|4)QsK21f{}VMnly4JtvQ5dtdnKunM^P`#wJv18y70%Cz91Y|tiJaXIEAcqmt z#>O#>91V^TiiVL7kUoqIs*6BAhtS{%0k3f+a~K(_m$c?}2s}bSEO3N?#+5+M0-Hx} z^BOdUL_za97#txK4I}R$Y8aVkHmMC{aAkm}f$RecQPc*q2UrNwFab$}+Yg}11*w6I zWGqI*1kpftfi+A(Mxr)MKvH0X!3|{6hmpYrBAy1a1K1NN4K5q75X4^~X|VO60v4$u ziDWE>zYq;%3z)w^Mxy!)Bn37YROpg1j0`TP@HCK3z@9+ymjPG^;xCXi*m_Voh18Hl zG8V&Mhz7C_%wHfQQT+vy0vik}yT}+u24_z^4P*_lCs6#Q0v3Y!3nUG;9+cgYhLMqs z#qbxRfvf=Y7syCde}SaH27`(K$T0HuiFg{wpz&CY1~QJ-$dCpvtQm~lK!&s&VKT5` zWQZtQ0~u@~o(3|=62c8+kSVAQWC@f8GK4opMF89?1~-s7z)VB~xw-<mfsA(;8B{ue z%47%)t|~z7DsmghP`#uzkXb;f2i!mgvA|UYs0;%+3v3>(8^}0@k(t3&1w~h;OdxX@ z8C14`ybqzlu>xvb5p3du#t=ZwD70Z@s9w^VxPO>o7ukSV;8+0}4{{dRJaU`3py3D# znz+B285sEcL4zBxeTE=ck+j{tgY;o!P@xR+IfMpB2&i#Iu!#$*v!D?Is%1b-kTFob zq&0EBz#|020!IkQc({4wHgQ1?Bc_RqV;K1}I6^2IM&3c@Ffyo00eK%ngJT7>5tQ6v zWT;-!+PQDwu>xX&V+B;9gPa96kKA@HsPd+uo%<RbD+4%;{DP=q<R|^4wvxd$0-jd# z6Ht7hwvr!!g&?gHkTkdv0jgn;TFFSpVzf>Wt>in*(8+s{k*KW`kQCTpa4VVgVPtTb zil>!)1MCTuR@W7<5X4^~X|VO+(h#wC6v<c&e<51Q7hwJZ8HwsIkQCTpP`ORUFfzEP z!_!JW1NH=pzfORKApQbLgRKV@bx5s$Bx5oBg=i%of%yw$B&xqaQecBY#UB~N$l%P4 zr<HsF><JWq?Ewox`~{K*TMsHMkXrvp#$xyj(MsL{^B2fSRDXe_zy^Z~5XdmHM?0QY zGH5&&qm_(f7#Y&)g|&r|Tgi~-BTNQ1j0_P)YbAp%#M4R!Swgs#3^E0^mAru&d9ekA zH$`OyGkmed0x%QNN)F9MZYAR#Mh2A*pb{NIgDV<P(~R6!GE^^Vt>ihN)B|oMgIM5- z22_TDoCP+I)~#e5!^pG270mz+BhMgn7#UPDf&vdhgX0v`x+B=e1{Fl0b{yI;GE^^V zZR{!VI0do5aSAdX<Sejx<hHRvWf}!-?8)FbrDz!W1nI-bpduaQa|jKN5KzO8U>h4$ zf<hw%ROW%0AY-6<No!;Gz#|020!IkQc({4wwy{ADBc_dwV;H#`93d19BmW?M7#UO- zfqV|3!4U%9sZQoFGE^^V&FdC;gn(G!2my^Nft&?4kKE=pXbg#h=5;eTLMR$W{y@|) z^1&8T8_3|w08azC1{9*G4de>25Ts!Ok_NXQK$Qzp0~yI!jD`uKfm{M>n1GB#ZJ2<h zzy^aG$fOS=g9}7F4deo_Cr}z(Ibb1(zd+Jp>p=x9QbQ8SSPXw58ps(ie}Rld^%qDA zY%r+MC1V&FTu$L>Ag6#mf#R<Oun@#wAZf7mpmGYSA&F!xhQAOE<QSO0Kt`ha3nT?L z7*uwVF^mk(o_HF_5nxZC_$ve~1o0P08f-l%yCV%FBN>a~FGK@50Ol`{k*NLxNr4Rp z6#<Z8<a;%E8pxpWSd0cTj$vd-gBR8eMs6TOT8=Oo*f26g6s>^_wh&JP8Dt6J1~SML z)CRH#N&^|fo1)?XZWV)vkuAVXL<89*3AurccNiH|I)KV#2o0_(K<z4W8^}<-q&1LD zK&c1ZKnAhERRyRF133$99<3Y5IEImp!BquC!^kJd97YC}Z6NPMXmG568dn6HxS%lv zP%{c`7#XUUv?i_&JXSy~aIAog2RRFD9=T0i&~O9=O<ZkotWY$Je1P;}WKf|D@;QVC zM+m5KMX-qrs<WUG0;**|Opq~9y`(j9Rp1c<Vu2$BWIWtFa+|myhY{1n#W9Sm42}?r zhLJyzIgAXdQb68^(BN2+03FaosGSR{aY0dmwha=hm$Y`S3_MmqEO4xVj0ZUjY#zDo zTu|jrK|5C(94iAjjI2P^&D%38No^&AYXm&4WD!t&pth0)z(SDL2}l~;hyc|vNUdZf zV=-DMh*mNWtaSo1618;#k^&nHZY7gGj0`SQ@wAdTz@9*9b+LejApQbLgRKXZ(MYS2 zk&MOg7owHS0P`2fNK}7;q`(G)%55@+k-<eBo>uZ7CXBspKfpo|e}SaI)`N;Vq}D%@ zu^9eBw35FtL5KZ8Mxy!)Bn37YRQ!=Kj111)cv{IHz@9*fuQy;Jh`&J6VCzAJ1ybuD z$yf}3AzH~VVEzIbiRv$q6xd);0RkCD&M(5#N(PO`VziQR3?oBYy|A`0aw{3qe1yrs zhLItnXsu+hg?L)YAWI0hl0l}Rwvrz(ArB)%cvDnvFu{kBFMyedRx)2Waw{3{Ffyog z0F~$v8eGwUnr7s-lA(G@YbBonr5<oA8N>otG@vpJ<Sejxv~DHi7)CzL1e(?#z+vPI zWDX;PN=8uNL1=KCf?9V3+t{Fj2-<i66&)ZZ$QY<z(%RTZ;Bg9Kf#VcpJls5T+t?t7 zQP9Rd431NZhLIVtUMY<CPzz9z4)QsK21f{}VMnly4JtuFv4GZ^gX$%%jlBmRAs`kw zLO{lYoCP+I+%`6-Feavrjbj*jH#kBl8b&t2dZjSlLoGmc5y<Bd8XO@4#5Au#13%CR z0S(oFm>^@IdP!?uZ-GY$hy{)iknwQy$ZcMO97aL&dNVjeC>loAAnHotvOH27$l%HV zPXl=kC`3^k$Sc4?kcJ6J8r*&WRW3*kWF%uT8YYMa@)B6X1Y{&?!vrJ+HW=JMCVdzg zT)^UKATI!W0;Rz<2P_2f7f2dxJ*a?1YDgj(i{URs19=9_Umzn<{RNT&8w@IR$rwfk zmn(Q0$Wy?cK=IcEun@#wAZf7mpmGYSA&F!xhQAOE<Q|y6Kt`ha3nT?L7*uwVF^mk( z?syu=9biwO_^Smh1o0P08f-l%yCV%FBN>a~FGK^m0p>4|k*NLxNr4Rp6#<Z8WY!Ek z4P?-GEJgzv$1pOa!3%2!BR7yCEk~FPY#13Liq=2|TZpHD46=l90~urrY6H0frGX6L zO;ITTw~E2T$QfWJqJjL@8@YjucNiH|I)KV#2o0_(K<z4W8^}<-q&1LJK&c1ZKnAhE zRRyRF133$99<3Y5IEImv!BquC!^jqp%TTdhLxcBhWKh`#@;-zH#|o%%MX-qr8bbip z+GxYbP`#uzabw`I0%Cz<1!O$PSzz<XZQ_E4BPeL%MuTI8qG4o%AW(-B<F2Py;9E~X zMJ&jVkYmCkUV)UhsDKJ(kSK%(M+m5KMX-qrs<WUG0;**|Opq~9y`(j9L*NktVu2$B zWIWtFa+|myhY{1n#W9Q=42}?rhLICOK%q?f6}6x$1>}7Q4UQEKV%oW&8W$85XdMrz zUeemRKJZupvB0qcG9KhCuzBRRb3v6i1?^mKaI6gAF!BVVhLQb}No^&AYXm&4WEW6; zpth17z(SDL2}l~;hyc|vNUdZfV=-DMh*q)<taSo1618;#k^&nHZY7gGj0`SQ@wAdH zz@9*9b(w&LApQbLgRKXZ(MZF{NXBCL3(-n8fcXn#B&xqaQecBY<u)0^$l#(5Pb*mm z><JWqX@G?w{sKvZtp^o#NUeV)V=?>%D#9U0q^ZFC1u_!VUmz*4!Jy)gjA3MO=El=X zRseef#a}XDA&9>~(qQXBg#}XUAIVq@e<51Q5-@*(j70SpND6E)r~rWsBTtLP(@I{k z3Ck6=70*BgGRE1+kXA3OEsWeshBO~xG7cc^-90K0QM6Vv*g`z5WRN9<Tgf0(P+Q3Y zD6M1&Z;A>BxM>V-B{P7Th*t7(2jo^V%TsXm56bJHvKvzU|9Aol2iWa%FdAIZfSP9H zwvwTGNoytlVTA7vV`N|eS2Un94CGj_c>~l+{>=y)MsDChT!spA74+m|$c?m60Z_pR z5dg7}P6_4k1BEiy8$@g%u>vZoKpus}iosKm`W6*X*#;7Y(BN1Bwa>_HB}4U+)=K^Y zj};IL94nv_800Llc>~l+{tS+lL+prH0lA8#J$o50pio9{C6_=V1XRR={0NDV3}}Ra z3T2Qega$_lsA)!SD;cVnv{v#Pc!Yph;0OU#9Uy0c&7*ZI88q91<><H9;0WPFiV$$Y z-=YF?9OTSz5UaZdd{-Mp08}$U52WsdT%8J)?rc#3mG1DfkwG`2LX887Lv({!NSVyR z9UPt5x}s|!aSEzlK*0ow(*@8t1yx8OQ3wr=QwCz%*r3rLXd4?eUISu+jDhMUt&RNz z9;YA{I8H&v!_6bNjSX@bF>P$n;69f7M;?RYlo#nnRFJFS2PA_o;Dic*EP|X^4Pqh1 zN&(i{mM4%10p0Kh@*^ZdZa^dC2L}TKNEAYYBjf`I14B2NSJXoFl6FPy9e9L*Sl|c& z-CPH97TCN2x}x?rI6^{@&gloa3VKZ+B>bTQpzH7;0w5Mrge<^1+ad$GDIIh*9mtQ6 z2oV6aayna7UO*!RLW3jZ0VF~|>apC23c7t3_Z78Jy&y%f8&SdLpk7Fdc4zPvc!Yph z;0OU-xd(DA*t{t;zM>X1Hh|@d+RNYwnT>SFKFC$j`voB<hC>BF_xM2sKrEyPnL*TS z3ri@e{YY?|2~R)r3@AiV`;jNWLXh@5NE+PC1~+b|s6d)DTU3yY#c02S+Ln-h$q`2A z@)nShsO@)<6xd*pi7!_{ZrB91e+V2Q4yxZlM^b}Z9eDbY2f&^{>6h#Q3qkw^k_KB3 zG7qUAiDWE>zd){o_-hBuUmzn<{RNT&8w~D8W<YM(1T`aY`3vnvRB+{uryscm><JWq zZ2${F`~{K*TMw>f5jUbD8H?dBkn14+S_AVJ$VgOwfuz6&gKF!SijbQqK~*U(e}V2k zh1L<E_ySjmc>0kmz@9+y*AlQ0#9tt3u=U`Y262QqlCc>60=W+2uLUrFfs91;7f1?h zFsR0QDGNEu9#kXY@)z2TsNi}4&yA>az@9+y*9@=_#9tt3u=U^q8*w8llCc>60=W+2 zuPHEpfs91;7f1?hFsR^vc@5$(Pyvg}UuZX?f{Rl;H=<4edjiE@Jzyb-zd+Jp>%rw7 z;zm>?V=?>%avj899WZ}^j70SpND6E)sQi4{|Lgz%m!W=m`u(73CSqsDApLk)?;g3| z4;nTDRWgto5jHyp5k>3wgDu3<?*~~zxZe*l1-0MbzzAyC!j620@TRC#Fv6FQ6@ZzD ze*bv`<bFTi*)dRQ4)Pv^23PH%&L+A2eyCp3`u#be)B~O!1F^tWJE+b9ISXvw0QLK` z!Bsm&vtt#w`~7%l$3S%v$omi)94nw6C%OH8s9w_g{VDKR0kOcb0;(}V&H|e^K>hw? zaI8=?JGKHcXZZrwV*_RF?v50Evtyu26y$RV4UP~{cahwFKU6Pi{r(tugn(G!2mw{~ zAZLNiqjkR@)B?uR?~evY2t~7F3n0^#J6RbR7|>#64kT7U6*|bHps4a-odBJ)1T_Ib zq7WJ!E1*6jxo7o2^^(?e4}r%Dhy{)n&_D&qSzz<X?YV;nH;C!EgJK0s&pj9%D+4$? z#zE9sJvttw_G-X20-j!t4=6rRdo><lAxP^4Bn@svfNB_|*)b$zF<K{xUX2T^bpkRH zwRHlL0vinO)sQ|r1};<a^lBWyo<M1J*?@&0{sKvZtp}CSNWB^)V=?@N=+#)j`~@-+ z)n6bfu)*M74e2+Bf{P|Ry&4m+Cs6!l02YGy3nUG;9#qsJ&5j`%i{URsuSN&vFOZR_ z{sKvX4F>mWNWVE0oVoGzYBa!}K=GFfSP0@TkTlqOP+@^IJBDN|hQC0CAf%P70P`2f zNK}7;q`(G)3J}Qb*fVE5t>lc&SZ2pEz_%-6%#J}?z1UjGkme&y#sH+fy9Yc};L(lN zN(Nhqr<Dw{gm5bvWD064Spub%4B<^t5db%h!Lwr=U?!rKyiygpmAnTs00zqIpt2iM z(QJSYfPqQ}kSK%(S2Uoe8M&=ws9w@q$t<AM18yaQSm25VREB|^1vYPhTFK1dibjwN zF%JWB6-l#W63(Fh4c6JQFOXOPl~f>)LSp3wG*&=m8%PvFgJT8MJ|nl44Ao0oEBOxt ze9n>qI%f$gfkDm!n>RqM<lhXSIm=*9M67^ZMbhlp0Z6Q%wa0XxgC~(eMJ&jVkO)zD z4vN4Q6;PoJ5{1y<2mv+C$ZaJ<^^(>~{sNB>5DT15Kvf6GSzz;M-AV?{q+n?!e+Ea$ zETl<fkgK3`UyyNnr~s%wf(U?ENaMr;uAopxpRP=SL<p#E0QnITAra6B0o5iTQ3wr= z5b*F4nY)modP!>_zkx>xhy{)iP@M;I7T7#mH;_Tol~@|cufY-W5NWy+JiZ8-g@nv6 zf}Gh6nTUi4fT~pJlw@a%3RFEvu(L%4G>8Zt^n@seN`u590w5MrbnbveC${OzIgmI7 zjrM_p2@<Chpm7SBgn>=Ufa4TAv_|Ia7*sE5vtv)-aSCFA;}kTC334phyeTRm^O_H_ zbYANgG3ef-0`iJu=MTq&Kja+`zL0k8{N>7c$g%O!1CT+Q*Bv^3f(-$USb`dPoD2+| zsIx)M4<0yvzv0q(pz~U92pcGqHGt-5r>KCa)=T{J4|epZJO;<<Q>5uikgG_V9eV+p zL`Ez1u0SFLG)xKdBP2pjKqKS^bao6vgCpbu1+!yNy`;^K-2sIoxN!htfx{9s{0ed` z*gRU#j)5Ws%k0=~aD?a}MF_}M@YylY{4rDjG^PtF^*}77Y$Ad6j4>X_o!~CuTfbq= zPSDtaM`w%5321~sXmErafJ6vLJ(lT89Zm*@<1H!)T%ZvlFf#?p1O*^eFGvw=x)N*- zY63-{u7pR(6<E6fWIWuwDJmfIka7sfNFs6w$YI@6R6wfn<`B@ZfCeOofGAiFxeShw zVx;+FkgK{OeuvCXLIpq;K?Fc7qzDlp>Wr~K3sO7w;6^N-j{O->h@y7vPk@CW9V(DC zxZeYAej=tTk&MOYP=OlzkPg)m2H12Z0|NtUhYBPGHW=Kom;4I$7pOssYdQsb<1whg z1)BZ>Hv{o>><@rFfznaj0~Uh#3nUG;9%LR;#~#U841a-K2l3Yqn7=?qqWTLY1vVJm zvA+)S7pPr_%U@{ImEhJCo{s$%uqRObwE-*y@fS!MY(2QygP5*FG8V&MAlE_swFc%d zkddhV0!e`l1~s2vPJm1=gPKRU`~{t^1l9JS_yRXd@O11~fIWfYuO(n1h`&J6VCzBV zA$9DLjK%O5$aN5ZEr9t8WF)G;KvH0XK@E<Vo{;HfP`!%FUue^n;EEaFbS2mmDE^uO z7J~R|4g&)N*m`i4ikPlMG8V&MAlE_sH3jA`kddhV0!e`l237tqT_MxUpqdt!ztE;D z!Sx%y=}NFCQ2f;c7J~R|0?c3FiU=`XiDWE>zd){o_^SivFOZR_{sKvX{ROJfUjBpl z3si37@|OyzxQ3L(pmYeXcJ`=%L_7aGcK+~TJl1XJ(%l0o`&(36z@9+yR|8lG;xCXi z*m`hzK1Bsmif>UtG8V&MAlHHX6{1oDz5o(r9<rxGRBFIRg3Nlk_viorFP)5V4=sV} zI!H@t2S2Fi(gGb1g3%1!90y<WHa}$S>`?&~+936iaU_Or50=)G{8JBggs4>Ww}O^6 zzXny%pb{8VMH^o7=-mR>1F@q5+;BUN(s1)&h1ndz1zA!Cp}{uuKy2QCCWElKiobOk zBd7y?yhUXTv^@Z^8Kwtf^9t|^H>l0MdsI+mk>`>@wjXZ+D*$-|$y|`%L9!m*20Pgp z7#KQFfjxq-uDb`Ut@)vSCxq4A19lWd0OUN75fBI608ghJ-^mV}PC*!hP(+-&;MN`h z>4dnDq1&SQxjpAkbcdiQsbGPr0l5us3=yus0NyHv<ocJ)(CKVM;((_cP>Oi@_Ag{0 z59T}%mV+;$u?w>NrTagKJUDc^8xBaLrbei62UHj|#)mtSKyK*vU;%{&NMUyX3+F`# z{;9`0eN;*uI!aWE`CD&*6AefOSTRRukBSd8k%6^<1WdTV>ls1f-BVORJk5&^{BuCY z<baIH=I;S5yLrv<nxPw#V4(5+{bK70NXE$GZ=DIZ3F?OckRQ4kJi2*7>C40LgvU-s z1_lNY_Gmr9KLwPbGWlE9GJ%q{;Q_;wj-4kxdPTvCySJ!-O=&#l0WziYyGQ4>&O@CC z9YJ}B;W4P`?g2CvQpEADQv-~r_<{8nc0w<O@V(g0)%kyh>iM<B%2hTnE7z?{|D z0uJYH2-SMPk$=homkt+|bp9659tqIZE`|pjI}dvFLP7`O;T<p!pW7)9YX4#J@oR>c zs|9hDbuT0Sp-8>-z@6fbw}1;SP>>&Q0WYcpG2yAjgQXiB9q?3@07?$={H^;LKye0F z2TDZXVJ5I&Kv@w~d-SM)#F%?jKm#7oVinC6NVI{&(D0i_?-a0WPy!LuqXvy~a569; z0x_;61}Okxg>Mfu$sB});CY<E_i`U3gh1u||Nr2?0%ctg^JUt9l<WyIs@o%_^&}*@ z#+Dp>-460L#NiE~#EB#w!{3to_y7Nw`p_}}stS~zkW@wUx9kF`szFtSW>pk_i}1hy z|6gAD3vT0sdIz}bY5`FGg=9=nT@B9YIQuRxDj_b&9Ty*!0GCcsEghl~0<KR%HAeRo zaCweq8b%cjaulSB_L=F@?V}QaT0i@M%>$QuUIHk=N=!cWE^&IzfU{Ttg{cSLJo-`& z)lQHOq*(AOx$}DA1SH_m_+|$90NFh%6TtKql@1Wyy$4M16M$uH52%Po_kM*+Q0cu# zWdmq4R5z4v{m(z;Ku3#8I1>Xy2@j-v0x9_a0Kx{F-tC~_(RzS?DrlrA477Q))m<2t zr$E*oYpAGXU|<BLH4xXMmzSRbWLF2+%ElugryhpMLKK4wLa<`i3>lEx78TIxBF9@) z8kj-Z8a$Q&I%FKg0ci!vdUP{%b94u=e7~f4vH2mRL&v$+lMWqQR6@aS`XU5#6HG0v zMKVPNv@4pw^$}PFD2FmLFm$%4fKD&zhES~s_@^A?-*&LGMFn)I2xz>YiGcys3t?tp z;Jm;;6(keD#K6$eqv8*?ZUdTOpd+n8W1bMBLFa3OhR>K87$Ac)P-&1jL;%Ess_5=f z0r6lp)D#szunk+lf&7VI0JOS;!J~JN3g{T-PyB){Dxf{4pZEoOzzk@E2T~_>wm>7e z1)LH*SQ*qn)yx)%Xy@^r_Q>TlQaXXD=K!gPnsU4aY$YfTj<=|Q&Y*@eK?hVrnV=)D zp-fPCf|#KA0wp3ye1S`4!;76g;HX8GgB96eNl;{WL)5^6{UWmB0G4i3kl~!4zF%qu zwH%H+bnH>F2ZxloG%V_2*20X2)&@N)piQu#v|<j{Acm=d15$l}-2yr<9ocRV9wbT7 zne5#?Dj+qWBTPW)1J$8C*c@sGwzp3T!=X^4;SL3Dss%YzAFQDktO44Z0fle#3r7Aa zpu`B;w%XC7q7G8lk_J_FyhR0+&_LmIyak-7K+JAPG<NrZqwxDh#S_gB7&{KN9_W~& zq6X66>Il}~4T)n|R#yc}nZcw$218RSC#WUW*`fm4soF6`MINkB16g5biwfvW>u!i6 zKn)CL22g_xBnV0WAQq^_0nZ^m%-~E9IszNy=;JLaF3_T&8){gO3P=sA`{lrP?*Ydr z)Hs;?Wx-M#VNxK2L7hNQghAa8+7R0@MMWH}a51vN&K4C7h>Jmv=xk8|otNAV5rnuO zDh(2c2!L2n72Q2x^@t2D2DTwk78LuCf)!HsahO2LK2Wm7UG{-wL3zo81=8~ZWfkP) z$?+Xj9Kv%As8#L2zwKJ*6mX6^-U3dm&@ct1UJ$bzBH6u11!UUy>x#dcA2B*~Tx-45 z*`os5Aqz@_{9tF_R{}X3TDHLgmk%s;1ttZ~4LvF#(_x7N)O75aqQVYVcnnz~B5{D! z(=c(cf$avBfZ*N$DD}YH&kC0MstWZQmc#+-Ja<e{`45_=Z+(uekjjbUAJ~Se>L8~W zUP5nagA+>Qu>+={l=9u96L(7+lqA5aS$BZO@eyS>jw+Sz$?69q0|O{oeFuA3O&jE4 zv}E-SEF}$-!jh~$FfuT7Oi_6cR>+I2u(L%4be%wV3pg2ewy1!v8|a1zLb51S8YB)8 z0I`lkN*7S3INky-VL(i%zV05dDX3-6JFwm2x={Cn41p!9w_qtAm=w5>gA`k^Z1Do( z{^wwY|8$^cfE0GNsDKXrhn8ZUEh;vU5(p#+aW06}4H1V3fK(iBQ89oPl*e0CK&S0P z-49mX12zTK{m;O58|y*s1{nf#|5LD(222WMaCZ;bP?-B4K-_;HtWXMBVP}iV0Z^j} z;)u=`70~&Y-4H>DbD`28afkqj1y#}A16GeHGw*?IP&Wd(5|OkWNGdV0wHEJ!&D{() z7m*DhBWF`oKpPkNTNi>=KwFF5J>YT@76_n`ijFBN*TF_jMpoDfsez%%x)W0WLECu{ zKZEO0h&V(5)b@j_fYz^wK)43B;gK;Y5J0T}ctCVFY>)?)2x!f{?uH2p5MfXw7=M)l z9gP7gM2yDts9b>N((_=ecbbB%23ZRVr*2U18Fc&_NC2!6Bmg>N3=}}1$e*GD;(^9w z<{s<pQ2}jY17-Q+Aj5jw!G?iHBtbbFlunOBaw~|58q}ys_83TiYdBaxG;Co>_9$4& z2POqFxEr-50&QIDn4+>Dtk4cwA>E3MePFwfnSlbc8&WdC+`ktrwHqb{EeSwvJwkmG zP<;mLo9qB(%N~{OV8c4m3<I5#j3`#Ffa0k|1tbVbfFM?PiwZ~_A^>7_LsWG4fW;9h zavRtNHEWPhkeV_$)8h%G^ax5dpkxG^6a}Xqf$jp9ZcCSLM;60ho!?yyk8}QV;XL;J zTI(lA{;3CDI(k&Lf~{i#TL;sQBjJKJ;DOTGda#x^Rv;Hba{y=@4|Jjvw46jwX^@e@ zDJtv0I?sZ2663cQ&@2e@3)Ci1LPoNQfRjO6^gvEt4z_p_*kZUAP}U+PuYtDZfef1u zGORZNY#2FtZ5~K}s{vR)T3(wAmQsaDVaaQtU3DE(RHlO!iX$uRY*7K-&V;C*LAN)7 zRy4qS08nX=I3yi{SWp$+Jt`m`A{|Zx+mHrM7{tVt0#Z68E3QD>^FYDd54KnvY%$q! z1=^AaGOQhBSnp48IFS=qZ6N)vC&BvB;;I!awGSqRC9Xgl;yR|N)PognKvqcQxT*u& z@E;sxNO8p)0dCYnsxbU<0_y%?tITV`CR^Bm@;WRsKxz>~KQ&+#YG4(p`5so8gZ2Y< zOi?KZE0jQ1hzJHyC#kaqoCKi>5|TnmO0s2O8_euLjs;cbFK4`gj5NYW*}5GZ;3I9N zAk{4(C6FLPR&?9})OBTeS@i}yA`EKagNnG93U5*P(1Hjo#rhUv7o>;akql`ifDXFF z-a!O~CP)?(n%F|V1mwKdCivhwOf4ehiy0XhN(;fM8r0^2mW-g*4v2|}3sB1BJb~J9 zg4f`P78FP$sLnjpF-4^aWV9hT40k|+0AvWrpRXrEy#wMv8y6tv%d-FAv1yP?xFM}C zgpDAhQOBN|KmAv{*!=OoBFJ4}X^0-wfgcQ2FLR+o@{mxjfR3es4&nucCxmAB#4o@A z9>xQmhx>_NphX4B08dGL;*UJ&(F-Xo!P5&KoyR-Rb@r%$_IQF;X(ceiH+w;bdqIP{ z>I@8q-#ogfsDNZag(Y}Ve~U^4Ofl$S7)Zqrs#9R|6D=y?pm=Oq3tedj?R{<m7uBF@ z8tjqAgA(eX^n!bu0^~ceQdXFsA@w{YZ+mnT>1R+vM)7k1)El5}L*Q{v<N<AU28Qk} zDqtnuQ&d1ox_cnp&K4EWz8<g+TpqUvd;BhBMHggxYYTY51k>BQKvQKnr)X&9chCkR zP<(^7;DCLC=J$OLpi%bjDJmcZ-90KW^`IRxVD-3st`7D&4^ch`*+F-ogSO&;d=A=+ z0`>{I&l8|N2Px?8QGuxk?O6e<$K`W=u+Oa_hmH`4bC4Z$_c>^*4an!9nP;$1(0vYC zSpv%DAO+n$DlqjwU=u#LeEuD@ez7Hs8C3VcGdU=`VM*;EJ8=4({1GagI#+<3(RjwT z;5n?jK>^fcz&lYtMdcgVugh^voP&$0#$ys7gYZtuVb144wLSokLExU|M{;fjNGSp5 zeg!*M5x;W_Kn9WL+!J!3vYRO9f*WK6oD13=!rw9*yn+H}5CsqpU0frbpy68ZZ0R{1 zb5$>od_!u=bT@$NCirCO6czA>k(Nx*A}gJr_!NEuhr12vIE+7d6@COO<o*5sKlmyD zr07Mn`U6<uJ&?kAzwuf99;|Tvpa1_~=Kn!eXv)BV<e7J1g#~~A|9@!&oq`7^!tMr8 zf<RLE7Oe0aNZ}4tg`lWFQV7~h!rxK@ny$BlEG+=dDuSCx`<{YZkD#FkF#paIRDLN$ z9;O~TB?wm943Pr0uE6{=sC?*T6j*BGQzQ?w8i;}tCw%r7)Sd>l4v$0H+8`z<xIrCk zP(u_p0|M#_B8$UkKtK|ZsWj*e2*|+WE#QG+kYUFmV=o|P_Y`m=r+W{$RgX3U0xEk! z{j=u`3=E~!;MoI^(V+GxXcqh#NEp(z1&!B$j6)qe1_cDDF~ZEi0B%BpR6!@hphL>w z7B6T72RbkVG8)vheF`!f(zJaC34hS2H7Ml3O<NcT>JgAQtZ56fgBvn}jhJBp+t34^ z6>R?azk3RJQm`4hbqiJj(FS!fL?LKc8=FFqHQjhk1gn6Is^d2ir0}IEWK|1j1_tb# zK!~qleCRwNSjy}Pa?(NT!+?gnp%DQZ(FQR=Nd(a^flQu(<dDVTNe3i>l5{`@;uu_? z0vV~_0~uULoje2W%mF2x`=E$~Bpr~^p!fwPoqHf*NYVjKA%dKF95THKVs^KH?*Q)Z z0gvf}lMYB#H)JvtJ`|6bkOa*-f{X?wox32TAxWqBF*xgj#_vHP2TnRL4s@0PBo0eD zAUn7ru0Tv~fsF3%0lNp1B0y82C@BK00-_D-Vu(V}Bm_2vAZzfO2vz}^X2owJNFg}s zfZ`HdB(f@i`zS3ckX{yaJwx{nuy8j_n8Bm@fW$s<3kTGw_vkzX%IcuiAfRp$Xb6P~ zv3jdT1+*!MzvVtsgR#2@vakZ$C+eO8wNJ>S`H+N1=XsCLYrDY4<601h>0nq_3G5Ao zgCW8o2Mg>2H%5tZFnHroOE_K!LktEvSODr^u<=xMFbBAA0`1A+58+$jit9X5!xS@w z5f0{nI+)0A2{`Vd6QS^MM-O2Eusb`?;Y|oP!OjdM!kI=Mo#zR37~xBKAWM6|V*wW! z7(kum9u*J+>=aNx0OIo&NRnfPt<8Z{hmgK?w+9Q-(i(^iXc>4XWX1~QYmgz`9z3uq z|1FTItWzK<xOyJWbDW?-_XC|%R6sj|Tsm4*PJ{g1+6Hczg02l=0+mqUMH-+rQXme< z2(alKAQsdxZP36q=rUE17>2Ah2mho)4UZW4x1H+Vq5@K8y#>4y3nu)j`6mPa)I-hx z7##Sg9(UmQ1yS7D16i5X1J<M61J=_C;dMjgIw69`TU0<d!lJm(1I2+JAQwu27tFx| z5n0~a1I3LXg`~O>qzB<f5D&wRpsR&pZbZuYkhP_tZ~-Sva7w_{sXGOVpcXMmQykWw zh6E~Rw+?5I?q$whq-qtTJ$({vi3_Mv`|B4zg`iDk{4Hld3Y+k@evgAq3<0%%xzJj_ zpdlUD64ogy$G{3tgA{iB0as|CZ~?7-g~l<I2?}2*6BMc-=F6qfmBf&sMeigW1)I$9 z|NsA&has(C*Z|^7y}MwWV0`2;L}BEBLdlI3tdxW1nr`F;XrSgJDEGkRV9VLStJA>4 zk&p%$v^~cOUVsKujD4jXXg3~zD`+YdGMo%k4Ko_j^y%zT0qvUuwO>H%rukbzlSybA zkR1wYUZXe^x||K{P*95*bksj+8N(ECD;v$BSXavJ14T`%I5<&bGaBwt&^|nnL$`x9 zuz@vzhJ>Nhrtp<=pq*|VJu2%#%344@FOVV}eF8iy<<^1pw}P@9xH|w^4GC&^f!cIy z!BU_A1CMrtnzf*ujoKvuB`9cf7~HM{se(?15$F<tHs+PqgIxXc$1QN;gmuAQnnD`S z@Ge_0Br(JJZy<b_dgLzKFNhSZL-EoXVib&z+`-U*jGcpAjH`nI@*Sk{2U^pG()c5@ zgMr6HkV6oQ0zoc@SdGzT0V#ZW9O6+}hvFqKBn)AE<POHCn@9y5yl)EXLL7%I&VfcN zs6h{6g3>2Q7P)T<l0z1!dItlvQ4*BymxG%7m>rB|AYs~cFqVRhhIBCWZ-NsdtWPnV zI~cutz)cR=LTqqr4YV5*yZ{@-0N2r=)*4FB171IXZ{>q_Kp?F(1!&U)A_Hx$sXzrm zhCo_tAZ6WKR6r7(;MN*kJ#uRev<(#0TAK_mgz~^G)Z>t4?4TqH8hU{?+(4-kY&y8H z12qiXS_54Ok5v}6wFXjVy#?G3Bivdm0nIk`sDSin!`o*d9%zvh6ZkM3P&4v)iwfw1 zdXQ7$E`YSwU=9Sg);Lf?2iXH?tu>HBQr!sBgK#5=hv7yZ=+Y688^KKql<p>f_yK;+ z78TG1@E`@CKn4{Fpk^kz7`V9(x~RUp2ki0A9`JqU-90KG!Ok9)JCJY#S=c=VBDV!B z$a$)}N97L_0|Th34r&{BPEi5vo&~Lg?*>QyB5)lDS_BS_anLXtl-b<^i3*4-J6lvh zx2Zz~ts#Q^lMXgKWaQs=uzLzv)_Mx~CP|p^#pWLj{8JA${{l74k2!FBgeV40i-VjD zF;Tk(tOsF!4k)T2g2!7_o*-NU3TEvVl^+m!&=vRHEh->EYltAsT99i%vZT5OqzB;| z5U;yM1=Tfgpss<>Ol$%B8>#IKS$2zS-t%SW6>upCO4Xo7Cp0ZXnIOMFnV`r7F<<We z3)TP%dk`NQB2XsCjZh}YWgzCuL`ba+stmycHqRk8!uZIo(=%6)Dlf!(EJ#BS+J)?H zh=8tx0aaU|lmboHpke~b1f^h*Cg?INkV543fuQ}-pz5a?oaFw(7XW~@fKohYAO&Ow zSR+UPv?365eIR(xW({bQUNwKuMX)j8))S~n2`UXhX&34u^wp7w4GbYFRs5}>E&t#) z8K`9pX_NV=RPwiicHV=H1ho*LMuM6MASUR<AyB)t2RsN2ZjgagAsS?$#w&P?7Ni4d z$sTAYXhmr}xHWe0GB`Ftt#wf3K*JEkd}$1cH<;8*We6YSIj}rv?;hx)2WH47Q>bUb zQr?i(7RWi=E#T$Hh-Hdk$3QlpK$<)ltu3$$h&E{38=?^8ACzVpR3XS3=&A;YLJSkZ zDj-AX_)P>UeEA&G+5)w_z`pr*2^>Z+KD12?mb!fjDZ#QDD5J~-fSPBZh=MlHKul1g z0;Mu=(TcGTtVE@}v<9uK0^bZ@R+<M+37|1ukWt4WtEfRtL_-o}B{(I3R6&R3;X6hU z>#;!%JWwhFrG(N_NOPzh;!BVTAg{fiavU;{3*tb10AjwZgv2e#0&a*C5UajH=7P?C z1g(by57mPj&L~j^Rsqq5e;5_25M&L06TvDVO<VjXf)s+sTcIQ3VBg%j2##A=BM^CD zdH+S^xP`6S1{Dd%A;Y_%php_`2E{E%78JLzSsu{vGO{?lB@L2*bOWKYJfP9w<1H$n z1{2g=P@@UN?4API$g>BsBL{h?-bbYXRQlxew}yi2&2Gp@HYjQjcKE2|@wfWI#6boV zxnU4wF=SD$i%Ld^k4id!t0I~)(Dk+;m7S1fx6sA5kTqpcY0x5EhyaLnyhY^%w6;3l zqH+exgzAGY(nT&9)A(B%!9#b@rM)nJrSiA_1Uu+BWNAJql#W9d>w}oxknIHBJz)F5 z*#Ww2A2g~DIw2a=0s$?Hf-c?%Q6RHH*&&6$bqCn&*9<RJE`SpUDCoe6Wh<m$fboyF zfE%`8saeQlHSkq|pjOoJ7H|s)<gnu{;8qZb2}%?oSx{oZT$@&+k_;;dV2WUi(n3^{ zpalUakU>TrheQB~*$qiR-92C{!DT;4RX4<;-H?_USQr!`NTCYyc_OqR2!Z(W1{0)Q z2Nwh|4%7!=FGC9gkOkZjCv-v=Ttj%ERV|?UX$m-$P)Y$L+o5jlo&pXU3{~LeXwZ}c zwv`j&I#5ai@u4XR#C-V<vUeL4*W8fQfY{FgiemiJF<=!CZP1hhsZ2l#6~$Rlg&=G2 zn+R3`Nul^n1Sx#khdSpC+ZzGuLL6^V*#XTi$6HkPK$)N<1d{DW8~^fAi2)S~(WSMJ zssyYC)QADyKMpw^qO(T@bSOi2j|xbP8N%!A0o#IP15%?BWIs})60+U}XQNW$95~~E zG=Z9cP$NOi*Q=qcWWh0(cowV<w1@}9M_%mdb{4tx1usJBgwL^q+K!;~4sAPvn4r+W zSF=XJG89Y^B11(&GZd($12PKQ2m&!tmw1AU5|Ap;BpWDc!!~JPTjCj_5&_LnkIsO7 z334>Zm*5Nq<3N1?5{K2SAPexV?}Q{v&~jdsWC?0HBIf5o=0g->G=`uGLDoQ5j6xJ* zm<U$U0-kus-@F1T1lO#f9p0e!A+%Wt3PEVI5X1yU5xC=t+JpxkdjP68cYzMS0S`nN zUV`rghZKL%ravn<*>!J$%vW|E$1xv(Y!7G=58l;4Au8egEui&=*iJtHySDKdX#ELz zJrCYPQNW6cS>6?*62{*Gn&re}CE>MQNWKK;4g$Um<!|W&_fT*ycmgfQ!SBnLcBhas zWp@K8T;S_+LR3QdTRwpn<!nK&3%eUYUO`e7%->=UnzUd<UT2K97$`&~sAR&+AV`M; zTj@}H3Y?{2QZI8Md{C+fXQgeZeB@j<8`%%6Xxo=TDH)Vhk%|CNID@7DK{Yk3SqI8O z$l~y39Y_MDSqCx@dv55S0?Ao>!0TC17Vr3|_<%}q@6u9?KA{)1tO9jZpbiD~f<R1) z`h%X(vg*l6aKM5xF(@FxWfhDA&B`EgSXl*f#t`h`K^N@6dUP+{A-;z3k$ZSLCy|mo zykQ5bM4<g6P|!pBM<6CBS%73g$pu@(&V#=dw8|M$HNzAl>LPdkR!wm86S0g2v}Oge z(ZfZ>p(8}a9;rDF>aBrJF#uIYE#T7zI-zYnNK3H!0qC4nP=giP280NJ+U?lerHB?J zNDcB1#t;=d{#MYb8Q?Stk_9!HLEQ{n{#MZJ47hg+Y7K&d0ku&I3fOMUMk%OwNT5+_ z!`~_n9uI!a@KWalIBkOb3{HbLAx%LT9~uc@DbSHapqPM`$*{&0sG7k&4FXjR8(xA` zJ<!UgcMo{I)Gkol3tUHm2FpP$D`;mD>Ss^~1H=T`4YI5|0DN2+NMW}J3+E|E{;3B# zLsTq4XH%K;w<3m~z=~mwG>~qv7LWi^Lk+Yyf{B3vv^8};=(sQgP${9$-;Xr(1nNeD z9Dvj>MRbQiu0xGdJ*1&0kSr`pb&-aiK&c06Bq-KFOhn=Y*#~YGfm9*Zc!9gOpdJ=T z2Q=w{%m(!{bf7~|)sTTiY+Y~Y&=X7wHuMCt3>>}Cp(hw0>RGT9bm$4>9BxQfK;#dw zWAH8q1FL{&>+S(}yCH2Okbh8GTTq1{Yw(XcfK@;;BYqP>3SmP}u<q8&Rgg6UFg|kk ztN#ypHxMYp;p%>Yv)vT%fdD9uC!zb*{^$RH!vnBm(?Dwkpxr{y3Vv{gX*>j~;C;G# zR3bpfGId_}=sbu0?6{W`kAO2UsMZFhB4~3T#C)l96fOmdMyM2s`BLyG$O(`ZA>`yY zP>T^__c1~X*<b!d*a<QX+2AFpcD|ef;lmpdcTxGsjfg`>k!uC;ihk%CE!+druto&7 zT0tAuJAo-e)CgM88Xr^(gMtcL3xk-58Xwfm0B1vxD#QpdxH$sKhM=KS(A)ttXk{Se z3_(q3jUNZ`CCCI&0D)_K7zf%60*S+Fe2@j)kfqIty@g=o@s8<&RY0`iUwI2v2(ku$ zrvt2_1w8hR-$al?aPI_X%a_phf|m)n6A?Eg_&{kI98A9<O5usf1tJgQBPSwFltiQf z-s1pS%tc-z(!iaF)M=E6)S!vzD8$#WbTf<-5vXnkmp$DL#B{q<u^+1kHlgtt5nZl{ zhmlGejBb(&=vY1E5tTcKah9V8QB8uii6CuIXb&5;XAa$m3My)#=@!HUg#bts*2y&= z6$MbEL>{?q16B-b$`CoNHW$>kkpLMZ&fkmFwgIIskOQEl5{QW?0YSqYsBIfDq_z!6 z7S#R#HCIKE+BTrF0b~wpp$f{(&@u(NPz4Q;fM(Uf$8Uk=j3KRVP>BUH8`QQDfwpb- z90ErJwp<Tw+rXq?Z5xp1zy%1jZ3E*&JqwnCwrxO;##ID^oDONSfLx1G>x0}0tplK* zgD3=564(@itieA(1Xe+G5e%AE1En2s+V5^4yiqGeMHp}H0S!M87_f*sh?09iI*^7U zgh06mdM2eu;~UUcOpnez;CsV7I=4V}CU)-u)B6Nr+Y>?C8NquNyZ5hvo=16u0dzp& z9u+VRJC72yrVn-=B}f8x9wlgprw8(Rl-t0w(4d|)q*Dko{8$4+74$qx5EpSCCD_Ww zBOs@O&!Ys%LKK6~qXcn1SP$?*<{?4ru#dN>fHqn}6FKPmFc1?I4<K1^FSYq0Bjj*E zP{(2_=*%0?dPC3zIcULQsV&4=Fy-Je5H$5D>$!Nr9YK(#phOE=pTP(|@EBw_;_wU5 z8ZZ7<P`BqbXwf+QfJl(OeV}au;9eHw^lFe8JlGqLTL>~RfQSA-eK7dyCD`I7&=@30 z0|7${kPQJH1P`_k6fWQ}>~8p=4GBb0+#v}&2ttHG!GSw$L7JfDBS<0g;seNfmn|yL z^)BFD>mc*NT0o^FXze;k0IU%t09us{nzdp8ALj|;fg)-?D56kSGV~XK4Fd;1Xg4h= zqK~(zfUYS6G0|fJG1E3h1#}1kf2#vne|L)tD5^k38fax`BRF}Q!lXb3gAT?5Ii^Jg zv?Lel;8D=RThL)xAjv6Ui(&JKH61>n<J>vXi~;R5>V`P6vqc4Tp-^{=3P`XSJa7SG zbwk7<0!S*ld%)^pDGI!d;u82uC-A}sQ1=KFity})IG6&ovZ7_upa1_M2^(1j>{N;p z(4y|%Jt`pI@`oQlPfH+S><Q9Er2vwUdiQ|y9Tv@?#EGOCDIvP3fG%GIl_f3ULdSzv zsnACy1ysEz^S63~2b(|vMMnCA%{1}%%Y%&}J>`X{MDe$RcCms7l@Q6!M<tTK^%Z!$ zy1NA&3uL6ZkPaV}VE$InYHYCapjZQ~>H?)sDy6v~{?>Nz4E1Y<mz|LD3{dof3O>+E zDn`f@>dU8)kt&$f%c~GR$Uz{bFC`!&-7r2h(}Jb`LI>0Fmr50=r4nctFDT0&Z&3m5 zbp|ovQ4Bf29$dH}9bgX`a7J#m!P5ii`WTRIuoh5x1X{J;-J=2$@1CLp;(^K|@M0(z zP_lAHSqufv4h#&SI~+h8cR_CHZczcb5VT;Bfq?<F)#ilMY6H0)QVjX1I3l&$K*a*c z9MIY`5Dke?Q0R5{sDSJPPjQ1(LCY4<Erg)EK0sYzXb}RUKxTtlZ4S^@n;0ZoKyCwt zJv8({OxR*5m=vtl2C@t+4{f!<_{UpRK%NClL0fGg=Ws*HGDIQ-xuUxVoWQ#w1tv;M z3@i=N1NB+=6tKY<s$PQTR16P5PyYvv#y}Fx6i7kRcqky3fdO=U97E?Z56}o?=OIVX z^epIb|1t&!2A}Q_6$_uv5EYK&E-C^63=9k#Kv!xpFuYX3ovf$)1h;bG$+`u?2So(f z=Vwv*$jN#Wbh|plmAEQfij%boC_NfeoUC;~#%NQLthI0?YfTy^YYk|!KK%n6O|Y~( zoRhTzmSnAfHCf;M{{KI;=?|tn8sC6QGEn;;)cOUr|3R%<P(=-*_X#1l|3M-i-TMuy zKyAT2Dxl^gNFkVpwEtaH(qWB%kSMJ24>lUn`1ess<8QqNuI4}`EXden4IQwSKZuKH z`GYNMJOXkgxaAL$g(wEM{6Sm~_(}Aj3y44~=%J+}XzL@C39<(y3u^g;oARJ7hv5E8 ziwaB}KAj0#mWnc+3A%`?dx{E}+M@!J{(imn7wEX=P9GJ>brq%V;Pw{}bb1%=W90T% z9DfT)9@73oRsm~&y@S?z5MP}DUq{=b0@{>(yhR1n<OliYc#8^XeJqFx@)bxH*;gPr zWO2B!KoTgv0vXsn1#B+pzDiJ?gz!}aDDQ{!w@w64LxFl1Am8H-T(Fb78ybv2X`=<2 zHnxE2U7%7CR7EftUIO)9AmQDj0@lK60XjpbdkZ8Mpo<&8ib0+LD?v3Cw-Z1q4s%sd z0LUZ${H;>pHaN&ousROV^)=9CK|U%4-99QM%swgwpv|g~S{oG0urP)?19n0y$N=mS z(%l129dKKani?J;cewMnt_7do1iGgHbm@_cN)Bj!5y-@D#!eTNjBXc|9A+1lj7~^| zBSki(QwWMQknvzAu|5C=9*#h}VTc?R(BSTFfE|4aiUopU39<>K5YdzKQE>oy#Gb$P zKX|J;NCnvS-~a{j!0JIfJb{WM^jg572Z<Hf+^<DPh>AIX>l(0aNWs<(?mLqnWD?+I z^pHg~=;;i0)FjA51j7b07y!vpojxkiS;<y8utPxs0Wzf<6c8m4A9dnQXOQRxWipUn zNc0AvyY)50%bCCb|2Mn@SJT}f0M2qQDiv@sXr3xjsqi2zZNL&ZC<+PsA7mX!A#&Q# z0HqCe{#HkD?*OC%5eOh2SUo66@T3iF0WlEi95$j0IzALqWRva}64JRuM~I3zf2%FH zOF4+sxd14N`T1KxgJkHzLbY`MQWVns24x4(`blU_4r0E%gIlT>(vty|kszhe!V|=N z30*aT&A=>3Hx!%He@Igvn}NB%z|Bi+y5xR?rLgJBf=FT0_51h#{}Vi#-&lBb)~FbG zbe5=Scy!mOXzUY4w83gXV*7W18(=jm3Ltp}4_MQSzl0MMAucKkkam}giUHDytB(pF zf9q~&qsv7_<5+`0HF&JmMMcA-mzM|B80zc*8{K@w;;=_ITnz)5?ZJ8hJXPnSBH;n* zEP*O@h(vb-2dEkXt;F+Dk?`o|1R<;!vGJCaf)@XIbo;1icr+el0BZ{Y=`r%@_E7;H zYJz<Z{WZf&EpW>U<|pK46%T(4ND|_Ah?lz^9AIrKeyBbTkM0JLg-8S8+$GCj=KV)$ z5PLMf0gW_*+QXnxJy3fX)bs>3b3ybz5ky?HfX4tlx*Hs-Q7c+dF#$RZb_!_PCA>ro z<S<B!2plhsM?f|lhKPbKL2em`@wZNe7oH$1k2TDI#1quMUS3W}RDrC0y&hDmB9wvK z#2_v#wjixr(B*!hwhW|Y1G<M6!~<ys70Ae~N01z{IK1@;l7Q58(48Bg_G|YP6)?3& z1tg8sCWbY-Kv#)CJPL6XtVs(FBGArSkXPWBLxFDxItD6V{P<fxfeS*ATfkbo8!AAl zr4tg6NWu<KVTey)(mmkzWH+H>%6(LP`CG4mTVx<3LC%7XUxSQ8uGwJCw?kmX&;SMH z0nn9}pwNVbEvViG@jzG5foRAW4LFc`Ac2H*iBE`%8-HsL*ud@<uq<e329#=C`CD6H z;vgftdsIMY3BcM!(DiUN$V#9M#_krd%1%fpp&QbQgp4AC%A;<GI79%{BY>*t?g4iy z5W~uL{H^D}EoM-+<~74hU+6l0c!EXFfwufDAbChkBddUALeO1xpn4tLW&<^N!ExGn za00kUIq%VV4BQlZJp&XAU^zs5gJJ?n7#824eh)MnKmm;u4IsrJP0*MGDMXG*3s3?x z=WmsUCor&LP^+W{q!p|l#H;A`QK{(;QK<lp^P#Lf`wcEe!Q;N5*n!#)iXjjabbTc# z-myiv9)IgOux7Lf*X3^ootXk2umu^37U3ElAu8%fW4a(ERE}^p{#MZKL7?b<$pfiJ zLCF>=iG!FgIU$uLOzP!Nh*FS)!16W_c^Ds>55Q82;F1_xjIo02j81s@1ga51fd{SW zK}=9&fT9LeK4DuuqzYU30aJunJ){C%_yJ1tAfuoy0T8nr(h~xm>cap%;}4_?ns1;T zIPgd+G<$$3P`(5WnJPmUer$*M8sun@*T4%uU>v9qK;p2~Lm&&dAvq5bYanyGd%(S5 z$c!{7{h*9+f>l7YL7fXx2<n<*QwXvKzlmTKkTL<ki6Dj0)k8?x6%uDX;1LP9aCheo zEl>sMqf!8Bj}&yesK|hG^Cx~m7nO`p`~naXd`33E#)VJ(F&961@avrN0G+!6ijoEo z!=E6LA8Gu0Cp`Gw&wGG|Rp1sti;3<%P<zcmZG{An&hy<NDl*+ZDl(Y&wZ623G!j9{ z6l4QZ>F_cP(r^Pwf#L`%1!BImhDgB@@5?$=K5}AChMuSdF09~*8B})Q?l^&DaV2JH zSYn1LLL_D>8YN~)Xkz{eY2?Dv@5oBb;8fcKZOXw@as((PM|8TVh|nY@*MPDLaxxa_ z_E8bRl8hUFptMdWfcgg!p#DJssDI!Aa;3*U&^?V{XM(vN-TO~~8>BTV4j=&sc>h2i z)<19nw?n&KR02@?2Xg$aufcT_s9EoEtU;j$+CT6>^bf#BHy?>O44QQDQSms|2vWrW zYSDXmG#;D*uFri`EMNj2Dv+YV03=}G(QOGnUl6>WywgQR0_<#XIiUeACqN{L<%9yr zWRTqvAiKM3Kz4(JRsm8%NOb$CNMH$C&?!nFk3zx#xiurs-vW{ZwPs*Jgw&t7{|`Az zJeuEVfZ{^|6dw|x@RryohUg=JI!oOR0X3jNa8VHe*(U(+8nBlLK<p3z$4B!K4REKx z1=O#DU)<`W!p7e^6&@`T#~N0^qD8_3-WLGb@On4Mc&Gw!pH0GpbpiN}Ru>fxkQ@i1 z@If@SSxbvR*Bpc7B|KQcf!KV2!=n@GTnP_W1#rNEx@X;n9-WX|-(NGl3;<W>FxMgn zAPavBND|^X1#lWe3P8}wS4eF^P?xdsAlMGj8Jy6DAnejykLEWSpzuuqg)bym?h{82 z-w2QHh8xiE^#FOo10KHWu<-SOhHnNmd=tP<0@dlDwze97YaFyG=%NyFtihlbn(QMG z;R~_>624Fc;P8#`U<EIR>~v9aIPRhXnm%MW?xGR`s&PP0aR7xkWVXRaB?K-4?NfoS zLIz!jdJNp53Q-B@2H_f&5Tt$-Yzzu?(m1T=9O2P;5Mr&5iU%n1g9H+cK}pO9l;yz$ z&U|J7&SxN!#C)a!vuXwCK=bYzklo;Xrhzw~y=HjX4xZI;QGo<IazYX3Zvja{5(-=z z)@jK32N~&fQHk(i1+N)}CQJ)ZP)2yLLR<naZ4e0)Jgo&MJeuEBfYMI^DE(xB@@K|A z2}Js-QOWS=-k(ql3OulnKm=?&MI2UMLefvS3#ima%9vvOtxE8OlX0wJ2Q1-aAj(Uy z(alFHz~d=UHQ=<90h_ggq#e*XuAsD&f|7QywR%PQTS4>VkT8R$Fv$IPAu1yLtyjTC zMYoGeLZ^$0O}C4R4Sb=Ji;6`z2#2Voz}6=Tboi+7^S5qA))dg~qLR|-q7nkCAV3{O z7Zs3D2!sh1?e<X#L39=Q_*)AQ;Q);mXgL8sq!AQd1>ih~9QnNbEua$|As&HC!y<nL zsD<qUz7&Pwr7oyb0p%QbQAq(e)<COAKqhB+K(EboQ875~q7nfL!Q(C}F(4WwZve`L z*g}%0G!9}pScM1t$Pw<+fY+e7K*`Ub5bgF+iQqiZ2?>vsZXcBxFb@<YA)wL()I;DZ zRRwpUz-wJxz_++Cyxs(I7s$~dcY)~F3qXO(?V=I_H7%suM<t;<L?s2OT@Q%@kW55( zh)N8q%<H)zzh$6?HmKCr0EugObUT2{a0L)g0bV3>mefJKtZ>|+031NaT~t8J4k4=^ z3{QGMx0-+|XOLD2(2<{@rCBa262~1_z*@R%R3tjjIfBlMfN3-Q*7?DsJ47YJqwx?Z zSNe2=YQE0vo##4Fd2}8GSMx7F{Qdv`^>ldF1T#FE-*|xXrvoT|T7dGW#Xd>o{AuCQ zz5fL?e;R<~4dCq=_;{xQxP0$+0hNA8`IC>o6|~wA;$e$p4GwkCg53g<Kfy*fAMpU^ zPpBGj{<J{kPgsb;?l4ExoxG)~P}4nFAwwCE+7T3T7SPHRmJy<$)ujVQM&RLZ0ZBqk zf=k0P0%(N`)I1a`I>9wBn1DpJ1W1(xJgT|*Ta4hjQUWQuLB$^|-jJdj(l|jxw*XRf z3*d-u3y;P_AV-0sTfhS~x<Rf%3j<K{GysW%25G^`6B@MOBC5kjg^RxhbT}0xBs7rR ztN}`R;8cW?L?Njg;bsLSH!I+AGpLmVa<c-On_q_g`~UytgMa`3zn%n6o)bJ8-+*?> zgT{j)chziB0WCrXjR%A1eNu?Dx(6z=zn~6Oo$LV*je-<{dYbS=TMk<v0TP9z+ZM<* zILM>GW&EwS@Oea#MaLRWKvHiD#Fk!Oe$dcqX9w7_#v>p{g4ahN6obctL0ni$h75ch zZvn6L1_dbSEM8D)1TqOaEP|`7Eah)~1z8Dzq!3YLmhiXU21|ECOz-Yd5n*ItfQ?rc zbcCqn^S53`R?-Ps;Mm;)R@vDCUN;L}^$4nJ;L@Olybu8p3#tNipdM(!!bQjpkRhN$ zf3|^_!GcDOAijq0PlF823P8Pz=4((q;PQ1Yf9ndkp)iFAU+3_*&IC(i_jN``2<Q-@ ziO5PiA?wl+z6LG+gf3BrcoMX%47wH>A^=ix91<GPNCSlfhzZpPI**VMeL-Owf9pvO z(49e0vq1F|sI{BQ-+B-x4qCAW4M<o_C3J+S#PhfAL{@@Ww+FJA=mpE5oz@^%LP8&O z9zTc&UFZy7#*A2w9ikG)-|E5zbu*}q19NjMf2$2l9K1fW2YkFg%*_!Upwlc`O^}s< zj=%wp!9p$q=!7iUhOW_u1S@Emx*H-65dbaf1|2yAawWvgprxK59zq{vg0uM{qvi?z zsfR#ycSnedKWH2UVHAcMkB$%(_fp9I0MKv|C>?e~hC!k0NfB)+H~!WFZcq?l?%D$< z>c(RkJfPAA_oYDK*2hxlG2Y-Z3skTmH@sZ=TR`%lQWmM`LF%EkLnbLf83yFmm+Fx1 zxG+961A(P@Kr6<(A%#+R#}{Vs>7bzTNkle$0XhH_I_wD&1r05FbTfcf0)gjSdccc5 zI!_(^C4cahG~>mCKUohxWbW(%ACY;y1-z0I6br{&RCqvkLQ5;CUeLaR&U4*72HjI2 z=3GDcU;f~GX~)hBj*LGX8y|ocpt@)ta_qR+>7(M{(s8moM8)Cqt4`<=QRpO8H{?Xl z=0hx<*SbXvx*@B)96NtF9{eHic<_a^W9Khd#zT&ck09n<cj)*DHU#7(>{d5Fc;NW` zhD+yx&TG9PY!5r9K>1RO==F`3F0ERP6ctLHFH($NfQzLLo^J#Oj847a{<%1^c!O z(9xTW3=GW=7%|cvbmh!4a4P^|9ELiBjt~`n{?<CMI_v?CucXoAZw&@(MJs7^Vax1s z7G9tP1-%Llyx|O79zp7Z?jCStgU8`Pr4DpOGpIxWwX${iTe-k?zGis&>L++dI4IH0 z1fRVB{b%z5#?Aws$2<-`WA6L_I)fil6o7&iw22y=boQu#c9C@cckKM(!+5OQ&ILO3 z-|3>F;nI0x=J%hDohKbNL8(T?rSs(F=iMPH8l1nN>85)M*nMcGH6LfeG|;8<L}!SK z!c3QL9~BkH?>`+iFT%`I0GkJj+?RhK1J<C@AC$;nmVo*{ki-w>LuVX7UI6i-nE}Ln zIp-fZ06?L~4XH*Ebt^dRdcZY4WbOb|EhF_LAnKu^3ylkiLXfMlDFj*5jn_o53P^>8 z-$anYmp1=E``qDM?OjwVzy(J46i7p-@eruM1C97sc;MS)&xuxMWkOc}f|3|0kdVtP zzaNm@ao_@#bpvQP19Zj~q|65eFqYB=G$nwml^_orx`!!3v=HQ=L-(L)g_;Vg&OuB> zn*n4cIQ@fEL0bmM=^vy6DQ|(&zbvTAdCl<h$#?Kd6OaiYUxJ73VI1Tn0zI@2WC3>z zc%KuZAp$lYZ-jzXK(yhHP^dzXHTaVVSOv5x)B`TzaU?pBLhw8kv=9OL@}(oh*P!GB z;v+AxSNo0}x3FW5Kvm9h$nFbJ&_kDkgP2GaQa5Czt_Qq#1X&z9UN;3SfwBeyw6^?s z3wZAZ$S~;k2N1J+iV8@wdk<I>+A&9vO}@}$j*xeIh(VJJsEz@df^(+_IJtmSA#yHg z;{~|51ht%?yT@R~r6@GHWPSrD7f?qE6ma0=0^>j(4-$t>TY@aWw|4^)XP_bmrJz7^ z0Cf8XL?Ot9*c5`Sf$j!@D8w)ktfB?HLk_=*Ace5x0%|FOeRJ$9*w-*V=rj=q=nkdT zUy+jwcyBIrg~{<2@O?heShxbBks2PLq5zg$Kysku0;*L&;_&1Gl0Zo=pshrpLmi=p zfevwmGP|dMZR_5n0@8$*Tp%m%pveU!4~kY$<AD#FTtH1(kU^lsKtVL5wgdSWbO0l4 zmK&t18`2(zZmR?*7f`bo+IR(-3o2cBp~>al7jVFVmMeh*5}aIM9H`?#;;`fbvH)}f zC1_o93-~q?sJY!e;61{S$OUx-P*MSs1E9`@C<JW?#ikHs4So~BDxfatQ31IahlwDC z;N(JfYx`yY7v$+6(0IWK@Xg12R6sYjfY*<K_}zO{K;vcmq>;x9Kq4O9`+q>k3qXB% z(0Bouh73ZtsPr>1Fu;ZkKoYPa1F-3!mOUuaKpR#;$K3I^I>JW{K!zV{2&e~-d9{FT zN9?KqTiJL7<W%se0YWi&)BwcwfS;2O=|n({fQy1^&*LpBD?lj#QgyC@GC`REq6c(A z0*D7v3(6V+Jdpg**#mA1gZ5gtgZJ5<0FMlSl)^NEcHDv5Dj+eKb`Ovss78klFLX}< zH*BEGb9%tb13-&=>iPR8fei#Vt3fUVEvEr@kzvCHb^NXMU?m{)QN0TaWlT|!yKs9K zqKBc|g9oG&q!#R57N~c9R4PF3D(7!ygU1hC3&>M2pTcy4#E?9y4XK_xd%(dBT801( zYS28|7O)`5UWh*)fbT9xTHp@p=s_f5_o{(x2d$ohngdz{0cC;=0?C3rfN{hs?A+xj zc-X;|f?N#p1*CTYy50-2{T(cZ5>GxV8KA&O=kM1Cn*v!z1+^W?Z=hvV5Hq%bqYinb zAdSD339K2kjEWI*x(}#COyzI=1ztS~8l{402Bk{aNC9+o;}fzHP<anh*`fkc+1a8p z1;PU@`)&qr#|E*wA>t4Lkc#6iDjf(n_duDT-XutS57-pcEuL}wt$)C=4>b#taeY)` z`CGrh#6d=O_o#r3gSi<xy72~C3FugPkToq}m7OgrArKzuMs<i2K`hYO_mHk3stVAh zMc@#HWri^R*0Y?TL5J52FJaf;!pj%rk%LhFmW97z8_1DWzy_<EA+2ywmIH+dG&VuZ zmqn041enyzB<RQiYPtk9UO_1kRTR_;1TCcp83ZY7=0KSswGch9vIe9Uly>1|jgJas zH7ckAMOF?<v7nX)NDQV4T*83bmC#`^ZOA6o&K{K+j0~W?yfAwq84n}~(hhMgM#g*D z0`W6Q8E6qYXcZbG1L*Fu|F8E!3lpd~DD8omFSQ_AHbD1KLo+7Gw=b`vO1(S^;lo3e z1JZ?r@sR`nE!Mz>ls3?U9kJ;iQrxycN2M7+>##sVL@cKD<8S>1o=OA-3dD3+w17>( zvK<O^Yc9A1SOD@PByyJ^n4q8oHEEzh2V#QuMu3ugj|xZ~Y94cg?Mnb%C5BWkxbnAx zE?oj!1j^1JbB;r@G>C~PEkO2x8`mIJpf!OIn?TVHZY_bbK2rV#wU%5;v%q6+uOMk3 z<PVVhLCXL^Gy?+zY#TM26i61f2OeZEm>&(A>TCfgHxM7{ZxHjP1LO(<kjuCsZby_| zV0S^P2S{57l%P;rPhb@gZQYRM3{eR38a9O>Ye0($K_v+IQUeSV!75r*z!Y8+K`LI} zfedJXN;t4@_#nXr;~$5VWnih#u(frdmJ__e0m<f&ArX&m3sAp>0eqzssG|i+aNt~s zNXC#n4LOejp%}#a#4iBp41zC$f{K7vQ89etk30ohgvdYrApf?Dol{gAAlbeJ<St0! ztUxeppiI#HoS<~FMFphp`!&T+&5sy6F1B9k@KJF_x}*Rk3oG@VkS-|z8HpHH2X&vo z6Jq?^4kCu$K|P!9DJmc<VUzbZ9X=}7NT;GgjY$AGr3I|Avjsd?-rWM8M~Bo5P-)N{ zIz#}(0-bOO^$h5yIVcmV4|HBSxV}KHfvxykL5KE%TUa0yVE(e?Zv`EE1<q-pN(hv_ zKsVAMj0D9v=-5O?=;~XLs%}W^clW5ofTxWR6&9#w1DOrVX%_sg>ENpSHN#5-$f8A% zpFu{ygkDkr<AV<Ff)=4KVV4v@)5i;N`=|xnB>|l{2ht9S+7c)el$gN1Cye$FY-9aT zcqs=~2dhfpjUS{cq<aeZoC8oJbsp%1FX*QFKBN=AGNAT?j^lzdK^NzM;;}~s<S5ia z4tY~ONEQ@4pvDjKrg~5;LxUa^J0K?L&@hORAp5|j8AuiA*hGkM4lL+FI=UfA2V^!V z=wX}cFGK1W(0UJ$OF_qU!Galj!WT>mc2)$)GLX`j(6b_7e5hx^QqZ#^K+fTY*xLz7 zvQSrmjzk2x5t9B<N-HF{g6_D1r~>%}LlyX}2xvkF+ZqM&O9a#d(1Z_`vWMKM07{ym zbDkL)K=(|8c+fT#=%!<M!3b)tq1XmWvj~MC^C3koC~ad?2sXF}+@6Fe#4r)8qD2Kv z^?(<ZKonw_2vYI#KGxbElu|({8=MW`r7Cnx!UN=ONG|Y!GC^t~dSG=pNG)2^N(I!E zSLSbB4$hazT0nUM)P)C$!8C%KSD^klbO3@G(mU_$Q32TxI)56;Zd7-Iy6T{#r=bc! zryfI@-90KGCxh$(DFQoC1m5wI0Xa~bzf}?JK+u&zkjMnh?SWc05G|bDjsl&~DY+K# z5iiUTUT2F6XnqFCI*>i6P6JI0gN|2*x(9Sa5tIqKg9ucIfx0r_(IbZL02#Q`L_kgx z=5JjHp2+|mE(~!RXdeK`X&`ewx;eWYC7{F8p!Ns@0|PT;A|G`B5Ttgpfm(;@G|-xE z&{54$1)zI=pv>+b6_7TN7LX!vsHwo6#shL1H&WdKI=maYrwHUUh!)OnM+NA_9&}$3 zGh}Z;XN!sf#Azl_>u`imiwZ~qq}YUYcvw0@RG3R8Uo${<c!NqHQ0d0R-wL|O6<o1^ zTmxz?LxUQ`gfz%O5+E-@BIpJ9EHk8@@Jrdx;1MlQY6EG4rZ^B2c8(D!wn2PojDwgj zp_i|K3<vR%Y<<}YY1M#y3zY(S2*d>MlmQ(=4T)6HWseXKff{X)5jv3A%<qtcG%#cH zr6y>_5SIO*GKeuG(B94NhK733lrVTH5QqRb9y)wf#7m&-iy`|#LAyFZLjkZYl(2vm z<8KA!Pl%Mpv4$IvAtR8{@b$$Y8zAdnp$fojq%>eFOF)agz$?D6Y~>T>Z(RwkEkQ;? z?`8$Lvl~ocuBsB@Z=H#(BSRF$dsyrc=5JjD)&XjWzh(dr>A}_+ftJEKfL29K1*IY( z{ua<F_z=&6mLY+qVcl2IvTsmAf^JiT>{2}-39=rsM{ObcU?FIuZsReCGTig>FH`=5 zm$UZbGyJ73>ULDndVh@lz_N(=hwKODXaKp8oc+LG!J!5UDve_e84cjELQpKh_XC5C z2BjR>r8uCiyT=+qO2Lc%H9WdIAx8#+)^UR*JsJ;kh=EoNmZ(U0bmF=z2kCHa7w{k+ z18Di6hDYO}3Ng^JOrX`~c&7W1;}4dOd0_<v)H?~t-f;mHznvW*@4VCj<*RO3Hb5TV z;Nfri09yP7PTd%(1GJ<Q;cn1$@UbS4B@9UWp+i6$n~C$o%M9qcXt+i2RLafYV*Vd8 z&VuAjSSpo4N+TYPZ$Oh<p!I3s`G-#EK`PyQz$2{t<Pd2EBI41#e+D$UK$g?PSEkv+ zmODql#+bn-BF30~KvyAvTJNCHhiu$C*6;xm$`CGMfEjE@;}MYCzyr*nEqV~e-~nb3 z7g2ZwfMP5FR0Tnn=^_?b+QNEIph6v@sR5L@Izel~yTJrz`C-G~3hGWHT<O8O09u4Z zfDR1?HHINg9ncB@5D#R31j2sBi_H%i9Xigno&;5c*8Hv0!8J5o6=HtZiodlREC;$V z2~?=}fL9g3sxp&~5EWzo)@EcS;1f$)z{)yZRC2mqR5C#QFzC@Q5GGi(+eak_u`tMp zzx6b@2?H(SqQHp<w%yqQT#Uifk0E~xNFEXb@K{7z;RBv@zhrp8vGX8o<{fqfPvbEM za0q|*=sdSmo`Hb@bMFj#0SHZVjmI{?bmF)%=%p*T420MVYD0r^Wp}3lSep-MI}&7K zz@zaX*b&_&Dgn65ke6Q}l`m+=9H^P`vK*BUI`kek(H8>^E=U@J)hGeST~sPS3E;Sk zN)3nxMKL%bLMHcoRBGTN&}qF8l^RGZ3A$^e;<$?ncqR357nKr_5ugpj-8CvTXp?x5 zZeMBaYldzYl^R%?rBxaRUTU5J(s|rPB?m;q(vpu#fg)(Nc?Rg-El}d}Q7Hj0I0q$< z9FP&9o|z_e!TCv0Z^lIh)cs<3y$0keP?&&R1)^Wi0wpwV7nK}v`U53A$b1Q;9|6h; zNIesfGzj~s6oBMIR7x-;GeBn~GcYiK<fnjBGl~+>IYt@XIP_<{^aB^c&_biTAwnHg zfw`!F3Q~-n5FVIkI>CA!peYhiB6m^o03WpD0%=Kt7J7C2sJMVudV%`g;Jw?>jzIrM zaFqv+hJ<b(l@vr4lj3iE2$qBwHr)*#;H2rIg0JAfbRVo`e->(-i%JAG_c8mZICMgy z0h(4p(GRO>d$)imv_Q>maH@so37l2xYlfGPKx1ky;K3fy1_n^ynBk=`Xhabz_>v8j z0S{o@DgnxegtPDKdC=k$)V_tf7{q+(39pd|t(TTZu8%-t!XDlG6&gXYzX!aG0yO^# z8jyq5N-ZkWk>)=^60o`nGP(?3?cbv^4Lp&^4K8Xy5ePE;SVKi4xJ?6DpoplRz*b_c zng%HbS5F|lNT<+(#@=u*n+D0ECxIUD!DpcPP0;);Y=aHRSzy(mzA<PX9GYBvz++LM zD}g|{d<vKcO}CKp5M&T|qO>2BQ9(5TV)YPcf(@32r>L|sFff2N^u1<yc?DYJ!9xns z(&<rY1%*}%NC_zaz{dI^)d;Meu?<q3f-)JX2tisx^|}EX93b(R1<=e44mDPZcm@U! z@J6i`@PZAPr#-qY_Hi&UFo5@df?|>Zbf_F?P!~Li3+jS^ltK(S5r!}XG+qFbfzY5+ zvmwL2pq@K;Bp0Oh6MrOR!XN$2Vvq%(L<Kgt@%RNp_#xV$m1dy)266_-k03k1s#uY2 z2e}j^1ECSNLo5}5tP(-D6k;57LqOwk4kIK>p$8>H*UWklZ5hZB5a$se!l1s)7I5<# z9L8uN76%EjPy9M3p!2oRC<ccL+<_~=hwLKtq7g13HlPqXh<7{O#c<8t4I98tX#p?k z07WV&Nq`~-bg*DAl1D+StdWui+@mp&K^?HskT`-C46F~pCS&#F3$PSql^4h@APYUZ zaij$P@RMo$dI$NZgF2h}{Ovs8c~ww6K)ZcC&}EGWJA^=`9;jOhZe@W?Wq{;Ga4aL{ zF+egPd%?o23ZU!-ofd#*LQr&}#T-Zv^!O7{f#cE5z`qS?@(nrx-#Qz-%pYVpSOch7 z0v+T75`b#!=4gEQAGDyS2fUr7y9azs4gY!w7c<#_d<uyOkRcE!Z$KZ0flGBa>;TKb z0vA#TfZT!-qXOWqs^C}yWjnZbl)M9RFs#f$L=Pm<NA`dhSbgG;>jAG!`oynu64Uhv zlW%B%Vh3A#7)Un@_JA`p!kGr>&P0Si{9*ujMg}W}mdv0q1{njPL7~O*iC+*FNCGfM z+zGHKYF^1ew-i=_;!cm?gn*u;r+{mGaHRlVw+(U&DBhu|8{|B&KGp>w3%j>KsuT1R z4IxTSfCp({=?F$+_6^hcTMvRyO@bVu2-e))@Bm~%CrlTtOb7tAO1rm!U5Rp#B1$%e zv|S;3aR&g%Z|IE#_|dT#W1VsQtz0~y1sPBSL5(bE4hJz2k&FnV4v=H9h7Fzs3yL_< z>?uWA3t9&vYG4Og8xP`6kOiQ^8XVS*$0Ljx7<Sr&8l0f*GoWxmZgNo)nvlcXF-MNQ z_*)skduoUe%^jM!vp*$Hgd7x)=|m^~)`j5hOT;@dK?}`^P_0;M18~U0dRdT^3cAM* z6!xGL0LtC_K-Ci>7lJnDfC^OXyFoyy4kQao(54{!I6s1Kc=S=R0p0Lujp;ut{#I6) z|3ED=P%4J5PX{p}2?ZnpG8*h$)*00GA2S031LgiR0r}4u(|<<%t(oAO9vWaEPZ99n z1?u{bohts*0r^iG(|=n0t!Ke)1>*h30j}ARYS5QipHT+D@wS9seugYn2c=DDV1hb2 zAm+;%C~N#7V>z&TA4X%A{F?l&Ip6^_P?Cp~Nzj5G*2{<G45%=co(!bM0;N$<^7rXx z0H0<9PF9YfEt8OK)u7A+YMFuxJrE7bXW-5b$TI|rT98_h`4AdZFJtZOKwGo~`W>JO z4BWT`ZM;?h83}d<{XGxK-&FTLI4nV?f<g>ZYJl4~$kiaS)dHYG4pG~%f)&%ELG+s8 z<t@k_6_D#dB_y==1~K7|MYKCWsRUFagXvz#Rb2ZN{Lo7*$o?W|@<iwcO~yj=CCGee zxdUQC#uq>qg4A>uu)qsy8Bj)-=5Kur&Jys6NzkAKXut`q9CU{=XaN9p(||T)$xJ6? z1s0Mm*f%DEYy~+OR7p$$Z<d3Mn|AuBK!(RkrNE1aK&3utEECjW65?;o1v?08Bq+N> zFO&f>A#n*=*98p)R!|y8X`B(P22mS(&@7ER&_OvJ<QH)ILIgS}st5%-NDXqJ^MC@K zo4@rOc#sMs(80<n4|I@&u>?8`XfA^pOQ19Hw}O_afG5^LVG9arq(BE1mmpzq@d1ee zP?Ul59;i2l)Xx@#Bn?ox2M(>q<DeV{E`gAAgR%r2J0~v-A<JYzj)r;-w6zh$eCY%| z)D)afLA_t_iHnd8X`nT~py4>k`HP@wdeCGRblV&>d_Z~ZWg2MU9pZD4q0o#0V!jNA z4#9z=gk1Bhp@x8hup49uD3d~Rc4rTGtPq+(L2d-)*G|Y83`j-J%Tu2r`<y5-<H{Gx z%`k@Uw}J-<5q<$h95hhD;eDP8W@LYZ_=OU8u!F8raSRIv4S92b+Twdu6u|Tr6$udC zy$4Jq>S%Dj0L$!O0Uh#Qzz&)z-=hMiVOxIm*$`WPKoamFZ?ImZA#Xi41_u6C&?R=z z9w`R{!?6a2CfJZSNPRCa=n5B)&JM7ZjYl*%7#N}*<G_=$AZJ3<Ln=j(de#{!AiuPz z%m6zLMx(c<wy5Z`F));RfySa=#zF^x!QKbW7bA}}>wp~D0#X8TB(fsdNHge+8&J^# zsh>d$WT2LVT<F27AOH%bEh-a0qMgTg&WCj{cQ|YmXXrd`c%btjsQLt}Zaj403CIW6 zc7aBIKnWf?rT_{`a6u0CCfH19-4F9BSU+pSeUNQE&;S4(EQYWUI%)tmfx{0mMh$iu zXrKiwvjb`ZL=<cS6U@n=a}#^O3B#lDV1^6Gh=Uv+ojocYAkTHfr9Obom;x0x^5{I@ zc?f!t60##CKzGDIz0m+Rp#@?%tHuL}Hz1;T9B}|_1jG>)U?bp;NC2BLMFk>^>WBlN zb^<uW8V?G%BK%Q+YQzkv5fEWiBfz&<fQ?|?0X6}2?qG{b2G|6cKQ4d`=z)rY{Q;VF zfJ7{^BVM>89FYJv0`3ovhoG>Sq5=^{bp)~z5?%-+B2bNRfEoc2Ml}M-9|Arg6CnNw z0Gj~wM+Mk`9u<fv*dL(e4)F()2^C1m$^*rO4NwyxqF@uSC#w|!AV)xA)B$V+JS1L# z&6uJB5yt6_1wkMaAl|S5n*j5M!Xr>n_NYKa!H&S{4USNR2?i)8L_ke|h@zSR$<PNq zAa|>PPFDaYkH%vSpaQcSQc(IpGn!}TL&xKepgAQ5$K#+h!Qca_7Qv=Cb{_KSm9+#9 zH0@DQU;~v2-+el-`E*Z#@}{UrurV<BbY22wKLIfFq;Ka<aE!dX2;GAKwx4wZ$jzO{ z5oI~3wA!K~0Lt#2Eh-vN4}$1V`~r|Jn*uunsQ=cY0$~WYK$Jrr51sfy?y4XPrxVz% z<A7Qx0ksZ9!>tp5SqEW&+F~H(aO(=3Kp}wCA4gcn@E9zG?mGsMeo%8CY8?l}28iz% zAe<Hz2t%+%1*{xy-3px6b?>;)$N=pr!<AnEP56P<l0xl*6v?3QgIEU%LjhP|LS_V@ zGcAyv8lboUHF?14fdRBv3lv<S76Yj902Kx880BDK=-#3t!ok3Ryi=n`g&$N=wJL%S zy$7AbzyaG<*rUP+mJ)+WfeZ#6SjEP`0NQ`g239!bAY{MB4~Qt3nxewP!N367uhF8y z!N$PQ(WAl+HtY#_6dH7T3kP^{`3H8eVIcLLEh=9iJdhx0MNo?hh}8`dhX{aFfQ}vj zjr%|rGrfT_LDx)zwD*8bLEbtrMTHG)Hw)}UDv%+NzE_V5D_H6$%#SZ1Mt}^3tY&Ia zVF0;*ipqahP^;rLvck?5l?x#CEh-@Ooh>R?AUu#D#JM0=H$)sFfTW_k2do}3iTw|3 z!%Xmi6ZmXe=$c8S^a071;7YuE3#6(hyI}xHYw!XN)YyR5sE`UACIf32Kt#a>7rZ5c zTqipqmEe$C9cBWoVE_>Yn}EFpUx3swfK=e{5**erfC%F(!2`gxI-~@LRNpX1z#0Y+ zQ9O?L0X70sjX<hzxFcW<1BfuHBakap4{)^(F#=Mp!;OG73?Ra&Mj%y(AHXI+`~j)H zVg7(M3?QOle}F0haPf`O%2MzERYed-K&o%JBVY{!h%l-nko^&W)G&Zl;BX^g4FiZU zsu4*3@IY!9Kq_#UKVS_5h$z?}SZmY`NXZIPeZx$EH4Gr4U=y$>s|QF814so9_XezC z01?LN4S`@#SVE!$Qh~!90c#jQM8S^0>J0~^h5@L)L{vkth5<wr)dX;r4r=9tx9MSN z7=SV{DGdWbaD!lv$_G|Z-3MzJKzLJBUa-O&1`ohYM8jamC-4>mP#pkDZZF?JHlo4! z(8>lZbrE{oE2OZ3RdJBwqXp8NL0U%uska4MRG<v-q&LcDL{JS28hL<LW}qW+pk+Sj z2plN0dkVNF?%txpf>FiYXJue0tp*Rffh1u?|2>d!A$R}?RL?-`Oi<+jVnXXE&<QQ< zpd%eS;k6N{vI1?Ch6#goKr1Pb(T6&wsN4k^Z3ylq`auHf2Rr2KmDdxY?gs@G)cqjl z%QDC|SCC7%AvGStMz9S%DxkmyoxsJxz<{*s5TY3B0H||8*9)^_QS~wxv?{Je1ys#} zZRLcx4#o$ah68oz%XhHzqCo8-Rs~Q|0J^t?9o)!x01bA~Q9V#5C`Cg`c+keF=7)@( zJt|M2?HZUkbT#P|umoga6uh8xiVDa;(9wxd!$7CiK$+bT$?iR1O(^S2dsJ?)GBALa ziCqT;I3$Wec7oyobUMg2kT4{QK~*s*UXMenV-T|&5)j=zDmU1nyD~wlx*_#BXki*G zilNmuhyoc6isGvvqajhO4LNiRWC$ow!BGt3Ks^Eyhea{S4sM7m5G@Fh(V#<qK(Pki zcMNJ$pu{p*1w<Rv#gIj)cR*S`{a3u${P90{4>wdH$Qt}6f>l7<Fpx07VIoK&cy|eW z0JC?G3h0PXP@F@uIr5q)NFCjx0--^zS;#62NSj%p2iAD*Q8@ukA0RV6@e6dUC;)XH z1bb9Iuz?r?Fos}{$^kT`8(>Obz?4E5f;}obz>Rdl7L^mMP*Xq*NDX-sG_VU&;L$q; zVrK6ah%-7{pdEft`ON^jR2$?fc;VW30CcG~s2c@pK{)OP#VBb1!2wnV2A9tJ;3IEX z85laxd2~)u*#KgJj(~RD%?7$}8?=*+m4U&v^Tu%&70?abpnzKeE`wbVmvA3<QIRMD z_1wB!RCYim6^^^8Xn>BYKJKDo0Fj&nHr}H%M#bW|i;4qi-NA7e6%UZ4PxlrTkb`_W zOH@Fsyd$8J2_QQ`HzQ|&R!D;cVHbW+g$^r%{OUod!w2elfm{xv;XN?4J_<&Mk0BgX z)nM&`5$N!NdR`#wKs4MsXf*+=FEBcMAM9{iN1(&^08}@E4r+xYC`b<snr3l!_%!Ts zS%<a5#{muuSS<*nL0JT19a>=0qQkcw(#?Q%#6UelP?-iQE|-C&`e0I^`i6`S-vU-} zhi^XEuoyJMK=m8wP)<nq4_vW9D%NK3p)*ivkT^sD#3H@JHxF!g4Xm>UG6d$wxnQY6 zm=vhy>+S(};9woT86fvhQJD@_n2f9tQCx#cXNo&~)4(<eV(ak1T1${@3G48IMak~) zfpx=&9>8WoJA9Dp8P=qQb@(8n;07sjhY#8-MU2^kyLaGAOm-r-zk9(gIivw<a2F1~ zyA4ttU-G~(4%|^A!Z>he49&O$@NGR)AmhP}hrk^!G-XH~6b&~-%N|l+!GZzS(SnGA zg8@|LL)?wrONv11Xo2bmq!D6RM++j1+Py$Fq65^rYKHXHAe~Ei4+YlIf(WA;f#i<{ zq>dJ(Zwd1UtfK`H1^WY3!$JIkWP*Y}A|xQ4OPC3;juu1|YyxsbBT|AyAjk-aHz0jW zxHn)OEr>8qZ+IYev>;tem?K~vEr=-C5m>!Zfz;80^etf~z&ctGQB)HMcC<j52i_JS zVg&Xixc=Uw(gCJn9W4lNib?~xcLg4StpGC-9WDQ-s2!~m$YpGxvKW;7kULtDD1)`I zss)m5TcA@Yh^hsx;)GW%C*Y^PP~6cf2epYIt#IUyRvAbb(h3Ka@1UkP=qyrL`@RLz zvFT9(^^w2_bbwSrD+vM}tx}NDkXHCLNLL1A2q>Vyt#BA;;5%9qA+7^eHz3y`ceKh- z`pXfZqM#Gfcs||&?iWD=4Aij!F+oitNTGz;(SnIny`xnC?P%qL0vr;>Aosv()jW_e zB#J?u1&}kT)zQiY84Zcz&7&PHR&a9~T5m(D6<EIol-2Qdw7_du5@1~-5Cc*NfV)KC zo>*s#3Z$9=m8|eC5K<Km>H;B+LR}~TZCZzvubzzuZh*N{z-AyiND+t*QUIu11nwYt zfLN#<BnONR5=aqf4Ic;S;%(5iM93W^kg*_1lnzokxc4+i1!NJtg9Pn8dB7w=7J($e z9VDnE?haBabj<{$C5AC02I@|N=3qdpA0Y#gE#O&3cz*?@MGs!}4+-^49-yr|ps^L` ziV2U#;|C%b7<Ph+MUZJ0tQgZp1o}&mLChBLBqiK_v|=Blzm$Np1H($7zXTcbY*7Kx zaO(*5muBF!4ynHcnq~wiK~Olr>N7|LfSawLP1~U10J59HSj>cGDM$edNzxwhMG+8D zaFb#9HiZ+An!>P>1rq46rZ7Yl91NgJ43dzM+p!ann!=Eh1l|CIHH9I<s0~15BMyM- zKWI}J(qx4j0c#3Fgi(z^YRK+DY6?S|tgz^WHH9IfV1Iy;8N?q*CPW}Lg&_@Am<h0^ zFhmq=0`{hG08&#J(qx5u1J)FV2;=ld15#5M(qx4>0@f6Uh=Lt~)f*d-n!>QM2@;{O zrZ7Yl)dYe~VNm8Fr6~+sj9`G$6o&AosAz!Ozu=~@0+@+t3cK8bELVfL8E?^xwdf<I z=rxE$DtaYIE_y*_AgEmiqTyvBp`!N!&a#kD(F>U?f~>%RTSutq<!~Tks0yX%g%o@6 zWCxle?%o0!)+M{>#bPG3=!KLnFxz0G5D-yt5*@xp?+m1(7g9jNf&o_aLPWvAfW7EF zfmHND3P^Z?11owV!l(rfa?$$(spy3ik#HkmMK44c)d-}5^8-@R3n?OD{(u#|5K*u{ zu$Ea9kcwVN0SPk!R`fzd!6slYdOMJcUPuuM_Xe!!g$U#H#tx*S7g9vR904nOA);VM zVD-ieq@ovAHbIIpSkVg+MKyt7(F@8v<P^Q2Eg&A9uu%vIZ;A>BxV!}yy$oO`qUb$& zh3KLeRODe4eWVn<3y_Q6A1tJ{da;&;go<9!tO?5g36KvU5k#QX3!3`?D+W(8K^MId zDtaqOE_xxw9z5AWi(arO*+nl{H`W1QNa+Hz4Oa9*M8QdP_!hkvkcwVN0SOBRSkVg+ z1qTE6qL;xFG3*H`AmIfLtmuUZqZT;GMX!N3!U#wa2{!^(^g@JDjX)}THGDxPK$fUL zib$A0U_~!P6zmVIW!4F#q8Cy?!c2e_y%15b3D}F?14u<Lq=<xj16K4xgmHS~15(io zDI#HxfEB$EQLrPhdP4!UrV`qufR#;<oDC~_A)=@z5G;B@nTMRB_X7*4)deehA-pLn zFIW&OZNN-K(d&1f=%N>E(ML+rD-ea$>b*g7(TlY#BvkZnz*!a&YW0HVfk8zth$gz# z`vRwRxCR^`#U4D_L5p6nDA`3XSU1+97gD;wY=afO5K(Xv9lk{`2YBlOq{xC4kg#BY z6}=Eqa4=vmdM%KOUPu86FK}Q*FGLu%z(Fp0Gmwg2ND&D)0#@`wgi(z^DtZ%;ie5+& z3G)Z6=!J-a{eiX2VgRpDgoFg7fP|R<D|#WKU=y$xy+4qOUPuuM_Xe!!g$U#HhDHdY z27wfjFh{_OUWh2z5m>zufmHOu$|lsJ7b1#k0>PpelzGT0dM}_9y%63Ml@s9d7ToGR z0A?bJ-peP6E_$&ReWVn<4ai0B4w8#rP#K6(77{9Y6&yiz5!R(R1X{ght&NGmX&tVj z7gFrOlO43^1&fkh^n!I`EqWoP3(PiH(F+j;C(+?s^g19Fy^sPD77VbW7a|G{2JA&| z0aDQmDInnm4y@>f2%{D_$VKl0q@ov6M8b`L6}=E)R3ngz-Wf<mFQkZs`2$w;LPWv- zz*=TmAQiok0up8dtmuV^f=$3)^csM-jX{<@K#EAXH(*6CL>Q+x5|D~sND&Eh1gz+V zh=Lt~)f*F#ie7lx1e#r9g|&JiqNpYiEP6qihn%8!14_{g;Z0Fl0WNRBMehPI6H)X= z93i^s#ai@{QuKa6E_!E>T=Zfs3kemy6L6M=gj&5fpvw|LG|{cz6F9BIRrErNJ$SN% z7QJ9mvWs4@ZmdNwq;!GV1}l0YqTnPt?22BDb#CB-7P8I_djVSkE~6pE3Zx{37c0aT zuq%+tXh=y4Hv)eFi{V;uaSCxQa`}Uy4qRwL)L}0y3&7<q#8;5A8SW%lVF?k&Sy<ix z7q^hcGNf#Vr6X8j2@wUSBV@<IQ{n}r;ucae!%Tn`mJm@?69^WTpyW<YVL1V%u!QiY zsC0l!NN{1<0A?ZzOYQwc7nYEE18t^=l)@5pbSZpILIuf%C8XAAQ328L(u+`G$$)bg zEuq2^Yu!L-bk+i=bx4IJsId*{%fQYe1(jByV|Nhyl0a*zK}(-NYC*D~v-M%8=1);6 zX94XXjRD_iiKL?0B8z|e!G?#7C>we~>-|7RLD&0%n4rUtLA!W+AiH=kBJL%dqEZGn zTN-RO+AiKwu#^By3S=-Di@FO~z>B)`!G_&~T|x>q3~9X{4R`V8f$cVhEhtA`)SU~K z(t=5WR@tE~>dpYUe~L;vSfLEELMkunP6OL;3cPImHN%b-Td^F~Vet;s55qXB1CnH5 zMG^8D7m(r~CZq8hau@+b6kJGQFKdy{xIioZ6ToInQGp1fmbJ)DbmZeDAmuW=xSjzu z0wRoR1X2?n_n`_Gzy?rqr~=0uNJt<R*P#72umv6P6;`m2L*Au?TrwgbY>ZMkg4fJ} z*LT4y4+oH)$Ojle;uz2A4WM`>TrYr93gq;LFW`j|dsHG&>IDdIib?>uG62^L9$+S- zUJ%|1UONnOFQ`cYJr@APe7O>IMm}VvEtvlal@Bduz*5(LBiAml(hii^K<ASoN;}XJ zUr@OOD$78!sHL4dI3L`GUIowsJphEE*@BUO5~8$&E;$CR(*+p?U8f6Tc0-O<=<b1R z=tL>)+`wkf0-N340#*Q9i0TTK0v$h3lZ~A&EDQ{#{@{(B){qbc`2ZAb&>gBE=1VQe zE=!QF!2DuVKGc_BsR+7k`}Bu608}o5ZM^|mR1V`KuSVXBvZ#Cmr~%p80xl+SuSSLx zBABa@Vd7L@jqJbz+4gA<iVn=x$aWxM+N?&l1sM%ljr<F;q#L%=6TILY#u+KwK0&QR z*tG|sdH`Hr8n8gOU4j^pviKxuB`2g=4r-Ajtu2Ne7v|A;9J00;c1Dj7(lX-%;C022 zGTgKAzzHyS3V4|-Vwtf93w$4@0t;*(rUZzEy382V5JKID3DOB#NsO}07_?y$RIq_e z07-(E8H36`&^}DaO5zq3kVPO#@IFlFN@D0T;}#W=MIcGymKk4$o$d;1;dS)9VPNR& zQTYSy`a{~C4d99g(v$`}4YUNdn*kKEpk^;<rvw`VL+7c3zvK_Tl4iVk@F(lRhs>Ql zDxgDIK!-MhoDVrP1+;}7RLFvif$9Y*>O9xYV*uJa4l?KZ!T<6H-%C4oUT|dm;n?^9 zbZDH5<{`(9i=90xJfP6-I0@Q~&ceWO`Bmo>@Fotpc~iiaHXmZ?yw)vZ(7gxj701pW zjt76pJ05%??b!LtmGO{c<0FWv*Bv^3f(-#V47&rGA3SjUe#52nK<BmI5Vj|sQ&g^i zj+}u|t(W-cgEla7gG&GYbnuQk&`P!~U{^u6@;5)=2OaAJ3g8y-2{jM_kd;VVwiv8I zZL{+`K_@4J(=NzQpa|&hI0A{4GbpjL0~#yHqe32#SOJaLfUf)mg(haKoL~d*qzBa> zps0Y>A0Q^kMyOtpB3P_|?Cr!GD=hF>0kOaV3NjvU-V_y(c}TGWGLnc`0oC-~Q&d2z z(HwvoD@P!)0-|8C!VHd;mEhxdAh7~+6|{nfL;_R*WD!IF#6pUd6}V&N4<uIhpv1}t zXsm32ib7~`UReQhV2=t&J(j$(1QIKtsv8s)(5f251cf40FGvwAR>0=qjg>#l3=A&d zyaHl@V+CY9+`K6&AoGx71!N=<vGNCW+Rzk;M^GJr8Y|B`r>HCdc^X2&V&yk80|S3Q z<Uk`xtbknA-2&c*)%>8o6T$)=^#nR$04xBq5-C;!Y#@0B-sT4R36fV#-hxZ88PM<t z33{+<yalOmQJDZ0h0x$w=>R#fM+KxFORTg&Vg=OC1VshX?lF*!P`w~Uuvh_`gBmDk zCD<2utbkbHSOFOiH*bmx$ULN20U1d|tbn?u-BTbQL3IFTtTcc;Jw*jX!D8hzI95QX zOhS%;+5$TR3*<ORtbkb1<31n)AS;n#<p-`<@nDSrM*!-9ZlFy+(D;DFQbIJSQ?*5< z03?ds(E)`GM9Bo~=460$z&lD2u@G~>qS(zjf!&-06mtqdd*-{hsDMSWnu9b<vlG;f z>O60F65&`#Z~GYf!I+RP3#3)y!HRrv6r|Y-lY#ZNA)<JC+sFq;f!abyQ3C62LxfSg z%E-NK<TINfttE`!HbfZJ2&CRN?vsjOy=|nvGN>sAuH#V7@j_a<3hN_7Vjb4ohKPbg z0-p0BB?zeJi3oV)t!kk0Kd=m>(E=X|q<(K36tAT8wr4Vf3Z*?NFTgZxp%#QUMdblA ze4*A2FcZ<+Ub^!Ce^BYj#=tNWd>H=spUnptI}dao^Emj7x$^^PU<s7LA-!%;dluZ( z+M{v-)FAlp*!jbU@mRN=3#{SRqH+aPCUu^e`TeJ3=SfFRP($kiSm@;C=iO7l(wx7b zO)XH<3pBsiy+sAd*yiIbofsy&be`y(qH>0rfng@dGLVsu-+wx4UUcb%7z~mE8w_d- zy*&I4>@QGlkIP>NApQc?+8}>{8!LNMK%%I&gUsk`Q8@wj1d6|ofQ2B|gQUUMgUsum z0`AYF8H?dBkjW5#9f0`@WF)G;KvH0XL9L0Gjo-ok0@bd#{51#SFHl7Yasarx-=hK& zMfDfRjLsI7Jz!6u_-hAP2;wi0G}wBOd7xG;IPGsyK{6J@Um%kq{@Mcb7syCde}SaH z27~J3m-auv{sPrcxcmh=2?KgSBFJCh3UiMNNEFpyATv5!R5pM;f#R<<U?GUVK+<6A z!8Oqo@PUhH#$xyjWHQ8GD`5Tt8HwsIkQCTpP>uHTFVX>2-T1pipd0`yT_7~LK>=!y z!*Uj8;~Ui5!QCZ->IEr+H7Ndo@+@k7j@F=90tz8;GY`Z9Hz+{E;~-~&%^RRD(PD6e z!kUsUkp=F?H{LE0s8|4bA3}p;1=J2Fw@U=o3+lqaVg*zRp!G=5Vr33IRzNIptbj@x zkh8$%4N#Y8HaJ#lDd`eDz#S`iyF{SU2jqPS4UQF1JG`6BE)i5Os0#y&6;K(5KUSu| zV+F(l#|o&71UU<A-T-xpCWB*TKX~p2(x3skili=42d>6<cL&}s5vWK8c^^W9V+GXy z?k2NK1l0@b!oXq$R089Vl^%GkfLP#I0hRC|XMxQdpe|82I93>uVg=+XXmtkZ0YL>o z)doZW#6oI+8`y%{c;|P*o2wu{K^nC<x<uek3%n~c1KK45i(>79u;S<vfjcd5b0$E$ zL|{?u=HTcOMWA$vRzSN%U{S2*klrPNp4S8&>_-F;xc}369CR-_@+>1%c{lu^C2$`H z?Vu&(z76s*NsxXJM&AY^jI(cpd^ixK8wBg4!TL53QE<-$Yu^U>a3DxO2xbDTZvznp zn}8*;fDUMY4R=F&L6DUdn78~wiVskK2s|WC{caAZJ4#MBrvas#1K~|ksQ~wFz>|sv zU?!rQvu_T#c?oI&;c8xjIyBHhR!}<-+}y#_&B+0kGpOC146qQSc?psRw*o=UC!}r; zlCc=gOOWdz&C3*6^AcnvYV#5#1vVJm&3R99HwWBcz|+l10DA(Z*&G8Fg7^z04YnTC z072^JAQ_9{FHi#q;;#spzd%N!`U@llHW=K^Sxa&^2VCXi>E?uhJ%Qq{0I(3mUm$6) z_29~KiVCPx0!ju*#$xyj)I@;z%LnE!kddhV0!e`l2ATLWo8)c|xYEMY&G7(x0>xi0 zU?GUVK+<6A!L<vbn}cL5hQC0SEW}?9Fn@uJMD-U)3T!Z_mU^j)bo>*6ZVuWY%7D!L z*nmO^+|2>8z>Ne@J_Xempx#&a0CjV$!Hoopx;ZDTAdQ5fIP+ryj};IL94nww1{5ox z-dFbkb#situ|iQdr-00E4yaTGc^^W98`Pj454qhOs9w^#IXduI0kOcb0xBax&H|e^ zK;0Z|aI8?&&5<Cpn**v7K;DPY;8+3mc*yPMK=qQ=%~65J3Wx=c6;KHeau(RU0qW)` zgJXrFZq5N*u|nj`&jn~V2UNr1I2{2;HwWA?f_HOHK)X3$QLNn)Rvg_NaK{L4js>)v z0~W<<4kg_jNN)qy0iael2l)srNOuIIn*$NX+08*d_zBV-fw>XZ&4Gx5J1JPZImib; z!MZ7s-Y%@00}%zAfTf!QIt&Tc%|UH*Sb$Hk+lA-|!jG||Q8xz^N#t~MBv86J5Z)9O z0dU_2+|A(tGZEdK>;0s5bHL3VJlz}?P&tFz&0zowL7JB!X>cnL)O<qf<{%l1(Y!=- zbN(<vXLCSCqBbu<QecD0?B;+Q40yUZKbSx(tx%fHU%)~Te}SaI)`J=#NZlMHV=?@N z=;nNY`3qzus=q)|V1vo*=76hQJl&i(U{9d<>jhW{;xCXi*m_VEPV#Kd6PUk1Mxy!) zBn38@%x(_2(!$ftc>wkViofoFg&_U{NrSBiRf64!ZVr;M81aSZ=G=h!3uGj!zd%x8 zgGue?fN}t+HippPMgq9+-veGnhGlsOXrhM7vpH8lAq4K`fLP#00w|w?oCS8v0CjUN zGl3TE3`jQzlz&0qhtS|y0ky5^I-7F_9xEUgI95QV49Hnvw+v7>=QKE02Bez<D%3#U zhtS|y0ky5k?dCxBlGe>R0*@6C3mhw;G7{u0uz3U2%{dH?l>zDIfXYsg_aQVmRzPiP za=STDy`*(>_P}EW!~(|(sDuYO3vAv1b#r!uV};J$9B@Yj-mTz>A-FINM>hxD5rLb- z5C<^_EQ+;zf~%VYp0|OU1MB91MX{PgNjC@5+kkZ;sMXCuK5i1y9l_}4K!kC2bC3^N zgmgz>ZiIDnAfn(-3f688@*#`xZVG5k6f3No0}%zAfTf!QI(QJ)&4IKvTEJ_m24FS^ z6iMWCb2c!6#w=lr)*!qoDl3@ai`Eu^nTT%AhbB_HIpF3Fo^H+@P&tFz&6xoff;2Bd z(%@DgsQHA{%|S92qj`zw=1hS#FF{74HZMU^V1vo*=71Xvc)B?gz@9*9Hur#qApQbL zgRKWOK#;mQNXBCL3(?K#fcXn#B&xqaQecD0?B;;0Ts+;J7O*E!{M7&!g7^z04YnRs zg(G!ykc`Ff7h*Q22Ieo2k*NLxNr4R}vzr61wD5FuD!`sV@mC302;wi0G}wAjC5Y6` zK{6J@Ux;o_0nA?@BT@YYk^&n{YBvXz13<Mgga$VfKy7Ps7p+0{g8D+RB_E)Cgn!9L z4k(1c-5d}L+(-cBQ;@U3<_%CcCmY;I7?5rbDF1@I523-a0%}{6+s%RMC2i4K3OrUo zEO4xVN*R!|z~&85Hzye!D+AKa0hK-=??Y&Ctbp3q<aTqQdP(c%#K2<(!~(|(sEh<T z3vAv1b#tP@u`(dt98lQ_@;-zH#|o&WO>Q>_s+Y8GP6#|!KrC>qfJ%6fv%uyJP&X$S z94mD0=72jQ@NR_zw3`DK#oDdFwP+385rLay0qy31MX{TMV>TxMWzia}n*$cbY7Qmc z97t~i)`g%}HwXD>XGnJhqniT}#@Wq5KF}D_9f7$K*3E&4f;%Z#yE(`Q8pFCNsIxf` zQLqVEx;dajeqr4lNL!;t1wzw(HU|_*<aBd9P`Wt~-V_xFaNh<zn_~fHBDy)8Wu$g< zz|9>z-5e88IfL5GF#roenwKDHa4Qhhd_wBxAQ_9%yaYARAd7``V9iUAk*Li}kQCTp zGP^n81_PdMjt1BhD9vUSun@#wAZf7mpauw1HwVdB41XcIISMd;fs91;7f1?hFqz#P zaFvUvn<E4E1d6{Tz(NpzfuzCKgQ{?(ZVr;M82&<Zb3|bN0vU<wFOU@2U^2To;7SWm zH%9>M2^4?vfQ2Cb0!f3d2UUVd-5exiG5m$-=5WCL1u_!VUmz*4!K8L`Ksf+Z8$)Pt zBLUR5Cbydd)k|78hXoWu;BF3x1#TpO@+rt!VDkp3o5KulBn(J52b6z7-iOfOSOK-I z$?fJq^^(@j`NIg`7|#ejL;_UGfSd(3Z-BZvzZpSuYy;BG0hK-=??Y&Ctbp3q<aTqQ zdP(c%e1XRbhy~6opfVEVEU<Y4)Xn(}j+FuF=77phkoO@pI95PyYI3_dP`#vebKbyX z1;hf!3aEq!ISXvw0CjU-gJXrx-5hX71l~QVfOd1hqFB2XxVkyujtJbG0%$h}EQ;M6 z9Niobtlb>2C{}YQ>E=Ls8?Y_}wYoXT*C;@`BN*Krh%nA>4)WyzknRY~jj(PGL=@af z!P?D1zB~ZZJ%O13o6UiUf=$5E%>f;#59{VY+8Qk?5Ss4Y98e^Y)6IFnh&-DE;Z0Gw z!3dwtxd3J&x;aXjq;_+_%^f`5oHL+u2DO`W0xSe+UV@~-tw2!o38|ZdWGqJW64A{$ z!U$co1~L-0c?psN8%$<52i#!5)6F>m_5@0^c@J0!;xCXi*m_U{1gV>YWGsfi5Z#;| zFn@uJMD-U)3T!Z$-5hY0i>I5j1?&kFe{BE@LHq@h23rrR!jZZ;NXBCL3(?J41M?Ti zNK}7;q`(G~+06l0T6nrSE5M#W@z)Zt5X4^~X|VO6N)V}=gJdj*zYyJ=1u%bsj70Sp zND6E)sofk<4gl505E|S_0JW{j?dCxBlGe?c0}3H<HwVN5HxfYk6yz+hc>~nVnGJ3v z3`jQzlz&0qhtS|y0ky5k?dCxBlGe?c0*@6C3mhw;QU>HKuz3U2&6y02l>zDIfJz^b z_aQVmRzPiQa=STDy`*(>df>4FVu51?R7QfF1vYPhx;fq8SQ(IR4yfz|c^^W9V+GWv zCbydd)k|78rv)A>AQm`QKqWlLSzz-9sGHLajukq0bHE)Dc=u!jw3`DK#oDdF)y)BS zMBwJEfOd1hqS(#B(amwd+RXurVl{`7ZVsfk0qX!ztDA#-Wf7!1g3--^2;=PLAYar2 z>5jnM2<zrRM8TaDtlb>si+Uj46PO9GZVp5gYyy^U4(Re4ST_gK)@V_I&~)$SfFg;U zZcYVCHwVI-qEZ0v+kj_tGQdnkH^(}L)NT&Axr3*hlL9JdP`fz^U?E8J5+n_71%jGS zNZlMHV=<bSh;B{{ta%AC618~=k^&n{W;X}iV8GMOi2!>7rP&+;7J~Q-Bn`G6)Br*1 z<{%l1;V(otCjjOzkddhV0!e`lCbOFZu5$5ob9}&_K=GFcSP0@TkTlqOP!*2U%|S92 z!(WJQjtk6RAR|%z1(E_AOlCI+TxsFy<~V>of#NS4un@#wAZf7mph^&_n}cL5hQAQq z91EDgKt`ha3nT?LnAC0#C<lOQV+ajyB!Jr1<aTqQdP(c%n1DhE+|2>8z>Ne@J_R`o zY~BEMbBw`_gaPU1fbuWM`w$u&E1<SDx!oM7UedZbI`CKlvB0qcDrG><0-HBL-5hOj ztPDsu2UPliybqzlu>xvaliSUK>Lsn4qXLf=5DOeDpfVEVEU<Y4)Xh-_$I5_ob3kP$ z$omi)94nwUHM!j!s9w^#IWq8A0kOcb0xID_&H|e^K;0Z^aIDa|n*;8Mz`G|epxqp> zDAsNTu5J#vBLX+)0koR~7R7E3j&6<x)@}}16stLubaNoR4Oj<&THPGvivuCu5sYpQ zL>Ol`2l<LUNOuJ0Mp!ooA`0%LVD080U$F=2p1@3ib#ox1U=y%(bC53<fwVPRz~|-; zz}_5CB$3n25kTqYKzLJBIKX`ya5skm%tUl^Li|bX=75_!c)B@%7%;Z#{{Ra?nwKDH za4Qhhd_wBxAQ_9%yhL<!zA!*%b3jI-HZMU^V1vo*=71Xvc)B?sz@9*9HopN2LHq@h z23rqmfFN~qkc`Ff7owX3IuRXwP&UX&RDXe_zy_1q%>h@rc)B@Hz@9+y7x;n{=sDdW zX|VO6DjcbsgJdj*zYyJ=JFxfy8HwsIkQCTpGP^n8N()an=LV*~u7HIg@dc6wTMw!P zk-9lZ#$xyj(apI4^B2fSRDXe_zy_1r%>m^AP;CsM!Hon^+nU^N4pc8`-JCO^5CV5| zKrC=00hCWc&H|e^K;4|v44~yvf5BHMLQc5^xe9vxBjoZHr~oMQLj*u9q~lo0J!uV; ze?i`d(BN1BwXMnR=0Nq5*3CHrj};IL94nww2IMTTc>~nVISh^!d!$$axr(GacE~+x z4OIGoybqzlu>xvaliSUK>Lsn4vj-k4AQm`QKxHJzSzz-9sGGAJ94n3ByH_E31>`D{ z4wNSMq%~043GzOK2FD7hO-*h$2dbB}Zq62XtbkbHSOJyrAZLNi8=!8^W^k+=247+e zi4~BmNIFoO^pn=W9T9l<L<4%#8dwx-w*pr;2iy^Xo1*|dX$>rj-5ea<90RP~9Iz-> zbC9|@FBx4)ZK#4PEIbX>HK3G&Iv&3QECgvFfTY3oIJjn+0=~Tsbi^!@u^0^mL_>86 ztbqVB619N<k^&nHs-a%${sj9A)D*;Z)G_Fe6KJUlasar<$J0<<0QLk*gKiF32;wi0 zG}wA@VU4)?1j$$oe}P;F*)=i)<}Z+usQv;;fei*XR9{2<1!@Z7@)zii6KJ^v@)x+! z#M4ln0`>%ozb1f%ApQbLgRKV_cZef_k&MOg7sz!GfAzrp1u_!VUmz*4!Qh7K%3t94 z0yPD3`3rQ%2{dPe`~@y{@HA9Az@9+yR|{AO;xCXi*m`h*fjBD*$yf}3fm{diR|Cvn zAR|%z1(E_A3~s2V|Nj4f0y6Mue&gZMS)=0M(OIHm;n7{AVzEz=fq?<kA7KD<J-YWB zG=WwR)~INJ1T;Ka|MO2d(BYyYUc&jB!K2$nMdSYi2*bgn+d;zva$~NKiWq+@DE&aB zERHpFG%+wRg4A1h^z!mBfXwUw8{K@w<FH3>jf%l1egROQA8aU81BC6tinw6eMMVK* zhyvtlWsXn$f<7t|pZFtPR3!F+uF3>E9aQ?3s2G48Y~j&(yuyfqVW&LUN*5Ilu!@(S z|3SAAyQn}M-R<B2cQ`wL3rG^;aJckw2hg4zhL?K(k;2fU`Ar2V{0czfmjSXaW1kXo z_+@x>@81CpzX*_g1U&rsVc{163%>%C@Z&2@fjA-KSc5<_H0&}EVFxz0`AEfKP;fxi zfP*W;gY|?nD0p2|0zh&BpbL~ax&v6gUuwPRz(4hvLq~}UZ>bgNw&B;UAQcdck;8ze zq!nU0Tngs@ENHl8c(591Ffc%-j9pYbK<0QrB8A}-zaThZT~snWSizV1H6P#r-C1e@ zQe^=eYhh<#U_c!A2-aW#l0-9B10<$_Y%DnTGdx(q_h~|nl>n)d02#}IVk{&XBz)o* z;00ap2ew7v6Tg6qiUT;sfKptDioz%U$Pg8QPyBHgKk-K#0;@^@nV8|hDxn7o$m8I| z15P(kab&MYfW#t@-RJ-k$?#wW-<St=BP=Q`_7UlI%n*fn9eime)L2A_QrqhfbkV#H z4Na)uz##*51eOr^#INI{;?U`%l5pHbB?T1vP<<=#8w<9T3}Xw>jeV*3_y2!v`BND^ ze>yaSJX)hdLH?Y9C4YjAMarKbHL(22-~zI8aO6+$%`(ssN2Deq6DjWe3BKG3YAo&Y z=LsE9K$4q3!PmDy-AKj!3BExIYAhl|shvM3pnDxPe}Y2>>IhQuX9Rv@!M2iNtOB~R zko?*B1~f+J(YZ$jG^FOyxkcp!sG9EH1E%+>Ao40i#G`xv32=7ZqXKF(d2~Z*Sfj+f zga=e_wSZ;+gWDx75TlWsCT^uQ5LF<{jx{K>fNR$lu$>;gy!;?1basF(YCHmR;9*eL z5}}v@#`R!5AOcE&Eh?af7DyCAGjyZZx2~n`p!)VDr20g16LPKV!rzki|Nno8+rR+= za&@<Z1FZ7(09U?HhjuqGNP#SOQK>lIqH+LK#zJo41`QyAc%TxuqT7R|TNK3O1lRqY zJ}M5N`rn?v6?7O5$SN0=if)chAC;1Ba4p&Cqf*cf;)SRbXkK*SpL49!N5ul9$DF?> zh>3v#<d1HMh21?WAj`gAY(2q0^-xEMiWz^aJy;1SEI<L~0dplJN<hM(h=W+wEzn)S zVt9)4)AwtwpB(t79(U-dQ8DFjeFQfGtk#32^(4f26aLnRU^%FV8BslayhR1n6$AP9 zc#8_Ca|dFgyH)W-^8?0?L#+ooLR5_TTUUb(>}~=31lD*p;%{9H69*aD-2*m`6XeZK z7Zsh35EX6y*4fBPI$Kmg{fcgg#hooGpw3D+M6me*BSIP^4iNycpenk1!0Hhpsm0&w z03P4*=-s2T0hA)U(c&EuqR@bjPzNOkSX!I{&I6zcCQzE(=fJ?g0KQGM(?><crQ1hE z1=61BbWy1QHB2iY+}=Ill!Ve`$^bW+GCuLg9Yk$nZvm?XWkRqE8jl~)LuzPCfK3FY zmY1x5|NqB~GEnTj+=MFiauKN7MtBwCCk!`&0tDPp%K)V)u<fvf=Ax2-BnHzT;n5wU zlHk+rq7s1;6e6IY5COXs)IbdY1w{aa+q(yxnoxqm0~QnlAu1lQ79)C~fD#O@K!Nr? zUj2gy2q=jjhon9b^QAdzfIy|dVI>2R0+|oy$D{J0X&x-)f*g#j0{n=SalAzZG&}&Z z>v)R_XrKbb1O*u=oq+lZ9xTld89RHxa>(Kw-!C>lWbB>-mVgu|4*c7$bxr{rc)Udg zG&%q>?0Aa`sOtw}c0(k)_kcBhzpnVJ`4OW-$F<f=ojxiopi+gowDdJYH^e2d?8j7^ z_nP52B=3MsIS$E9AZ9ltkAub=85kH8PayIYD8G02fNkak2}5%ihys}lDxMfi4Z-QL z{SP?!LGA^G*6S%y$AdUf$Ag$JEB}KB0zmni8{!0nbs%%Qd%#Jg`Q!iYDc}O4`O|;J zi_IVZgWUyI0nr9^E<_<HY_KT=S%cq1unI`9;5QMZ@TKVg|NlXa0%)hY2U5Q^9t7o5 zkIwTRoyQRUnwMdJ{{NqVZ9G5~Q3uz6#t8NYw15&*4WxT*fZ3llfYiI-9w5?q03UxV z4<o4d098vC#~M~ZYF!r<r11c-(ctlbZWk4(8gQH0!h^NI3}mefXcz*dr@P^UHbg)H zlxG#dc@|tGNPzPwhy>SX{2CWN@yA^J<iW3V$YVb!2{AA*G<X>P0Ezra<JUXk!S8+? zl=%g~F7xPaFt7j}zzQA!(eUWD2O+~B9{WK_0)#zUfACK^*x{qXTT=R(!SH}bw~va2 zN8>>au(lA83yplbeN+TII?s0=>OAPU1Jt58&Z5Er3(D6FFK_<CHU7ZE-vW|^RLvOU z5Bs3{EIhg!Ko)j;q_m#op8`tV+$GCj<{=MHV2w{Tm?J>JX5rDj{|0s9)1VdHiUP$A ze0&FNG*Wzm)WG5s9LSw?iO&ph#E=}H31Dq>j8Bk-B*&*ZdVD6dg7O7M{#1vJH{r;i zYW%H2$ocaEmiPo4jTE0CHL&>1kO5f>jZe^!4MrPSmA@5~OCbpxlzuyup!p1(Q9TS# zc<cn_FA(-<JpoD7D*P?>U}qT~Fg)qldD5d7+?w_11`Sv?9%}#@(fQq@^BS(42C)v3 zk3jVRDB~*gw|0OH1?Tu<4JVNO`We*N>g)jd^(8;J!3`_QklX4?{4JONLE7p_(y)g5 z4y0U4j4u^S96==sxjuZUjbtAvNRU#ILdo6NOTqs1=x#uhljm=_{qO((mkVIpAwhv2 zrQne{15ncdy;~z!S`DgDLB^wouq=P8DRKz!Kn`JW*9IEGYrzc_q#%Tk!^-ft#NrNN zCzz8xx*LcIVQC6OcqWp4XrU+t58-1CAb)~`4>dR>`CCE#NJv_=IM#3gIXGO965PB$ zxT*yS{+126gJUYpc@VR~P9QdmiI<d<pZH!rg4zu6Fme_V<8R@Gc4v{|7nVgnLG^)L zi58%uCCgvtfGRSN#y6nGE@*rJ)Mf>Z4}jWipvE<b-lu^m`}TlapB~-&8QMVQ&>m=y z97@B+2g+gN1E97pq@4n34x<dcm+`mqfxC8~)-TASV+{#y;Mxt+#zl+~fGul00&*mH zi~yk+JVpTGda%Mu#tKk2slb+vO8HxFLvst%hVF(LoP-O<68;u5utU)c$1RZ1XgoFn zWD4H$5#~n^)(lZl$ie!LFdB0#qnN+-8LDep5AYJFwTQnJbfL>@2KZc0FJuG<+;RhT zG<{SuK$Qf8;YrXnl%Qzx=ms}neV{E~Smb*&9uLR`1<@36RPO`V8l5#N37y|N&v|r) zs6=$0@&GlyK=&>lXHh8w<+5&2@37NFh2yx3ia-Ep^uR?$0wxmyY6L1AcTv#*b(S|k zX2C$k+siB9LJBFRASd&1{uYotC~u>xfTi?pP~G6vKwQLzl{jH$NfIIzI=ux?OrT_d zR40U%+<Cna9`W4`Xwo74El>Xb|NnB?Uq}Uq<SkeY8qD9inE}$8hgfo~Ap$LnK<D^C zS>)vnaIuE05|KZM3y~oH7EofKEL04^We$>ekmE6szeOKfT%oFf#iJm&F#z#|2S%U; zl+1gX@c;jRtSK8bZs4Qh0Z-ZB0S)}gIz*)co~9jOY1#sNnsxxCT&!u@0wjZ;reCW6 zg-l|4G{3O`&0iXT<}V@hyZbZ|Wh!{icmD)%fm#EacLfo!q7**=s{koST|gZ|r2d{5 za(hzaSi=WM@&Gju;r#=!(alFJz;l>THQ=gC15s+iCJ!*nAyMS^q=rX#LjtI<>t^tP zj4ontPm17fPilBH9*Y1Ofw#N_<#Tku3iG$NLrX*#6^&yJFOdBTZBK&y3U5yufa@FN z)F*`8o`g%ok{+x*NvtmgvA1@J^&z}H39=6qBuHh40IaoxMUEe>JqZm8Xp|BcL44R- zBg8rVr4u-h!r})xfO+{_;^6Hki~x29mkgl9+1-HVVIEj(WWoeO?GY_R-hmer3hkh( z5mfJhE1%W_kg)^v5@AS6N&sgcXd40~gjD#zN?SAj*0<2~1u^$nLq$7kgM<@Qy>)hg zY<s;PR<uKuAs4k3@(|ZVU>{wBY4qrBaDb`|0ClwjK%>y0L8V=w+7w)jfeIFn<B&mU z(5TAs78TGc8W0oI&WY#_U;)t{-5xBQryTjG9_S2FF>vW{QPJmbje~l|MI{0}_22?g z37Um)==M=@=?+nG0L?wj1<gHZfJO<``FlZoW<j;_aY)MqWYO^!70{X&5VITNlI|X` zE52Vu9_3f#ZxsjI*bQ+bELc_fTlrz)pvtAY2W%W{lwYAEL`9yzl^t10r;AEPH^kOX z7nPiD7nKaqSUyP9MI{Hq1dDe2sN^6<^X2$k*FhR;mpppG<vwWHGPps|c(6bM6epnZ zIB>weW_bA(+F}4VdZBYb@P3gje+x(+EvX>Q5?zN33xnoPK#d}3#DJJD4?xD9VNx$a zJql=eg5^I$<UxS};zPq1#C&-JoI@bV&!f8mo{+F48IR*GDixqeIqsrT1EN9E8`13n zO%MSrkip|l9~B8u+=}zJg2v@Q9)cwZ8;}kUaN4lw_EE9v4pFfHB?$0jlmJK*KY!1B za0BEu!*Lgt0+21oT~taybT?>5%SWXKH4*TY*1u-xc2TK;#XfIo1z2weNY8N>l^hV= z4M|+xJ}L!@Cy*k$+ef8@6C?nN+#HY*pb<BoQZG=7e8~+8HWwApkpm#t)PMsl_5c6> zpnw2#A|M>lVFV1XyFe}m34>e=qF<JR2AW+|GPqq-a=^BN(pC?+VG9{E1|@T(F=LQ4 z2>YlMfaF6|N-!idy7B7I03}_JH?ir@c&Uj#c8oo(z6^x6Bp|EGJR0AC=VCe`vq+%+ z+73{;)V)UqMDNo^l#dV*kM8{|z~$o}@Z=e&Z4WAC;DgHfu>KlI6kJe3XY;`ICny>~ z!#R2Ut*6166qFW079DF4=ztcFATFZ62DYs62*{D({u)RYq8MCAg1E4j2c$d!nFz`) z*m`Zb{H>Evto2}h0nW;h5&GjT;CVYxsyg1H0$QmIVuB0=c?M)4Y@!7uhb#`CXaPw; z@(OgK1vKx4W0rFY*tYIHV4Kh;S~5U6CY`^v4D8%)h-+Y>m&V_k2NMT%WYOk26FNdv z;`v+Ckd<^oiUGu2CumBe8zKlQ10k$#$TSc{07(UOk_J&a#__lA0GE#7%p?viXh1XC z;Alp!#bfzfK=Pon0x|^+Qvqu!{e%{s;Hd0w-~dGkfhJ)L_RbdAgvMhGAQSMm2;rSA zu#WBqkPf5<Q#7cv1&uUtW}gBoEg;iaAU^W6T_x1-V3Xh-a!>-qJ#7b)1*Im)*qkD0 zj?SUuT<b|toJYY{I=~bm=F%gfb8MiD4N92Mc`pzXF~<g~fWUKEAXU)l?uN_^g6G(v zu?nI<$q7^@MnLD-_#wUonE>)7c#aLmflfSv#9?!6APcx52?mk6K<46~5d*7$XzT6) z&qIRBGsqMrQjrK%0Zo^9XYD`^fhJ}ACV~`#=h)B^BPbw1rBXP5E9mG3h%6{Vk2Nr$ zl}gYqGN@E~nfm+xf5QVFy^yi+?kV6B^H>u|3xfxg>(M<aLkrYcfQ)&7ic3&c-3eMr z)(Puhf`?Knz>{Dg5^NsQB-kmBU7$FIOoD-@#V$Z6!A^OA)_#B*CLq^(G#&&cWYE01 z2WU2{06b-Qtvf^|pxZ|!0NbP%s0@SWT39f+^S6So9zzZW4zytK0@V?n9pGSy`vn>R zMa|prrjHwc%X(-V9#sV__fPzXH4s4-A_w9EXdprgVo2T72^ymWEp-7m!l)mJ7N~&; zYUes&1|miZ@aUd&1G{TYK+&Z^W!J6%m3G}BDjKM+HR<+IF(J;i0^n)cDJb=Mr;CaT z$hD9qA=C?7m}@0aU8@3G-h%1cm-Bz(Nn7IlEm83CE_f<NYF68zG<CoY9&F<$I*2w7 zWc<XT1C(PyLnqxJf`suC#C*BNv4#bZOatno!pBd*Mk9@%fYd-c+wf6myyGX2z)fgS zV;j_)*ANGdrxF}LF$XsV(1)B$R6t#H1&|SVYfFe_(7Fw2H9y95p)h|dXu~zAO@K6C z4)3|c3k4zM`Es~4tVDp#mlNwh!4iASF-Btj_A(SY`~b2I6ck9!0D+SGua`qS-`#*F z$IsvL7&H&J0$RAi#xG!HC?9_-sOkijq2TeQ8936y%lF{c4_pm2Ep#^!6SKUy2VOwV z^}rjeFC)P%7nsA5n`}J%Ew0dURU~Ox{Al40G0=Jj@H7xOuYm|``Aru+zg-|Zzk!<D z@G#cs1ot3N@*CJ_r2Ga_0}W&1@>>@;zrnH&sGRj^Jfs1dcWFMv0h+W>0M)k|9*u`) zfCRfsK%=f^9-Y^5&2GOmgoYnH;luNV2!9Jm65=7aG%Vc3Kpi}cDRod52HT7;vb#Z1 z0mj(kLk~SZB09+}{}AgDG>$dwz!D!|qmkkRq=uyU0M(w500QM$aPN&kXMw=@@dHr( zi7)UNAl5<R1D3zh$B#E4`xQEV4Du^g#*c~dC7$tPVtj})ehkupQVv4bBY;92O%BWW zF(@dYQQF-=jMMRqAA@WoP>fMy{1{{nl7~^pk3ol;fabG7RU2r01e5|n?RXHqPalzY zTEOdbJ-QoSfU^%|ECJNO1`Pp#@;G<}T6T#TC_O=B!Q&&1M?f|lhKPbK0gWHR5?U62 z>s#>tWROW9D~~lebb-q`sC~V>(1B!-wUB{igfj3L35bgrBLNK<fXsr>m~%9l{H>rN zV~BG=TDv<yr;Q-3E(HmCu!2@cfF^vwzUzkg$D<p(y&PmTw9naj>fkT=gRi6+FCP5K zdhj80C#1`FyhR0c_6Vq3bG$_bWIl)qa~8B02fMr$wBi-t<+VO4DJ~r+yF*k`z#Gd! z2E$E)EM{#!#L{`KTf_jmsMWFahvUH?@{R{zNIQ1^a%DW^*!T#f%SH3LL&s0BAs{C~ z*Uh3?-TdHz<M$gbod-Iv^@gxL?3@B#zuFC<S}*a>KiJ`;lFZ*f3p_s34e=;sL<M9= zH^jRT0gx@nTU0<n3G)B(78TI>D<CFRUw02Ua8SoZllWVi!ASyY7A&bG^0)qmSqd_; zy9aC>Y;ZiLBSa;dzx6Y+l1@mctQ%r+CuC7GbifYcL{PgLy3`&b0NOqPRe`aYAd0_r z24o3*H#Bv!f>-)<LXrpWScfDcSYkvS5sBn)O$VQ50g6<ZLd2*@1b=G;SQ<6RV7?CN z2vG^<Zw)|J(g|6Y4-LM~78TI3D$o_|5Kn@J8@nOm5CJ3=phHF&7#I+PVL|+@-t3^6 zb;C;_CqlA^MJjBoCB*LtnG8ixo4p4-_~+3LI`#^r1H4HCG?DNd(&K>_1jr4uK>n7m zzhN`{$SPoMgzKOo3FHY2kkKBE2f=oN7Z8I47+%kSF5kwrSsFA_0u4{lW@&JShOF1= z{O{QL!-w%$x19?ti@T`!xFGM?_fhe10iRP8qT&PI$_!5TQ@|-1%`}WX(xAK!+9MsJ z;xZGo(BA`jcXWt~3)nnRwt2Y-vLYOm(7~fp?2tJW7$2IKz*29ZYZ*X(_h7vNYNtV0 zg&&9P>jCAs<1H$nV}n3UP+UO<8W_4^BfcOxWO4Y2FGvDq#22(y?l>fcfebqiNk$-M z_Z0BXrtUppo6tsl9YCXh_RyvEAVXo<+73GG2g->cQ=t0`K+JAP+0hMIC=MR>1F3>$ zXJ|qN5BouLEr<e{3mW#bg%11aLjn?%<UyeY9`=KAppFNL!-oAp7H~rf3PkAvGPk=2 zT#!LV^FZYo%4i-~1w<Rvxe$e*jD<}h$Qt}6f>l7vFGv93FcG8>JnRP=s@Vb_r2`F_ zfm1`{!2(cr?Cb&Wy*b_jmIVdv@fH=(0Wu&aNHJtIma{vMrTGzK=M=CUvbf;)OU;iM zyZ3-4IDdg=PaXNU{pf^En)E;$2b~kZTYexDJ)l+ubTrTcw4TGDGekuP<SfX3Y|vPs ziwcOu91+|Hau{Sp@FQqh{0HcW;5pEsO##SdAakHOA3oO7U7}(DnwSGEf5$S~Qu`ac z$pVyJ!7;w-7YZL*Q-h`Yp@V*4Te=${zJiR9W3SLac?VpKVC-&#&K9?VTFsDF2Us0P zCuC;`WNApJk4g@77NR>uB?mOKI3G0CtN_Y2^8Ec5!QC|Q78Fqa1BDJ!hDPKQP`X5| zP38DoL4^)@M+-<6ls!OIxGd~61RQx8Qn7dUfU`6>>wz*2v`*>n0cUY=)&r$*Xk7v_ z8<h2AO5;I;BrjL}1P3s-GRqh={Rqk4-~d;K@Ijsf^FiAVp`{my5A`gF`O+ISH3=zz zxFLlPqQV0^rU%^kg5(xZS&EYXz$zfxpq_&$1Qkix6oP$?*F>-ih<osx2vYd+Idni6 zwp9(9a}XI7lsceW)1c7|DqBEIP_hTfdUPW)Do74l9G+1@5-1rJR7`+WLXtE*qe3bI z_=vLvD5DB=hN$p>)X^}b3Ur64NOb$CNMOmRm%c+*gF)7}fKQj)qXN3H2-H8B0pfS> zQ328W3=sVjh=@n`{(>%0_kNEG=x}{d5d@|ogPbiYpyd^?DO`{QxQo)=0x=!YG3im^ zV`N|eP0)ifHpr@D4JTmz5)c<LgA2B<@d)UmCh!a{NEV_P+&ckrJy;b?L2hbM0UeYN zG7&<<8icS@Ft(`hf^3CM;irJdQIK4QXesrmfCgguTR^M(AVmr|a6ryS8XgAq98q># zft}KL5ZrV_G?qY0wx~=1oroKL;1j=K3z!XZBv?J`i4>62k#@9$G$2-tfMTQZAOom5 z2sRV3gA2(B@aZ2d;1~fd^8saJ&{EeH70^XNkfaTf#XfDgMTHyWyjFF1;~NxpAhob| z@)Q*=unJMI3eXl-Mh1q?78TGn1l<q{yv~V#+riEj70~Sf-B4-@*bST)_@{zoSQr@? zI(k%?!G=AC^+G^_)7b)EP0<Z;b7zYRXiY^oM6emWn-;X#q8lO(5kOMW-2+w+YgA8B zVFKGw2X3~*1FE}0zz7uBkg&iXQs~>LdsIM&oH}%DQTYuz^{aIUAE?O=G8z<C-Jt3Q zba?=@y6I5?T>;SDqXHJ20_H(0ogNj?p{5{%Ks6SBe;3#waQy)a2hhG?Mnv~uipnRj zbtPa`AnU-Xrh5l?ISyoNjNv!%K3{Nw(%AyB5<;~eaO9tIz@?)_<s-<HmS>_MM;l%; zJmA=Q5I*y`1)SGC8jlr#SAc!@=sX8bWtb%gsMdjG2(T+4X%~Dr03=93?gIq};%t-_ z6$?-b2F<U6Xx0q)5i%H=^%K7Us61>@F#xHA>F93Y0H^&H70}fXpz;PpgZ&E1D3F{5 z&Q=<X44_r!5F0=7M?ehv#2?e50+Avv>p@He`Ghn-e&W~Z0eiNy2b{w}TUenP5n&i4 zA0uUUxD>*lkN|{~uV8<I_egb~K-!lK^J65$#!vi$5C$lCz^-mQ?$L!58ldT9i1;Cp zIK;qnKE15Jg+cjY3%GR&T5k`s&!ck+xPtfTJO!%HK+QSOKn<vs0g`5TnFndtfl@2T zgD>Ag+I=uS)Z<{OOVI8p*z)d%2|^(2P-7mFW3ZeIut()ODABfpG5{peflBpmM3Hn2 zEd37LbOWtRWMlvxgbdn747!O6RP2FPx`EsQDGWh3L4ia;D+d`F7(gor!8r}Fa&U^u zRj`>`!DfOM4>B?^fLdJ#J9@w^GXB<8V5#FR;L-|Y+Hpvc1Y$zVMbJ7dMg|6O>kV3H zfy!afVndiPwCDm+Ama~pOi{Vaz`#(N1<GMB)gb{2+OY;Q7POuZ>Wi14*%M5^f@Hx% z#ZV7}`QR03Eh-I&U;zaHSjraC!~;2w8{&LK@e6WOHzWynLke${W*b-<q6g~F?kOrD zgE3USG=bKz(8zCqmc5|-d%OjFO%K#}B_KzEmIQRSfO9!!*$Zk_f{IM=nX#?Ez;OV| zIv}%1DtkfAHjqJ(V@^TFf<n9-;+*at6_8U9wa6iGYS;$14&oD1%ie<^Q(6)^C@*_o zHbSx<XjLI7%#OE!9SmZ=w1BkmVNx$OK=VDwV>w{QHy$hi7pI`br{F>!oTVYz3#_2K zp#rP`QJ_PGVbKT5gaoTjP~3nNcEgu`_JF&#pr8kzWeVSV3b6+shj5Lc3Ke@DI_Fqt z4|vTR$S}~J6#gDi83m0;P$`5_hi(GJK<g>Ac*NmTNc4730XL_JsarRKOmB&1Lylk2 z-X%~q)_4$HsdjGxo95AZ9vtY{YSx$Xko8j_4}c;RxfoS}#4Swfr3h-y!X9Jz`~g~8 z-QA)Bq7ZEa(BLS43p2P?25Tdb?hjB5f|JZ>>j2uG0kwdJWa~f?l!80AfRDTYwGP0Y zW9aE0(AEKHl>(@B02=WH7bPzPK0!vH!D#@sG$B}Qpd4HTIUom=$tQy{SnGZ8%mXNS zfm%rL5(CnH=tOC8GedZoEipus5o8Y7iL8(U5vfh~@;!8P3M}8<0LsDe>Afi`lR&O% z5eDs-yY>g|zzwhtj4mL;E^udWw80E+ClARiVFYTXbZ!9;_kywncwo143V7i@G)sVn zWI=mnAO|eHba+ox8hLpFGL8-^<Nrg7dr+eh#C+)nUgXmP>87Ej#}Jir{+4|||Nnp4 z2wm(3PJ<Y#%J^G$egFUeW%YOPm?6j-^jfCsBZ}0^tdH1Tz5?7pz|(@*09F90+2Ls) z(fI~73<wqlAblW(h!%v8N&#rJHlM$h4ZI!=qynWR011G#;B7njs6ZFT_uK-v2XOVg zLsZiETaSa4fDDF)Dt52ot7^d`0I1z@7nM~0786R_3&kH$q6@jb0PQ8fBKf5hYI}in zPk<T<Xzc|Tl@$J#ci=L4w6Oqb>mZ+Zj;*F4w)7v8B_k)eu~4EC0h%s~04;3`02hcp zDgmI<5V95erO_*t)&X)#Bv>S%v<`e!TtKCPGk@y^a2f>VQIyhv;&uU~m_u$CNTQBr zptK7@RGj!*v_Xx6ccYC0kKPeAiUvAi3pB3-Uh!`MO8N%Sq;CL9`j932FU_B0uTIhC z3tryE-4F=H+YnIaZ+Qx82&}-{5K!Z9F@5#_|I2SLiE<7oUxDjB)(c^f!8=ec1BpUt z(2y%+*cQ~y0f|FYE5t)ogDPZ@D1=78S{giX3mWhJ#4peSZ50aifJcBqGt&Ii5Atuj z*f|A#K(!kp(!B*dx$ym3>nHxHph;KNQcln?z)SgONTm$Qm@{a=2;>|Hjc`0@gcu|a zc0BB;xfT@#P@&en2TX&zH;|!Iq_J25@aa@we@MUvph1Rx;un~s0uhP3^@%^`Fjxub z^0rU>kv%F9h9HE2ZP*s10OznREWv@dK}diSoB%Yz34jtD^vua)PyYXZ`QaaIt`D?; z*Q4>x3y_yO_ozGo(_2(-favZ$V0xb+@_ZjeX8#Z9d>?2y668`a4V&)+Z4H3U_kko} z^L=2`K@(=6;Z4x!sTpYItsXMa1Ty$oLqIol9U_Q}nC}By*LVcvOz?akNEV_PJl_Z6 zda%AQfut_b;3g>AAT;KDpDD;z)cHQR%aG^$K==P5&-WoKf-Q~$&G&)qg17*3;(iNw z7#Ji98cPMum_at>A!ZywJHq%|dAUJ@L$ICTy?aznfJ40p9O@q3osgpqdsIL{2#R$O z4L-KCbBhY-7F_TIk7wrr(4r|2ADk8qFM)dA5U;mDOk%B20j&<(0v`4C=sdpD9uc8X zCEX2>BT`$SmhA!4U<1KP6p~S)idhAG5bMxjHbH2MeW2SG5l8xi4h#VK3Ty~y1`re~ zkg&R;k1zxhPOxx8WI#}c1Q!Az`#<pu^nhm(Kk-NOfQN)X@kbs62ME}qkj53rHc)~D zThe&^g$e@$*uS7q0cBjUQbdaLU=;ubPAAOcFfV?9ibA{vlLal^>g-WD0FEcfC^{&5 zJy<$>RE|IeL9qlD<msLQR@uD;EXR2Y<ada94BZ|)oaZ>fryX}rQ2|}k>d>)AMH)Om zna>4E7RMpI1L$BlXwVbH1Q`LdfCI#Vn#T<4-9WsKa4WKcU7$W==QV8u%a0EH(~mne zJZE&^-*&!x3pmj^c3yDNhFIugc??Ox_vW7rpd*=^|1mi7Pd(_uaUP}<F}4D-mKids z+1Ud&t{Xnm0_x|0)_Z|^l?<Rnh;W?;vh$cdKpwb&9)!qBI<ILLaA3F|q(yrQczV<F z8j{1W!yNt#x5Ght5e^6OFdTjb>Tu+=1xq3ds-WTqDK~)>!RP29>F?kKup-oMF(}@^ z(yR;EK}JBr1ho7FY8z-Z9+U}E3y<*b0MMDd-KL<FVED255hLeC=xrXyLCHr7oO~ct z3P}38JXjhYGPa!LZ}kSxHb5-`SpZsd3z_r+rC!7oha}h}6R>i~0#t~5!Cr=rR6$$? z3yck*{L&38t3V4-!MP4Sw{<tn00#&$UdEj85n*It01f>KgPqp}p0|d16g>0??va8Q zwsrQXfUdXi?g0;HcTWNHK$Gp@i2~3{4v<0M6%IWyV1vM2eo)Q^tw07(0YZ*5hplpO z1FHgA2MG&USq};aXpn;91y9_4zl6L9d5a3DbHv|j3O5&I61e1mo^%em&XvDa8LR>| zt{_X1TU5YnC#FExPKY8a1g%B|#cd0?^Z}hj4&j0N|B$5;AQp6K1w;TewuG3j1o03_ z0<w0(O#)OlAp&6sDB3X!&jzR{sBi+UbOR+d$ZYEw1QV3spaBNUWgv4Afk1<T7P4An z4|KK0ZgG%jAaw!UTI3?9JAea}WTu1WmQdDbw9f`>MR6|{jgvqcLHE3Z(%Ng#2ys&i zhDH>90&x34Yv@4Q9)q;C>l2|3Y#V5`AxPV8khZ2@Vh|sK+P|Q+=%5tPqXLQqM8tvy zy!cx$fmMP$1WDbnD1?LyESJNg8x*maYGJiFL{<Q#03_to%>Zi#>;xUD*Lkk92Rv^M zYPWz^0D=n%Q2h*=rUdDNSc+EJf+V|f)wEl{H7&?MP(j9d4rB^M1CJx;Nf*v@j{H*( zb#4K#t90p@qH-P-JgsKn)J$Ye3o=d{R7*j61CSC7lwH9Q2$Hqt;GcA;;SnSMwo~1Z z<ule>z%>(0_*3&w2L7psn*V|8R0ob<5XFe96lAnEyeb9pFlwYZ&>RHvAv~PmPS6I| zqmYGZ2p2-CTCfv6P}~SoNU9q_dJt{|@i5%D1nNdmg$M}<kZU0c%K=<AAk~_nwE3Fh zW#O0q{|PsRL4E)=g+Vm9<p;eh1i2{;TJZ@^Qjn%Fq(=%%!LX(<Sabw8g+U8b!GZMh z(--iFF{l*>Y9m5hYfvVrkcKirg*1ry^24|P|6d-0%ou>`OHgD(YdsM2^<#Ks!!Bch zw3I;$;Xw)@HNhSz6QmeaU|`nvpr!Jlq71S$U>baQAFLXb5s9tsK^uBO20@kufMOn$ z=DJ%{c0kHQkW&!lA!J!V5ZpRQvc=Z&fn+}ma5}`%<pK3uKt2Q;f*Kr1!mz#<sI&y7 zZjcXspvxS=rh}7rXAk&t0Z<_ay5s;Hdx%nV4|weiM6egKEEi>M7^w4w+?ARF?Mgwe zI|JJS){LBIA^m@k#^a#Y9k@LQvJW)73s(A?;id97aN+?4Bq%;X8yui3i(bxwtXzOe zz3hSTH-OYZlE4-y6Ly$4=!z3Y28JD=3;a5dbshv;0tydE=uLuLbTb9qjRl>g1Jw>X zIS0z@?ok053ep1#!|oy$&X1sN6Ch`UCZjtc)5oB-kC5r(3h*8)&`v|h(p4L952Ocd zMK|cS7SQ-rcZ&*0oPRxJFsidf1+?xM$y!L5fdt`^%6bCa&P5t1hSh;^CEX1dz-nOS zG_;69bj3kl0C@$J&Opfl#0N(dEIEKq+5;sA5Df_|=!z{+2N;x?AcEME11Mo4C5JeS zel)1^0T}}h`^MuDs!084&}1gWXwW7IP>``EVDk#A22>Q}@<G%P3{j~8-7!?n-?{?4 z7MQ3eppQxkXl|jHzr7Ev2^5c@m;p5bAQddgmmnUb)i?>Xl_UqGC7Zt~7DEfT%7*EH zHT!&2Qa~D#`P=n~&;Yg}2BaaHzv(}C5jLn`19xOV#W`rN7E(thL?w#9^%hte$nD^W zrE<I>HKjmSz=8+WuZ9<qTJ|s{pi==M)dV;MS-^@wZMkm9a7*_Ta5skYBC@%lvn3Il z3RpNlA-cmgDv|uH3&GQ=$Xd|tf$XyD^ic@`g<~*(YcZx46z2zUfSm>^)=<pl0r6n* z8NdTp1gcoNdmz=!6crE;&HX5v3V2Z6AH?7K5F-_MplAu;fxF)a<bH4d*27>epmfj; z8PI8d!N@=5V5f_UONWn&Gk?n_umWgq1eKfEI|iVstJZ~JwV>jSv~dkszK6svv|xj$ zHApDIiaoSuK132K2uc8;aD|uy8Z{$wOf5vk29(3C`CE;_UO-Bi$6LS)??GKpWTTNI z8QjbV<se)NP+R&Sm87<!L3$9aXb=yh6%Fd9p}P{Lpn){@K}|GdccLh<Ms4qd)RO8_ zkRF6fK|BnXf|`aPmx2-sWIz$*AV>iNYC52dIfIhs%fpc7BdE#*wJD)>DTw)U{SR=H z0u&^m)cI2X_y7MdwIGY1VS>;`Dp;N$w0Zg5>pQPcy}p6efdFMt>@7}6?Rn0l^ANZW zgE$(b9I3hk4cmbiWrAi-48ZCTULsuAfz-m&ENE~T)J_HoQY&@qfKs<M?BvPO)D7-& zgVN?er*80tme9f#l$@a{70Lv;5XuDQ84&aJikH=p_1mDaa&U^ShwR{l^YtO}*b=|P zFSPkuaHea-I_wNe=;*~bBzJ;`r{R-AFJmBc%^>?gE+puMmn;wsphOPx08(f0<%(C} z+zgX?IpY=B!SHq?*a28al)(c9I7XCTo_&vM?0r;gkX>*Dy0`=!GOWlWSkPz!m0VCi zLYbgwf-*tT1Z9Ha1H?qm#~hI5C*ay1QiLGdBd|@$U`bHrkF6;HD-Z%$AjA5oO#m(a z*46NiC`>8LTzJ*50;>9z`CD5tl_I+j)bm1iy9W=F<3Zh~?j9A8n(is!P86i60<$!L z2b=qp_*+3k=#cg^OexG<xcg*4?vv(k{R&<;2P*&3n<gS1J}SceEub+^@OEoZ(u1ZX zP!$0Rb!Zg<Vxl)#5L<OZRD}3jcY>_}?T&`;)A3Oe<ZlJ7$OoGa8utd716>>kVj>3D zLH2=nUV~JD4k>|*5v+l2(gBT%LkG=4v)G`W*8-&_AWywK_~QS6@F}p+@)+cUm${H` zFDUVV`F|mNBp-t6d9V~8WQ8Zl1z>(4DjymGU@0@mULBAdL01w&I<Fue)M?OGGh~-F zs1=2>5eLZ+pmS9j85kf6L7v2>5Nt4{9S%{5VIo)sWD_fX6F~}JUIJ~$M&5-2&YO(~ z!C4-%p%qaYJ$U{9|4aLq;QS5?wU_#+d}#Is2aU+f|Nmc~dwucssn=&g$sKGqD{MR) z)GJ1a!b$_sa3V+)A`5MN!tygj5-JGF$0#a!K!>t|(#RG_10Oug3)g~}<qc8c0oB>u zgzIdWBfu?Es7c@!DWppdpC#``R)E?f1*s&pCIsn0wDUkbjCLOK*fY$Pkme@LnJB~0 zSe2l)NI~Prq`DNO2jNl>55uJ-jX=MwhNLEtpP>~V$fr;y$b2XhWGaaHdIjjFP(}s@ z5C?Q(GJ=Uk^~<j>kXPG)_t%5Qs6pp{freo{I$`IBKo0iU2U<=JZE`|HJi7Ncbc1G! zA;;x_+Nq$?Ea>^@Eh^m%3=FXKHXsSudK<9m$m?xD2M_YMmchpWKqvejYq$Yha|7Zc z*4%)t#5g4#q!_&B2CSI1!Vj^E19GYX<k)jiFCH{T3)(&e$}bQ(XeI&;$b$ASGC=lb zf`;E17#JXHGYvtPwu1JQK+h*f5(SNVA`Ybn@nEx{pmXs%rl>T7XLXi>dwif089WjK z8XSZ7fonQ^RI2$~Hi5S@QPBx5DkU8uD#iS*7I-Yr>F`m>=5NWMzU3($Au7rIt*5~e zi{0lj9X=}2{4HwKw;XyJRvaG7eL8$py!l(6fEVRbHP~G`LR6gjTR(!mh27^i9X=}7 z{4I;9Z@CHN?(h~omg{u*sA%)IBv9XSm5vY<W&T!iJeJFJ_^3$px5!c7a*>V@6=D9? zRbZ2`2Rl!Pj|w+`%N=l|^fklFOz1jkc%g(?YUiWE#ov+x>c@ji7GxE$b#{KxL&&y( zPj}~s9-0A~iU5@^kg^E8qO22X$o+K}sLun@0=tk4yb_IozL);cZL(x&1?|El(^k-Z zzhr8i2W?T2;oxNQwQ7*B^)2LNHBjLUYJa~BhV*ga`~zrwUC00sTz(cBpB>T#h0B+q z@gJh`{g96F_Go?+0lNPz0JL1&19Ty>$3A1k63H4B50CEs96g{4xJJbRB<}#NdR<iH zVfX1eKq_6(8T^PE*GEN;zZJCb1JpxuQSms|kkA8O-|C{`;Q>Ft8*Fs*k%+^POQb!H zHG)(zfG(8w@PM6B1iD<h+eZZ?-~paO_W|9s1|}@QlS1Gb$W9j(q|?<kz{mfCNbs5{ zl;i(FckO^ezQM!rC+M&**d5sCL5F=Q!0a{v9c0!GzVX`}w1q>#qw_qTGlYIYkADt; z-Nyksq7=LcTb#cIBnb%zxHK$x-$!4M49?J<dm!f-LN}X&nmwR~!9Ej2+(1qT16{)h zjvm7IYk>@g9*YWTnjqa(XU5;^4=$}hMGDBKV+}7L(FL^zek|&Q9tH-;v8V`T;Gzq} zg&jQPq5`^J3slsA!Wnwm1&9ec{yPHPK<W-)L0uG9qGHP5$^mtRiwam3>}-n=6%+ne z&<ZASvj$`?^sq!oE67E~pd$oytrlpd5?l#rZ5F6))uIAAkgOAO^A+f<3kC)T$f7UM zW<}7U7H|Pj9)hX>orb}HICmyQMUTIA0=Ucvl~b$`&p__c@Mt{vLjjaUK!Zu(*6VAA zmy^Ni0d`oWgN6rknXk*=0+I(M2~-uZR8b1)Dua9q?%A<H`ol0jG(^Etub~z}!heSt z$RRE&0ib3&$dQowX3#AmARZ|E13=*qJBJY@0T+ZH!Uz(DjA1~p-2pYNLHm(FW<X4w z0%dkj0pGORy$5`5E7~E9khAEZhcJQ+1&tekHYTV+4`Bqw0LUO{!wAF#9gYQxwjLEw z!x=mt0a67$VH0$e0R!|b5om0ID3H0J@d(vYLr|6c(i{?gAQM1=1U`fj#sQ6eK%3vN zLl{98a6=LZBGrJ*g&v&?>H33`5y}_=SOr8I=!6hZGWz%*bVvw@_36Lj#paLy!5)Ar z1X+XMM6e3T#Y^~21Std`!U)T-9?<bU7Zr@l$3TamfI=1$ai9(Zh<DsY#RWuzV&4Ol zBoG5;J}L!pQP_~1;l)lLl@ic>S=}KjC7=;9P(j|!(2afgPKCc!6P}mB#={JPq^nLJ z73f)mt)RoNKsf<wo(Hl!G7#=S4B3IsF7#2!03BS2><+BMbaMQy3&De87zV-J0lR_? z6r`ZU1HL591>SEG=<reDFR2FKlm_b7gRW4k=my`e23aK=qQX~N_?iK-SQwHE!F30C zXct^8L(5QBa4FI4qmqDfPVLJJpyuC7P<(*W>PyhQ&~Q#JDC47FtX2hSqd_}_9<1Qw zXrb0wAQA-3Ityg$CW5xTz_CZ;8<3ws{c-R(Mkn-Kj&8_tOZ!0Ut-&Q4s0IQpAM)tl zFVPDsV)lS{CxL`P>qMZ9f)?;<Tv&e`Bmrp}K#r3E*NmW?3flhySyT%;b_NvpkiF5z z8VY*BZ3GAx(H{p}*?0uxRPY`ckV_zn!Br24i#U-Rw0#N`2oM@`4-6w@2{klTK&@gu zAOi9gWSI*{H^}jjTmh=65c^j^M=J5RE(fP=P-=N81Kmpu4nI))2T{=XsQd?Y%UeK7 zAihLa1S{+Rft!+$BgndYAm^fVcb)(r4b=lasta`N6xffA2Y*O{wi0ZC2;)3G1-1bh zc9#+~RvV8QNP&Eb=R`Bu%?yx})Ii4zLo7b_0$j0t_vpNa{U!!bn1PjcH&}qSx8S`+ zV2a8=(6|lOE1toIG#)bm8G`p7ftQWYnh&g_y8)yF=~#-tARBJJo(Fdr>kU4T=Uc$L z=|G~m5(ucF!QToRJjJ{S1s;-w!UGcDAg6VA=&&#_boPJ`Q3Tlw67*nIV1c*>lF(oY z4}9P|$YI?O^;iyk2epxow}5v6fy(dWEh-_Pa)SYsFd&}m?ok0Lf*tq{TJn!}>U!e? z&=El{nui=aKuc0UC+36Be4nBMVu4R=2kk!sITq{|$Z~w7W8XnW5^?N1$YI@6z#d0) z0Oqmppq)V7kPSht;A7u=RKA09Pk%J{s6XgYSdfMr$Z_2ee?tVo7D2+Ky9XQ|h*gc> zK&G_b2AlGl;iV_^^lC^fd;llw7D#^ThS8XX2516@zcn73?J3VCtl&)235#|ZjcGBc zNz3253~VtdJ|NB(;K!PH(5?{Lq5?iru~h@yZpE$}cS?dJOwhPx^Fzi?NT`C$fQiFf zi=e=V)TYqZA~<@cfKzDq9!P9lZ~f)KKNVwBKx;a9%@L>|ea-Ol)F0H_!yyYd1tCWR zgZIFJQZ2YXGCT=d*a+Iy=FtsqjDrpz0f!B=>hfqj9*_&l5>vpNRiQVD?*X5w4Y^Ew z3V2C8w)?~(=LCb+KVZ319CE}tND|{#@uz=rxF0m;4R$|>f#iNr<|6EVkSe(QK_f&k z_k((L*xe6O1#%)*_k*;7Br)7yjar~ufGZ0~k%Bv!fLw>Uf*CZ<4PSWNss~SiVAY_} zad37A_su(d!25!`d%&9kyQhG8pv@rQ{Ys#*ZjeE%LAkhx0c;Ssiw90*kW`7t>!8yJ z`CDIsa|`*EAfoK{KrCDaC41bV0FuQF1yGLx<oLxP$G6&o9S_Sw;9Xn9h61SX1Tttg z$e<p6utB&(VHQYL>recl0B%WuDp=fyn}VDQ$x}qt=`%srw&Z{vhqHtP)zSp6p!ozD z-~vT3sA7Xwa3JPO7D&GiCiU_Q^Z)>uD^XJ{Z0jg=QW{iSg1iJygJ|6m$m(cN5QA1n zm)1g(09Yj`wSu<aL8fCmAzReDdsIMT%n%-Q8w-*>@Wi(TyyOn41qW$@;X3#3WfNp{ z5ae{|6dP#%9K?LR8k+n-A%Pqypv$n4q+WuGA&@_zfdW}O4yj>~RsbS77Ni(^0tR(l zL4g9AW`hL^SS6JM1(FzX21+rWKmj=(>QhkKLTUkmr~F!0|NZ~}WiG-1P%1$7JG7<S zy9XRmm@DuhW>10?hEu?e$Kx&FJ(W<CKzlJjOz7#xpk?debc4JCAGB<|(?_KSbp3lZ zf9nD8%tbe3Ic8@IxZndN5wJec+I!GJ3EdEJ(As+tw-aLNamXo!APYeQQCq++frkO> z0&osMnh1a<43K(gngKD9_8oxo5>8)3(#{lcUk`iQfi|~5z6K`@gs)vx3P9HkfV`Z| z-}(YvEI?avNL~hO!tG^HK0t~sh?hZv2rp-#dl@d(-LL{I2T%JTzk<Av91`6SS@gmi zz8<~R6YO%3p<uUyQa*9TwvP&Qg?f)7*a%z&Hh6V9A6N;z*Z|jzNZl_;sez~C1}U&0 ziM64C0&l}sgL8l#M3%Rq3$lAar$&I9cF<UdCKXt19irmJ-+Gq5l~jm|Cx6Qmh@EJ6 z|G|59pi+cD4dwS0ye0><%^FlPL-Qnv`7#|c+X70WAU-thf|xJuA%zeq5uz92k5Q#w zUIx``&>{(Yz5_)JG`1l{6C@dfk_;%B?gH5WX=z?EJn7g8ZZ3n07q~uFaFXiY0xr=& z%ar9A7#J`QVtu(3)oIYI12X=l3R-O}0%|`J>uN~RLb9vDH3eZ;KLZ6|H*|0ZZEPS! z#e=`a40PS-kH7!_cejB1r?{?e0_`$~re9Flf`YJn4|wl6>eWr49x-UA0ov6~4zR16 zAXhDc;=X$dxJ!g)8phR4AOj&+H`#!%ZgN1qy2%E7brY!T_445d$mu??<Nz8u!8`mC zqGFBx#&@s@M2)>{MYR)@XW%t&h>8{H#&^&lBewZPGuRv!IC+9PA`Twipuu0(1w617 zH7+U^ATbN@2povz(8&R!zyhF+EG{YzogOTpLJy>~yI}!%P!fE-Du@7IzR=;LqF$l_ zQDgA`0ce00q!?mNcZrIFN9S>f8$dIV5Ot9GImjTTkBVApB1G8ZSVKe~cxV!20(>$I zWb142owiT~;ENhPJfNeApsQ26!3280zC=Z})CyEIzU}~d3t}r`;Lt}!rKAI5Gh7Nb zTnHKwMwWuPRT(r04KmE*SVI7^TcLNXgWUS^2PlVv0vn<R>|BTqFk_VXTUSDdM!~bT z9>_+4CJa0}JHSS*g&GCXj_h_t{+1H>eYbFFnENBa<E3!3u{c2i%?S>;oS=o%3G)0c zHn^Rjh;RbPY%ETYLvw-!E+;Gpmou=bZ{$#rCDsWbv#~fq2F(cuxSXJZ(+SeVIss%h z7AHudIY9%L6BdHU)UbtuB(Y8anT^E>5@=3Pz~uxvoK6rY)(If9u{c2t%?T2?oG=@_ z%m-U2h!X1rkl9$AAcE!u0bEXy!07~GVx0go8;cW!(44@5%L!A#hecxx1wmq+05Thk z69o8Mk0BRL47i*igwqN9#5w_FHgs7gs7!U}<bZVlAskrE11a2KMJFGBE2zr}DV#ly zHT-}~W<hEU<f5|(d>|pVAmZh3k;h$bu^`GVklFa$_i`~#J9zk8qH){d@E>`_u1E75 z577D`2hjQ;3()!>i+$#>`Wwvk=-%Ja2O5m70WHb_5s;(sTvYgB>w^p+lYlOu1w=?| zPWbp+A@_=a!qnne!vk1!S|HX3fsJlH;&B*B4LD_3c(8JSZ<+>IuV4bRj^*WV1r1z4 zBE-Ujb%id-q0l<N8`Q>NEx;6#(8Eym07=zL186Kbfaf!ji+mpb7LX*wKDacj;uD4H zLw8803+Rq>FyX)fY7R+&JSzcOKLozwn47=F0ooUHQIR<APyp(|f+qPTKn!pL#_%Mp zL*MD5A^_4Z06M7|H2m$NB5>S+1*8%bT>_ow9KoG^h_-KrCqas#F7oLHY3@9}3)B+; zD}YL(1X3rcebo&nAkNhQsnUQumy5pzc3_2ziUyK%H9(F48;jyx1(0?HgmV>;oU4G# zxgZyToU7o0#knXENXWUI{4JnkiXd@@!@1uKPr}wvg5n3_;!pg7u#^b05T|R9(+)_t z0m#3g+t0yqjTU$uCFvBo1Y{YeOI~__#=tIth#FA0M-7zy*MZyTjc-899zgT|pdl(y zF0TNklZt&7$ho}2qkF$VKPX$&fEH$f2v{yxhvo7JNG@*yAN>x_8nALvjlY!(o`5Tk zHDvTdThbMX8Gf+ojYmL^0q1q7GH?i2c(CpOt+nq2mzXXp6&|pIA|Q)hz!~DWi%JP7 zfq_C7zQTze#PdKtG99+)WIK2a03;1o%+dUiz0*g<1tj3n&C%(j;?V7*;=;e)N5!Gj zN2LH}`f(SP43I6yT~u;FbhnF238Z3xtwfXP2vHF)m3++rnU;cuff#>lB-l!j382vc zkO?3fWMhQ~D+BoADNt3>4e{U!a4F=XVsP9=1;c~T1vSkN89~|&kUb~>+HuCu-wGNs zgd`=fVh+$8o{x$SL_NrZ8r?oBIv@{fpm{I><gw!}Dk&hk+eIa(+ef7Y<~@#%5EXX* zR?s0*;B_(?%%EXD7nKB<2G9sy32222$Q!I5GC+w2X*lxb4RC7}DU~1>pltjtAbF6x zQB}ao&|RRx2p5$CP{@Je1Vq0~|M&lYH^dn>kWlY*QL*TDQL!<+^u0vIqSHks0~FjK z|3J=SK{o-syv{`hbid($kVnAA8iC4docY5NJ%7xgTK@QeC4YcTN6jA~Ww89A;SUPu zVVplKhG+iJ$OOgPaLgZ8==noo0?4bNs-qi3P*8tVOu(!^z^0?-50Elg{zw?^`J-TX z=8uG7n?J14^T!IR<qw95nE3;2I%@s^DTC#Y8N)q)tQem8W5%$}A2#Uu!(bw{>W>aA z`2%b^YW@HzgXND4!##gI7@qm#!m!OBw&?j|2i5Y2z$DE218h2K{s1Y1<qwVkQ05%Q z{SOK7_JCp6Kj+8-#oN&Bf9Sk!iM0282ij%<>fJkl2nL1^pd(ZmaMLPKbqY}W0aU#N zln>RP^#jUhfzk|68Y<5a3E?~RJN#Ms@se*+fc>dA?Gw+kyn~q6aTp@c0JCo<gnyt3 zLc`qY(2vST7q2-Ak)Lu9LNh#YhWHbm{sFNUrk?@by$MkL0Z<wy4+{r$+6Sr*-5!|# zaf!psh3S6-b=M0h{RB$G!u<x6e*sD#fYLDYVfJ}K-2?IcC^;Ge104cxrFqFEnfZAN zxrr4Dsd*`>DGKG8B^mjpB?^fOMX4pFMR^LvC5a`exv6<2Tnq^1nR!GgXJ9axAqMv1 ziP;eP!72z{u@*ur{Dsgv{zK>!vmo??l@NNy8VIfM2SVTY2cdVYhR{Et`Z=KLJ$^&P z7tDds6>}jp*b&f8v&d}FGB6k)M7y{~d4gzP&yWBR?GGZ5Fd=ylcTW!_<rvIxe;*7n zkbrAZQGSt?LUd?eW^rb&f@-m@p@Ctnf|H}4OSq>?h=)RANl8&=QfW!5LT0f-acYS| zNxp(=v7Um0PilH%jzU>tPHAefLRx;2LP<tuF_QAcqErR{fDlh#&nQ<H9R&|hcMpa9 zA_X7+a6JXjyyB9?yyR3X1=U;zY)&wWRdDw4c60I%4T<*+^$Cf0Loyl3A!$T9#7NJ; zzyRcQGZPSt2p1S5xgfy9G1!$d2k07`8GvjCxdF;Va|8o}Yp{<aC{El!1Oo$uYiLji zlnJIV<gvt^36irzgI(j@JpEkb1A?(Tp4ey%2?})u#hsg@Pp~VRv$4gVDcBL7eunV@ zu0fvuF4%1+HtyizW@rFr65#+dr~`}!$^qt32gJJtxdz7jIz~_y1QrmVgA$LSfteX7 zArTP@7O0N!^rOrXhTzbLxPeF)Sb|;P9~xp1@8TKe>EcRhJ~jkd40eK%1&B#F6bucJ z+z=WP8SfnF>_cH@1_gv6$Q1^9mX?-8xWN$N2D+3bSTdy{q(}wF1zidT1_owusl|Y; zfH#B`ul}JShR~FOJ#D5CS-yi~!_dfrh`4~1kPw>(Dm_37NU$3QEImNVNU$5|lpa85 z6oQfjw$uqJF#SVAjOd*nAf+V4=7CBN@N&{9exTBW5xkr<il<Y00IjdaksgfTC8jZ* z(}NMboHUMi8KCrF1TP(p2QEFp%SmJUqz5def)Tu&G>Lb140d*O!BKG&+mHn}U_mWe zP>YsuU10<-BTeX?3gP9U$-t#Tc*$roaH$YpGMWrnDl~?djHYx>1;+4F&~(63fib*1 zG##*1U<@x0O$RO&z{^83dZz+-DQGrusQ_LQnhjhkfR~A81D6UQWnzGv3zd5ICZ?dy zBzS1b6v8B2Eg3@!MT8@0+_QJ_@duABMu8e@3=9nDd_T{4@Q_ug6GVZJqhAn+<r)&< z3ZfBwKkyhQmYUuKRL1)I2DthKJBE1r;~1$RwwjOf@b_`iQE&=%i}&=?QE-h2aSifw z^Z`};o_-KE;ZQa)j8$;)40HDJrec0KFeAcZ^H_x-SGRaC&yWz;AVcgOW0D8Yh#aag zu|T%mh|ZQ<#wr8{$GcJ({rFmWp!5jBu<`QvAXo4htDh@$Y7is|IuQ&yo{mdC+}|f2 zq%_Di#1BNHX=i|&?-vdp$_MRY0;z*xn0~)-e-tS!dBfBI94fy4l(v4IT|tRI9yAiK zqX6aj_=oE#xcG<rfrUXi1kAx4rDtGZ5KxD>9-A8tVQ$1b;!5mrjtO+M9z+`u&M~G& zu?oQ<jzJ-z0rBCEo*~#%EwQBssBZuY2yikY!gAwSg)nD-P&q-J!Cpfnq|sh82%89J zn4md>(n<kpGiaC-%0zR7OOUeyI4LMtDY&@$f#!!YGSf4_b3wWJMX3rU8Hsrch6*mu zKHdnepcx+&o#Cz|=mZ^O1x|!0MnEi2%}Y$mNlj5mN=*aV?h@n@37ttSCTKl*dQ;O9 zOLIyf^OOpic?vE;0Sa!xzNof=g9vqoEmk2oGq*G+u_V7pp(wRDKc^Ht6^fYGN`%a- zDWn&rCYGdvrq;kASx~H}zz~v=s!)tgdv0lQi9%8;Y?98fpcs^ji*plma#D*>-J}qn zSd^EUmySH|XKWg4g)!-tS*(zkUjj1{G&2i#ZGM`9o}rPcf`)3Erb1#~3MdwnQbC3m z<(GmdbW`%n^FYZ5qyoi$1qPS{P{PI7jM^?JEg;E$bBO)mF>?5P1$~3Sg6dAd83qje z;GDz&&r{&djtq!#d+_mq3=9n1P<1eQb|@bv4?c2-fq{VyD$WO`Vd^-bd`>9M1*Ku; z!o*?YfiUx6=D^%30M#c5rG=ohFq9U7(xOlrHUlpX<%73<GB7YmLitiqS{h2rKxtVh zEeEARyE;Kk&>hntS`kDrFfb@VX=Ny_0;N@<v>KF#R+|jqeVhyo44P1JEhr5OA8jaK z2TJQgX+0>d52X#Dv>}v+jjMz19{>q~&bkNDpfiX;G%Q{~=ZAp!pnb?78njapM1yu@ zfoRaq6A%qrVGp7~D~UlgXvHLmwgV9i3=FXNgDy6LEm~rD0iE!938i821dAV7e89pV z7T&P%1>K1PvceLo{~Z&E$H1@$N_Ro&LMUwyrPZJ`FO>cQU0iV+N*{vKE1@*#J~5EV z<xqYcly-&EI#5~|O8){WM#HWyo?##%PnURa5bfg}2JYLSH0D4OQ0x{T0$zX->KhMk zZ-)9if%{C}KB(<ZsB#8ZALmFA+c`cGqTVGQ9DO07{!sfsvIvYtJvf<=pdOrDNKg+> zek7;|CsPvCgOf7}>cPn#mwH&*1SKsH21P9hgVHbvgUTup29-4+3`&zAyr2!F3K7mQ z7RU@38$@H)@+Pqgo_PhOB?`$o`N`P|$%%Oi`FS~&;2OF-vm`kKwB#uxHBTWZIK<Xa z4^;Jf`USiCC?u9Blw{_nDyWtyBxfY%r6a3DY7B!KQjoi_K=#8hraMey6+le}#5x{l zA8(|k8Hh%QYMMe`F}NlNt-{JrQz*$uRY=Uq$uCb$Q78gAL;<1%v;qjM7PK5FsS@IH z=y-^ypT4hS1WIj>W&YO;tDE4fH3q~@<`6d}C*~xBnr5jfpjN#?T2X3YX=+|_rLAh3 zf^SBZo<ay{nNw;-a%yTyF*t|{Qj2mkOG?l+K!Yql4HRm=8C9T`2*eC<b1JD4WIm$T z01G%!T!lINBP<5BtB@Q8S|tZ+VuRX`Rv;sgRN5+l;sRyWl)i!n>L7*|NQtK(EUY#4 z6asQm6N^(75>v834M=dL!`6HigB%SK!Rtptv28J;LKe2@iTWXX2iD+%W_D;J9;FR! zXc!ABP{7$ZAUwz=95j{!FHc}ygv8?F)FM!dO)OCW#eNAWMJX8SnSx3Hjl|@V(!?AE zRXt-+F4t5*iC+Z<tkxPqtwoewWZ8vc6?U79V-=|6F;vSiOHM<RSd@+}qF~lj@Jj_1 z%ZZtgkOTKMi!;;n5_4eP&%D%%5{07F#1#GVqRf(1h5UllqC{{vuOy==zcf7q)LTSx z0gjM0ja6_=NhwM#E>>`Hba4r?RZRjF38i_(r3D4~uvmoF7-$AEFu>Z(u(mRK8ySnQ zK_h^{As{<)^2?EGBRz1!$^&`4Bp+0bfqVPqpehVpPl39A1&Kw8xh1JZppp^0FR@3e zC9JXpS58I>1*t`u`6=L3j8<{M3N8iE`ciP;G%+_7&D+>gC}@2Hq&@~)VTi{HSPsT# z1+0yD8rry40FMGfGbRHALrADUcr@G%R|5~K6de8vC|TGTGRJ^YjAtYkD<q|+<|z~u z<);@V=H{lRC?KUHP%*8Vrcj=dnVbQNxcoBkpg}519R#knQ9}wTMMK>KqYOeq!Q++$ zoDZqn(cBN8r$JivN4W=5z@AJY(gTJ>x*z6WBNEjclc?T=MD?a5sy8E1y|<4uwEqGN z0qiuk^a&~!Q6@&H7P%;;4Q!D(sG*BBM;oFP8it^RUtE%3P>`B}Vop#hsGXWwtN?1t zWfp<TZBUt&iPSiUY&bw2Cd6JGgO&#&6(dGew-g-UDCGfYHBdk>WQ;R0xg@hJRRMW; zH6Ym8#~WUn!Ruc=1+X4?Eez2JTBi^0h2-a@Dj@4b%~n_oX(N+ZSX;3iR`CS{yZHOL zDnP3~L~#PE1tE<}P|W~pMFwPm8k)fwnQ0|x_A$WfCB~{C2F3~jMrj^)4p2WGG)4fz zpwzh#RMxulEns49VdUd*<XgZ5so!AZ6rd|uK<Yqs9(WT40|NuH82DTsG_eYh1_lO( z-B2227wGJE5Vr_40OihifSK8c6=Wai@NtmYpqmvy^2mBX_eOxk3PIgPcfJRVOm!eF zpo5&jbuj4u4Uqga5CNJ?VPIeY-B1GJ!o)y!gRY+eiTwu+j=A$qU}j#)3^Hf}GXn!i zUIS!40|NstdC>96ApKWBgLUAriiL+2NI&SHT72>yQ1eAVgK_SB4b03>SwQwTFf%ZK z#-%_P&4cu}fd<)}_yipJB;5H9a5OXbF){nH@ku!H2{?h&AK+kM0FAeSE&~Fo2VIWM z;KEnH^cN&s0G5M|(_oVmfR5#0lheT?=YdBq1&>?<RBkTF|48lxh1ndaTrjB9@6NY? zk=Yp%ri_p{fsIcEVvz@h!5yf6Y<~HHM@|G}9s>izONg5iAYqpP4m$%paz0QwKA65$ zpfHE%16?GID?VW3Z`j<q0BR;Qd{Er72anthR5{f6hst5IPXLsD85kI_$$@T#0{H>z z78El*p!zzYeg_v743M;#0+q9c$yqS+aX{r7@W{=9%3T3j>cSVm1P;#ta6Ih5BL~id z3=9m|^nHNp;|9e)D7~Eo#StW5@Id={&#~C20hPmMrVAdq1Uzyzc;sf_k=uet?gAdU zH+bYYKxI6RFjIlbu|eVwB_150a)J=K1g80*@PwrK7^vJ#EOHf4Ic)l-K;^K-!v;KZ zXYk0qz$3>38h~VAU<iPw3FejHVx|C8hA2SgKzEujfYRUxkpCcQ&<2lO1XNB6;`ab1 zBz+}#<R(Dnu-Uf;kK73ya-jPC2~;i-lCBk)V)!^f;(u_6i-0mG0|P@fOnn(dy$%j> z7pQm*Ono^-eGF6_-JB8};yqZzLG{2AsQ6EidqH(Pvjn(q237JP`6Ezy77z`Vmqw9) z0ul$!lYwZkybOvw3v?ok4Tro60eKe!@+k!5TcGms5dU3ZWWL7)N@W+I_1qEy@<*WZ zA0hf5Ffxmv`0oi+{vTW(HT+nh6HB<{RS3wt5RgwHAm2hjehE|_oL<54CywI3BT#u< z?tel+o(0tAV_;ywrC)`BybA&O6aw-s1mu?xkUs*I$CZAb5Rhj9wK*9W7_LIn2S)l+ zAt3JpmB(d&3IX{R0`g0s@@;VU{Y1$hN1*b!-2ViRJgAIkflhn}!OH3_pxg&3;}xKC z;PyAD{P%*$fyx~lsN5_iMg|6Vz6l~ssUXP-pt2Pt9|M(t44SY8^=Ft?gXBTo8E~JX z1}Yx`s&_!`Tc%SW`2`?nfF>>HK;=`Jm>C$r4SWeFJ_RQ}4JSSWM?MR8z6<uv>^*F~ ztbHu~%xz3etNAP(`3%7F3Ql|y;9dfUBOmyX3XnhFK+RJHb*5bS0+_i4K=uWI+{*## zb1^V5Y=V^G0ZeQ8I6!_-fQnB5wVOb0WS#`B96^o*$vZ&h=Y#y<!q>paya6oVzzAvk zB|znufIJ0iZ(RjhS-@bzz`#%gmGcD6v^s%0FcOY@3hsOxxSLt}nEOE<Qg8(O1KhRQ z07}Lne{6y3Q-#=Bz?=-WvH;|s3sAX0h+G3B^LDT#$UPwW4^a7DP#YNRpGDxb1o98a zY|uTGpdjdhxYvQH1>{}_aD3^2CMX#g80LZ667GBl7@1ds^&Wuw)dwoS6iqM4+zcFY zAUCx@<z9dmOt|wkut3rYxM>X1y96rF1MyP=#7zlcHywb=m4G_6?tB+SA$l)>x``mO zA3)_hK`VpZ`4+H4<QIUl5=b80Ne1<aL4gbEw?gGXR)ORdKxu`6fuSE%hk*Rdv=kH| zATNX4;SNywQZzq<^u|EtCWFdfcfJdZOi3WUplS`Qw+1Q?p6YesgZm5Q=NV8r&>6%G zE_?<|;CyEQ*0%*JXAE*AC{2Oo3c%rU0V+2M6u+SK2nj4u^n>+&fXdH;=na5K2Y~hR zKo?Gc^M^a%1}2DKH-MCa{G$Vv4*(77yYpROhJ@7xkX0Zz`#|Nv{c9IK2Z);;!1iW9 z<s?9cf#i_VRSQ(E3zDuDFfz>ng%c=Ag59+QD*qm|kOS;4a5(~Y7ietY2vk0KKLY~; zIM;*51_VH315B%th6X@h2f6bLRJ}PQ&QQWY0OU6Y1_p4y6C8dGAh&?S4-^Il1mwYC z14=KT1O}?BnHGZdgPLmKa43N4KZa&E$Xz{n<W@lC-h;{^P&o_^KS;bCfy%{z`Zw-; z8yKPS2C@QV?h~lIE-0eV!X9*tH7LJ}!SzOh+`9p!7vx_B=pvejkhb9ksM+u|>;RQd zLo*v>Zwyqf9b_1iy&$;?s2nyoO@YeI0@YjYd<{&Hd;=aT0l8@lRQ?%g0hK%724<$^ zU^j!D0Fu7~l}`aJkaFky0G0m$lm7yh?|{V71x9E(200VtHW5&Tz`($Oo-aXW8$jif zA!+phBNI5hz~uo*9$c9)Ffhb`)PcsaAZCMxu^{me5@%pw*aos2l;@X$oDS(P_CV$C zfE<bz$19+63LrUmz6p#>y&#RCp-ONbIYB`F1p#>uP=U$7z<|qq4LtIo^=Te><U#2R z7yix6z`(-r5q2IJT$v&$uQRZ~if6d^H?Tb{;IM=XGB7aghl+#Tf-GJH6^E^XgUJO# z#SLHrP+A+DHdq*7Yt*2^4Aa471`B-68BCl5RH!hpFn~9zzyui>7{b8yEDHl{JQyam z8|tqJm;jXShl+#Ga)*k6C?2Rd_z-)L02IH5x*vSfJX8!sg+tvB8(#*Af$$=z`$3lm zfcP*h40S)~9DkS?h)#sMe*%bugja#`Bm)b>3?wlSQy5f#GO#c#0CAA;T2Q^oz{0Qs zNesly1(hcZEDRe!93&h8^)KkkV<cgQ9H_rw<J~a12heZ;-O~&c1JPTc;uk<1B<uq< z=LV7(h&d0c9yVSM5(8mJsCw`<7$5;C-T-yy2Phv#wLryT<Nq*mU#K_(sE~mPGB7Yq zhT01oe}_ql!_>nBpmY+{J>cMjih-y~sCof3^P8aRLAMKl)WL8vR6XcIZkQN|E{Cdz zjrW7ZKzJ=Q{eZ611My*44w`;IR~W*?K(rIoe9(=HAU+H;Ld9Y81u*fe&~O0Vw+9mg z(JP_ipqs@(d>HP4iYK7?HytVtn{R-rUkbIi08PC)RJ;OBycTK>xZ;Ny0xH*`;;{J& zn3Neb+`t7gOpt+r!40Z@2AcVhW4~A!z>ORv^}SGY!2K;G@g30c2UpBU;+oL#-+^X+ z4mAIQD<&lMTcP>)1e$s|X#NFP>`3bKpy30XPk~A4K*hlg8<-#i1H&n(e_x=Pzd;1F zW)RfKfGCEg-`7GAaTp&)%kn_P!QNwFV1S7)=7NZW>S>TkFmYQ>h&ZhLhlzvQRE!L) z@HNmd@jh{g`VUZd!o=r5-SY!Y9Mm=jnFCw24pSe-4>1RH`6{w|mheKvVe?5a^%G$3 z2epHd{G|nRKbrV8c8EC|XyR`LAmXt43uZnaG@K34)VD$XWq~Gc4s}1QzJr<bR~TYG z=prLzf0;qefz5Zp)L)0X2Rw$1<gX1-_k;WRNaC%~@PQo=2{Y#n)ZPR%cg}*ElYu7w zksIPpSp5q#M*$kY1!(H8LCvo~6K90_3*5*=a%VHtUma-b3!(7{tKVVvazo=0R=>l< zk3roJtKVVbDNuL9>UWrU8Pq+n`W+^I7wR5Z{SFgXhsGnUeus&dLc<wWzr(}>pzep& z?=bP-9FXvY)$cHIP<s`W{$ceyOxzXfepvku6BmcNA6CD^#CJg553Ao{;v7)-!|HdK zco@|Eu=*V)ehnJ$u=*V)?f~@{tbT`yzlQn?R=>l<K?4CGf5GZ^n7A6$U$FWeCVmH+ zeqi-GOq?C+Us(MP6Q2q7FRXrtiNEB7gfpzZhKZX){R^wFVdC4N{)N@oFmXkwe_{1C zO#BSgzp(lmCSDCqhp_q@Ce8@;FRZ?XiC07Ih1J(E@vqQy4y&(W;=7>s!s=_7cskTx zSbYr>zbgg_2UvX#6HkZQ3#+eT;>)1s!|H38cres_SbYr>zX3HLR$s%!S3%<uR$s%! zuL?rk1FNrL;%?A*gw@wD@w?D^3RYjk#ND9oht=0G@uSdigVonC@m8q6VD&Xj+!5+8 zSbYr>KL+&|tiFbc&w%<1R$s%!4@3P0tFK|=CQyID>T8%dsLW@ERdyf_5@u#V)Z<9P z49pCmPA#$s1H(db1_mJpCI$r_P{);l0ag!y`q3cq20n;5c#M~UfnmK6MEwT=PzRfV z0X!DPz`!6c2oV?1gouO3QyCZ-GWj9m2ecsK;IUK&28Qjt5OITch&XsW6SQ}V2O^%( z4-p5CRWdLztl)x(3rs{6cjAPIAD9FY2ai#L)<&>H#5b&hh=a!_85kIvq5eIv1|kj~ zmt<gIm<aWk!$L?HfyX03=d(inb>TBa{0q1p#=zhW_1A^}5OMJMBLf4&OsKySI3Y0w z9(QD5U|@y%>j4);96aX8z`)Q7^;d%^L>xS(0SZs3zXZe~;^1*c1_p-HP=6IjK*Ygg zjG%Ca`fGy}L>xT62->qJ0ttrzIfyuTToE*P3ia;?1&BB-9v=xn)GHW5#9`xQps{68 zdMI#)h=a!v85kI<p#Cjzg@}X44;dI3o^eCWncxl)2ag>xFffEd{j1;y5eJVMf|4Z6 zzo`&$@K_;e{T0-|4bVwW@R%S214AU#zXw#o9azYCAOi!#DyY2$3qgiL=gb)x7(}4< zPJk}b0?##r#w9o)?qpaCQ4bT}CkzoUfQp0Xh(YNJnjSu&i7)1Zs$T~&2Rzrzz`*bk z8t)&V;xKcfVD4NGQ4bTp2@Qu2XyP-W?ks>-*x<QlQ2zxQJ_XPU8$8DhN+)6v_cTB& zZ1CJM0|UccsJ|vaD{Pqf38=poKr3vRxFs|_Y=BnSF!6S%e-A(_Y?$~3sDCd&D{Szb z8v_G_CDgwL&<YzSz60vt3Ev^%0G=aeU|^_#`ga0!<Ow|Y$-uy10`>0%c1Q;RCjJTP zuLMoh^l$;1PA2f9s?Ue!iwWYW;`gBWVuC!XxF0lpCa9x|?}wHH6Z9eC;5lFh1_oYe z_)LH%SeQE#q2V*Z9-<y5egKv}-BHD(VBzDBD$WQCpKw(1!!ZBGqlzcN{F{y{ei7#1 zd{l8qn17+0Az|^g3F_YubrAJ1aR#V=1E2*Mc&-z)-W}>+gFc9QnD}O>e+58Sn=&vk zz|8T5`nLeQhzlY91sd-cpcM;D{S>Hw4c0)+2hYJWFfb@X{d-_DL>y-CBB*~C>_!zA zg8ElM5mGOJ=NUoc2~ht&fQp0X8A0U?tlU$Bs0Yt4g4S<B{VPxp5eLr!GB7YqgZkH@ z5h4zr|6^caFo61ZK{G@gJnzTAz;FlZ-vb>GaqyfT0|SFL)cp*)kaXS;seTyFK=bJY z6Nor?{(^ylK?dsn1T$3eEU5bpEFj|Gc?$*xhTG75ssQS+gZvL|O1MJZ-vFv`85kJA z^8%n7A)x7SgDb=w@H_<r1H)3N`!9Gw#KH3u3=9lb(D*tK3=s#<OE54nJcQ<7gK&s= zJ0yA-c%c405CIW~*}E3%-w)9caqwIO0|UcfXgZvb0TBn!K`<~dctic$0A0)io_he5 zlTiPDSPoGSo^xPeU@(RGcRfTLnyeWfK>aJQ5h4zrXMoNpf$GPG?GSPB`~m|5!&#U) zhanyU&*3vLFo;6K;lXK$dQkrjR05uchQor(5OMHa0|NuYH)#57xDF8q&jWzUNoY7M zxP>Zy4jK*z?xKpzK*Qm|161*TXgDxH7vsRp=Y+an;W?`MbZEMLa0KEnSo>NX8a@-C z2@t&Y095}%(}TfFNJkyK=752L;Skh40bU^AGcbVH4}<cBD5U;^h%zvM*LX89FuaGR z2iQ70SU)cs8a|-$43Hj}_!(&W-vDV=z}J0CLBnSQ#9Q!n-g(gcTL799W?*0duk~hN zV7LwqhY!$!E!Z0GLTET_fNov~uk&VLU|0i<U+|<qY+W`31A{fRTq=N0c!1YyGcYjh zg8HifwD175&Ji?^$iTp$1@%_|bTd9|?I@^u21;)RpgA^B`2zAZ0|SE`G@LJ>iI+gb z!2r5hAH0s6fq?<k&w-8ML5%=YADJO*=)oK$LYEc0xHAiO@n6uj_((>8nQA!HZ^B`( zGzWI`YuT`izh%TO4jNv?=HD$i+^LMizo1l)&78eB%=wJNzb-h`gVv;DGar<$v5AAu zjKC%iYNlcn2ld;riG$WvV-qh0)otLILnWASxc?Lm|3(U7j~5#p;!|;i|3w_>q#lR* zJ{;-~;YdH+ILtA}A$}D{dQ-v?KA`R`ws2O$;m$A|;&P(c!!sX;`cNGH1vO)^xu+9{ z`)fq7+nazR-a+GQ*vy}SL;X1%>CGKS_<z9Ro-H`UU2wz~GY<D`$6@aj9PR{-b7AwZ z4G#5BafGu4j`#wthsS0<XjlWAIOvQ<Y~s&x`0EM|@q0Mj8H~eUnK;6kRTz8v>E_2S zev=owxGoQN@j^lD;*W5cZ@`CLJ!tJGws3pPg<X9+Cw6hrFbFnt%AxI$1B)T$DtKKz z0|UbWXgfq;DXMr0v|aH4e99nn9}K8{1#MR}KnE~D<v2*^CusXnAsNI&sJDT(2M$0t zzroaZLEB>qX{hSI!P<w=i4d6jPN?|_%OL8(`w18r7;ZxS)vz2@Tpeou0_cPU%)hgs z=6_fTQ4bR@gSNXCWJ1KD-9iQdXuHcH8zK(gg9SQ632KhRYKS<@oCK&j3|$a$@LnGV z1_m!^crM6;h=ccPf%;9*_83DEL>%Vdy-@!yC`J|Mg@&gCsG<ao6M-5C3=9m>Q2!c~ zL)3%!Y=Qc5P<s_BA>!aYS)jF>Q1cC{AmT84-$BFk0(77VCJtKT3u*@z)I!w5!e<XO z90cl7#nqtUumL&|1yg?!+HMbMf~bd?-vDh-erQG&XM?(bLMucZW=<y5{SMs_aqxa5 z&^Q~^{R+@clrV8#X!tPnqN;Czy8i)G9K0Wjfq~%{G~Pe-L)3%!;4m;S<Url|06Jj? zGyf5E9PGeEh<cd#dRRYe5~}zuXnVV1GOBnK)L#jp0w2^qhe$JQfcnc}DntNg{y%8` zRe)~dgo)=s{lzdHRsA!lzaF58e}uZ<pdF$fynl^>fnhZ?z79Yq0>OKq7#J8p`+7j> z;lfOadhq@w1_p*8X!&peI?)JA|K3o4eV7GN58eyKz`!sC>aPiNAmT7{9zp%JVJ<`* z7XIE)e=V4YDt-#;uL<)}#k-*HZ-7o%!pu1c=^iqGx<AT{3=Dt%L%<!700U?(8Uq8e z_*ZB@4J0jwq}~8JP7e|XEq{lZ6Ne-Y8dHafCxJQ!Q1ca$%z=$7fXo5SnZeXYK-VpU z#6d%dF!5$2ab)$dHPRsUpgBUA`VC0xk;T=(>q#*EdmX&~1XElDysiUNyb!cz8|p9Q zaC-smuY%m8isauU=;3!DaWy3I79?>VB=LVZ#E*g&TSDzcj+cK(;>hu02t8a6WG`~O zY(o-9j+f6!;>h8=6?8Zk)L!Ixd4MF2tez3NAO&PEa`^M&5Pyv%jvSr`pojl~%t01^ z0oq#ubq})mdC=NdEaK~-hXtaW697Fl5G0Nqo}EbI$l<vkNgO#mouG#fg3Lh<hwn(@ z$l;~{9j8E7pN1q38WM-4=c7pC$nN}yB#!J(L+GK0AoG#kxeZAiCEk(5k=?%)dPpM3 z9Ax)DKoUn*&j?zW4h?^lc*i0B8c7^E9uGhdV+7fYEdBy|I3v3FdFWw{=;G_43x&|d z1Hh-_LGm?ncy=O*BZudHByr^MbON862QddZ9KIuoBZr#;bQ}ug9%S`tNaD!x-U&X1 z5Mn-ZI4pu5DhV<NSv(VZm?XM*6R3j(jTdC~YoX&-Aoa-M@B&F3IUJ-whxai+&M*aK zL0I}!go=aAkwq%U1fUC@K;p>h%@;`=IUF{EPw|2H7dhPSAc-TZ|BED!oZdL0$2@}U zMHX*^9vBG{M-B&N=t3%V@i(53`V~}tf{GTHf9;^-svz}}Nd9#KZQz22Gje#=B8el1 z=V~Nz<aD*j7h*5SeB^L@gd~m}4mQvQSs?Yu;g*ghj;y`|NgO$Rrr;320@_Ce^%ruu z%>#8%q2ioK;ZP0Q+XWRzR__*y@E5Xr3GnGSka$N{9|~Pi2J#oOxB~dJPKbKYlqD=) z;z1p9EcR+eBkV<1AA=+g8cTthQyha(k8JPVSnT4H;}GJ==I=)mM>gLLx*!hZFEgZY z%RmwbwIyNhIe;V%T6+W&XN2}kLFRzgLczqXki<b#oG|fXByl?=d!HeRBfEzq3E?kf z_aq>RBfDoIk~p$^ZXt;yyGIz>e+Ic9**$GY;>hk<fh3OX9tLQC8)Oc$dmNF(k=;{; zB#!K!%}C<N?omrcgafjBJdnhZ-Ln8m9N9e&k;IYRBLy870J$I8J;6xg$nH6YB#!K! z7f9mB?r}&*xF6X)jY#6i?%9PTj_jV#NaD!uNrR4GfZUJlo(?2&WcNHk5=VBAB6K_g zWDc@>qL9Rq-7^_U9N9f=SqT3kyGH{_9N9e$NaD!uIfx{V?4Dmp;>hk{gpQkl>I+bp z7Fvujm_Wrr{sJvgfaS-1NaD!ue1;^B>`smxgukqi@^2cFII{a~a}ny1-Cu(wj_m$@ zNaD!h`2|T_4#{41==cuEU&!K7IK+E#h|A?8+=(0xZb;(D;gEqOjvNlhki?P0VJ>t* zG02_B;cy2@961~wL&ah72pwKwkSRpC6FDA(ki?P0p%sVt4jkgIafqiu$IC$OM-HD3 zByr^Md4MF291e=m@imY+$l(x$B#s;olaa)c(*s)xA{>z2qk$xj?4AZBab))#L=s1K z&o3l#WcL_D#{og^M|RILBynW-oInysc8@|C!u`nZDMAtlEmeZ$(^eefE0DyI-G2p1 z9NGOG(D6!i_bVWYiz4N(X-MM8{@Q^gj_fZ1=mL3=`N;lCLJ~*zS1Ath8A#&D{yKsr zj_j`=NaD!xA^;tS1-S>=Uu{U@$o^V^B#!K_cSz#M?)eH8hvm~PkZ5JlfR6Km>_yI} z(~!iG?cISSj%=?0bX*u@4sv(~B8elrrwd6OIeZQyi6e)P8FWD-$b4k?L?DSHyJrKE zII?>_B8elrM+-WB4Kg3uJ;_Mo$nLp@B#!K!A4uZJ?g^-a1USfiWcM^8i6f`CB}n4P z?!15`j_ghr=r}vbd}Mc)A&Dcqa|V()vO7N@i6gsH5jtKEG9THUE=c0Y?kqqOM|S5D zBynVSiZvp_2ict#NaD!uoPZ>b?9Piw;>hmdg3c>|+>h)YL8v$^UmSrrgTVzV4pNVt zFSa3xBfIkkk~p$E4WRQDAaju2S%@T#?9Nq4;>hlNj3ka6ZecAD2ZPK<c25P8II?># zAc-TpM-V!X0x}2LJzhxS$nI%I5=VB=HzaXn_eiuM+==X-0wi%{_iRKGM|RIEBynW- ze1wX_;t@9Ap#q(^0Qn0!9*dB~K}%R+_16L<apd;NW*p+jaERZ;A^rx3I1hAQ1msQ? zq<YO6NgR1xA|FW{v=<2G{&pmBWc90&#F5Qs>O{l~ayS?ui6e(Y0FpSeIqgW|$o6hV z5=VCDX(VxE_3x3yk<E94&YOb52ictkNaD!uoPZ>bY|e2cab$ZxBZ(uslN&mZ2(lMh zy*`pSviZx9#F5kg2_$jk^v?%vFoVoTPUme%;>hN#KoUnb=N*zbvN>tc`Ad-b$mVn) zi6fhH4oMu@9JfA1Izcuk14$g&oOUE}<bKCqByr?=L?1ez3UVj1co32}vU^&Q#F68D zD-Q9eIK;!C^R*y*k^NPHB#!K_bx7jK?!1U3j_gi1=)5nw`58#!DCQ%HBb%cJohL>& z#{)?m*_=Kkab$DYp!3S;=4c>^Bb$?lB#vy(GbC~3aN_`V=Aq+?$maMVi6fhH3`rc> zoEJ#q$mZxl=ea@dM>b~}k~p$CCy>OE&EbR2i=&&<h9r(`&I%-PWOLpji6grw4LXkw zG9THT4kU48bIu`&Bb(y}onJ>cCj&_w*_?Gq;>hNxLFePq&GA4IM>c0Qk~p$C2av>( z^PMVm{vO?YUnFs4^P7>xk@L|GBynVWZ{rYmosGR*%0&`Kws$d-II_LlaEPD8A$|vk z_<J1UOwe@<pzuMqR}_c11`hF9Byr^MX}}@=6iFO89r8lgPk`Km96ruS;>hl-KoUo` zcQy`jG3YuBkom~=S|Eud+na|Zj%@ElBynVW*`Vt=(9PFC5=S;a4oMu@{6-{kWb?lv zi6gsT0=ixVWG}M$en{fT<`*J~Bb)yWNgUaH4(Pr?bo1?y#F5QUL=s0fUlh8Y4`dE# zOBbwt$h`!SU#yYZnOR8U$nNPu5=Zv$M<j7%d)c7t1wr;Amk-fM;>h;yLJ~)|_YRUc zvb~Pbb$%f8k?jpb5=XXoHIg{8y<E_Bfgp2`?bSgNN4B>FNgUbU(@5gT=4(OM7owZ* zgCve@{uCr}Wb<Dmi6fiu1zo3zZhj7uII{T{k;IYBe}*KE9B$UDu&0w*NaD!hwg*WZ z*<MBHI!KT^k?l1@5=XYT8%Z45zps$Qk?rMy?xzKrk8E!tk~p%xZAjwC_AY{o!}^a; zAl_%#h9(Z(R?6@KDh{&O4JjW9fi^rq=lwxVm^V;!)X>DC+fW%IpyKG}JVFxpL^3}K zx{nv69$EY`4)G-DK3;V7k8p@5LHGBftJi^UNQ8wC=r~P~*Fw<5LHk2M;w_s&BxK$h zx%|=F1rbL#Ck#y-W==bFqZ7zI$mZA|!fsAFnmEjy8HXY2(arHc1rbMgPdS=6%$yac zA?nf1iN6F9M>nS(O&n&<j>{1B=;q|#f{3G=GaXGFX3mM*5cTNh)IWlVqnooFO&n&< zjmHr6=;lPcf{3G=(|{%pGiTLnh<bE$c6@}0qnq;pO&n$p*Jp@&baOm@Ld4O{DL@m4 znX>?TNh-QI-i(k1(&*+Cqlv@JS;7QSk6{jIaRyR;hvkc6G;z2&TsX{uE^x(g51KgK z93dR$fEL+ca}Sz0+#KkFc?^Gn7Smue2TdGq4rs9swBLuEPrWrE?!@pHnmF7XEr@z_ z_jnmX#L>+uLKBCXv)Blt9^D*oONcnSImKw=FmslG1~0Jr%Mph;XyR~loFM8k+yg4a zv4u05INTgB9OeW<#4-GZCJr|Tx)B(|J)p%^*xZ9A4mT$XVlRd{i4buN_n?Wx%}Ii& z$1n%9fd`v=(8S^9WZ^Iex-k~RJ!s-^bBeH=BT)$vM>od-O&n%URux1&y1yzw8?><a zYXO=#%$!q=*v+{C-KdW49tP-vMlf-hIa<&K{OIm!?1q>RQx9wZOh*%kwSRW>qpF9M zdk@gWVfJ!Ogs4ZiH*qpV9Npe>G;x@{Gp3`ehuM1oO&n(LE9gN@==T1Yhus{7`KaPB zbG)DjJE5Bsu?$r`%smZg;xKboEr+N_H)qFMh&U`9VCLLG6Nj0jx*nn)-JG0_5OJ6} zuy|}j6NiPT$5vGJFnbHo#9{W%+6GaNZtq9XMsB3^46~PgCqzBWJurJU_M(cz><vH@ zhuK@T527C3-iy!!v0&!I?0t?V4zqVA^c)0`Io?R^>N8MrkU1a>>o5PpA#MOYcK~Eg zD3Uqbki<bnKFpkxNaCQg)nMX3k;IYRQ+O2OUyxfsCt$(Uuf`$%2r7>59u4R@2q1Gn z{gFSA<`aWGR2-xp*_|FxahQ4*h%*@Cq2lQ3Goa!y^)U16q2lQ3w<3un``7z8#3GP6 zAU!bu_Tdn}4iyKfMGpV-j35&r>#jlmh24j$1==W%ls-XwNI*f6geEQmaR$R|G;tZI zcpeL?`LO+cC(*=V<}<RQs)w0xg(eO&|2vv^4%FTtHdJ$9=69iq!^}U7CJr<I1v|nV z&=5X!+Yv)9^ng-Oc!nXR=Q5}`NEawPVg1<&P;roY<n(g_de9k2J;;3MvEmGYydV)s ze-PRHD5y9{7TNp~s5rX$7X(2nA@K_`AG)1~AyNn;4pNV7eiBq1B#Uf*4OASY9@+hy zq2e(0uyaU$pot5BG9~Do5Mhu?h<iZhOF+dZiJ*$Z?!~)~CJr-)Uldh6%p4OjggD5* z(Cs-4JE7tr_apoF5L6tb3*;VHeBFVHgVZDYS40}565@W4`Os}940EC4Aoa-RFN2DM zbRnC604ferk8J)Ad5}tk`Oxhf42=p9agcgs^ShwpAX#Mdmq5iq>XFUAqXJTiFdw>o zgCP}q@DWHoviW&XagZ)#^E;s8Aoa-RAJ7D;M3@iV7Qx`D1rZ0SM>anQDh`rGHa`a{ z4pNV7{t`WqN`(2)Z4L~&`VeuDdSvs>pyD7|Wb*@{;vn_N=64u_R3glWZZ}{MG=YeN z)FYcO1r-O$BAagl6$hzDHh%*2z+jMiQ2K$b<CU}oiD2e;C8#(^7TJ6os5rX$#ZYmW zdRTtkfhG>iM<39{VfiS?8e{?_96<KM^5b+gahN&h(Zpfqe6c~81M)9)89YO!EkqpT ze&q0Mf{KG=LH5G(;~c0sNIi0RzJQ9u)Zd4mujOG65<$2hbUqiT{<47{zzmWFxd*zu znc*N*9ArMSdrm>cLF$p+^8_jmQjhE&8CQ@>h`k{5q03DfmO{lr>XFS~2NehDLN@;d zR2-xp*?bi*kV=I4(B*jyYoX#G^~mOLgNlQ6A)9{zDh^VQY(Bd;NF~I4ka}49@j(-Z z#d`~yI4s_u`JkEuOF!DasNyhllF`Ir=5+WW)Puqkx*Usv*B>GdazC<v#h~IKS&;i- z>Bj&n4pNWo-x{bmO#OT4`BHbF2XDi~-$2DLKo5cgiG$n&T}HwX8Uzx-%)fC^agZ#s zdn%yf=<eAN22u$Le~|egJ)m@J84eK#sYmv&6I2`|3sMX7Zwyo%q#oJ7JEB1<5#~du zI~g2fAmSkP$mV-N#X+*j=BGf#LF$pspA8j<sfVSX2WaB3c$bI;sf4%(WIin3tKv|_ zVd-Z-nmEjy?`YyMb7Y_gpMy*Tg(q|xj$t8G9OQmv|E_|HgVclE0}Ib1P;roYWd8~z zf>a{h4?7>MAPH6c4|E@UN-{zm<R0j>2*XRLILLft_k4nigLEOghX-`v6m*;o**z}M z1KmODLFU8OEuV#oqnm#XDh^VQZ2kwRI7mIR`98TIl@R}e%-;h_oS?h@pyD9)$nL)f z6$j};Hvb1y9HbuEeEmF-N{IO&^|16)f+h}&_cdtZuz2T#9#ju94P*{1{RE?l!_4VM z6Nj0zp%7#O#62MY!q&T*7D2>8?nm~o9aJ173$hoMej=daAoa-p?T3oP)Wh6)1x+00 zPM%_rN{D+v=EK~XSAr@I3%AW^;xKbwqlv@J5hz2L1M=?~X#7rvii6yb?B97%agZ*M zdtm<E0Tl<SNA@pEIY=eK{m|(Th7{-p0w7tCIk54Eh)R$ML_Nqouyv|;q2eI(k=^qQ zDh|>GG6&`!hANN<q<ltpk3}6s97KZ5zXSE}L8v&o`KO@bAoa-RKY@ya)FYek&<s+E za6fFk<s?)bq#oJ*m!RSxUC8FYfr^9FBb%?>0#XSvAEX`@?-^*~uy|j9CJu{trZ!Y_ zVCm2uO&n%UJ(@VooF(lDb3ow<3x7@Mg%2S2Bm36~Dh|>Gaz9MH4^$ka9@)P$pyDv~ zu=Mi-bnqF{cm*u|yy*g|goF>sJ+N`J!fuE-$b4k?R6)f-vdHe40u=|TM|RJdevnFp z`LOYv&<PN6ka}eE<DlXoS!DAopyD9)$mU;}0#b=EA2z-eI~5`hQjctY8dMx4i)?-a zR2-xp+5GKLahQ5o`uT$<4vTk_X&{vl_khfY#rw4BsN%5na~n+@W{&U-RP``(EM_9a zLGc9}x7iC72e}{FzsI2BAYCB$z|zkHs5nSHvVV1;2dcx=!_rUBY>)`TU$FGkFb5$H zau000h;=SR9ArMSd-$N@AX#MhXh6k5>XF@(un?pYVlT*i*tpY6s5nSHviYB&;vikf z=JPB9iC~s*8OtEzAQEIgXzewqi2Dc?M>qc$R2-xp*?f`ZAQ4RSy`kbDS&({I`k8<x z4vY5_XyUMV*IEfO0TK=%b71KwA59!)&T=$ym^o)wA<O~!7dD<5xEdmk?%yb=I7k*` zFD(6(K*d4ok>hL4dXP$pJ3;1~K*t+R!MA5Z!Us9N?4aTxUC8D~K*iC`p8z`86k3jf z%(sD>FS!+D0;c&&P;rnfviUYpadh*Gq2e(0JD}$f?LZS>0Tus%CcXhGZn6Vp0wf$j z_MU)>SE7l-%-M)04l^fjC#pF&pyq5w6Nj1e8ciH#&Wc^A=DdKK^A=4UW{&D^RP``( zy!If(LE#TNcM_Ce&O^mP;fWl-H=yDmU7&D)rJqkwagciC^kWA)a26WBAoZ~FXWl-L z35f87iTCeEh=be%8$TC001*e7kL(^<s5nR#WIoJ2=1_5vdSv(H9|5U^*b6d01e)G{ zK*d4ok<Dj3icpVizBE)Eq#oJ);FAdTAoF9O=HG#egVZCN{}d_?(uHjPf2cS}J+k>` zr$8zp?gy!dl^fM);;?dKGnzQ8+z>s3Y7VR%i$W8JnKKDZ9A?h;vj}rQ{!M}U*XA5V z9OQmv|GGlOL9!tC!{RF*Dh^VQ?B8inahUo!(DSW7qKVf)#Wl}^R3gHs1uC9|Cf)-T zUv?2y{T`?|<0VvanE94y;xO}z(8OWp>t9AS=ML2TE;MnN`G?TNVdnov6Nj0<;|i+z zf1u_IUquy%neUAz4l}<6O&n(a%4-O7K=BKkZ_>LC5eLN=a{QV@#X+*j@f!#g2dPJn z-?>n6nEEx)bKe+lf<zGU2owK;Bo1;<2{gS`-h!wHnUCzACa5?_7TG;>pyD9)$nLoe z6^E&Z<qL`1AQ6OnVEG~dO&pdlHr+v056h?D(Zpfq=-)+E4>KnObf7J?`~>;82I}9N zP;rp^k^TD!Dh@IY*}s3F;vn_N{xx|FQVH=F$ov+l`P-r5Aoa-RAApL3bRnC63n~s$ zk8J*z=OC2`^I`WWWxs%kgVZCNUjh{e$s(KI2NegYM>c;pR2-xpBnO)pK95734SK#m zNG<Zbup*K;=t>lrIiX16$n(+8uRu0J+9%FP^Pw|vh`+@l?(`bFIoIAn#6ct|UbaBv z<v&y$<bLFE6MYX-32_gy`+Yw`#6cv;oGDOq%An%t=JX(mBb(Fw8Ds(?9%1LNu7Qf9 zn{x<B9N8SdF9>sx<F^q_9Jc=X!dL9-nZKcm!_+VPfhrEGUoN1D!_*i4#;$%gnmA1T z#XqR(O`sFZccJ2-a6n#X&ixm=dUL2aNWCjk`qBH3svb6e5`-oW>#yBsgiI)dbb;a* zdi@Lo6B9%nWIl2_kw6khj+ZW|ILI7Oyuj?;jwTMXw~z&5K1e;tUg-5U3{#-u==QEc z5=XZ85i7zRWPAD9P{m>PUSmfUhsEzBs5r=8<nZU^z^>jBDh^VQ9R8Cyv8&&YCJsvv zZQQ8pVd-HKR2*bJvU~PI#X;c)@-IyNOQ<+VJ+gaTq4(Z_#F5LNYN$BK9MBawu<~j> z4)JGDagaI4<=!`_IJ!CPybynZ)PwGHgqfd*B#yjJsRk+zG6$3%_CU*-<4|#sImqeo z0#qEP9=gnl;UiQWUHwm}I7mIRfAygE|DlVAK*d4kAp18TNgO#Hu169_4rgzENH~DZ z2OZ@L3x_@&;@6?#==Pq1R`eioJ*5182PzISA2gK<GhajyVlPNNXvr{4{2-DzvN@Nb z;^^jZ3t>0M3n~s$51Q(OnLi(g_zxW7zQPdm(apI46-RgfcO-FXq;T*TftZ7?em)Ly z4pHpt#h~IKKY{upFn{^s5T6JY2iXf+5)M<pUJPPB$X}rJd<L4H6U8CoAoa-U`8`w| zrv3_4{X%F%4qd&zB*Yw;`a4kd5251d>hq;g)jxr%SCoc`qpRN|gAhkfKfZDZapZQ? zV|j!)vicH5ggCN#R%PtsH&qbg$mV3JA;gi*`K^v!e4-{o9N8RoZS3MZbP(dm=KJX( z#F5QWf;PxO;f5@3h9qu^ls==7#F5kO5+reCbDrZ6*D}QJo*W$F>u`vR8(}v`6)Fx& z|H(-1kHsOr04fd&2jui`Y>(ailMdL$<DIaJe|5$#F5rR?2aWN-@|`V`IC6MKAc-TZ zZ$%PER=)sA99jKYBynW*50S)?<K4>@;Z9`rg-GJa>ER@jII?<4H|*wQ;t(%~ii6@2 zxg1-ML;Mp|96cW2dSkb@&KDt$oL@xz5#q@1UmAcAM^<kZgk78~1R;)W&g@WxII=l9 z;n>9wMIgkH&54M@F8(qaA&zW*eJny8*__~b?BeN2;>hWt8%Z2F-mfBwBbzUgfZhCP z9O83vh~LK{?vaSy{4l6EDE%Op1AREeFG9u9(@#nscJqIME^vab$3XV)ghK4<)rzr; zJ0giA=hHkSab)v5k;IYJZ$lDCR(}ym99jK8BynW*LL~@yBFDQlR2)=agWBy6K#es9 z1_pDeI4InZ&51)2M@}a-NaCQo)nVn{9wc#Ob9hP-?m-rh!6BXn6$iyTa=Ebxhxk*d zILQ6T<^O{!?DiJcAjFaL7keE-9NC>S>Jj3|>NOj&i~nsxh$EZR*Mbm7Hb=e<yZF|2 zggCM}-ksRR?{p!=k<BmaL5L%p;|9904NLluLJ~(#KXpjr$myXSDh^9muzvSis5mHI zknP<D6-QTp1uBlNUc4W>`y+9P&&DBs9!VUz-@!ityZN$EaZox$E`LICh|hqEgWQjt z4z=fEH~-)~?Bd}Iu#3N4h+Ujx5keffd@w~4M-Kl0BynW*wMgQ~>SrK{Bdb4(B#x~9 zCXzUE`gsHuhsFB`P{1-UF#LmxgTf8j9M#1Le<7zgXC!gt@~0U|9NC=9IK-8gAk0Tr zZwM6!IS;w~$;2VP1}YA6KXSY;Uy0p(i`58m<a~N=4MH5*J@M-h;>hYhtj8{XWFtZx z*__bL2ytX{o^8P{Ub77$j%<$54(#HKcOt}*&9~f*5JxtLcQ1BvMI>?L^y7*oj+`F+ zpyIG}1uK6_pyHr-LAJLRDvqvxHdGv4{XHDw3j46TKM9BUG$e84^5+_oII=sRK*d4n z5V`!3*$=T7T|6Et4st(oI{b7Rq8=oUY<|aC?Ba6gv5RlHfL;7Nk~nht@CQj8*<Nwb z#iG!92U)!fk~p&ZcqDOT^*u=9$m$m$i6f_<l~8e5yfZ*Ih8=~9qleEMByr^Q#&ro1 z4#?$?7m_%#duHJfe}zN*2UHvszsTi}!DWcO=;Fmtagh6w<2~;NL_J6x***VnBE*sN z>D1c@ab)#scM;;q>bKv+F5dkBA&zX0%p-(2vN>xXV;6URiV#OO=jJo);#n^c;>hMR zyh4a0n{(wgcJUWT;>hWT=M6$Va(WPjio?<sto*Ttilc|W3sf9keG*h0UHwuV;?Hr2 zYraLe6WPD9NaD!l&s-#NWc90|;-K_~T>d=9A+GigVJ~tz-1!S3j%>dFAMD~!|6&)f z{*PUJ8j?72`EVFX9NFG`NaD!qxxqK$LFONk)vF<iBdZTa5=T~_jwFtpehQ%Cuy}{f z`}9D?(c^tHk~ngDyND!?T>c0$f=s}4e<BX?jX1>jL&ZVyj$HnH$02UTgs>Mm-c8vN z;>h81i~}K#oKIu85aP({-*O|wk=57nViynMM~EYv^H=~Oj%-ee5O#4c5rjChISWLw ziyMj~#F5QEE`bn7HfOdJcJcK{;>hXeGLkrQdbk4>hovi6`NJfQ-F$ASIJ$aGs5nR$ zvO9Bdh_AyT{sD)$iVVnPNcu!Be^QXdk?k#lii6T2a{03ghxl8lILH>{bl9K?5`ox@ zY`&N_cJVbj*u|anu#3kci6fT}T}a}{_AW&dM^=9cNgP@ITO@I0^-}uS-J^#jj+}nX zq2jQ3hm}9UP;roH$o5tti6f`C8A#&D<<AWyab$Be3_vDhhJOVP@iwS9DBh9FpM5yQ z84VHUBggw6Q-nCOdwR_g;>h__*%Bd+tbU6XLL6DWs||K>Njro%vN@~l5#q?^SUX}D zzvzSzM>Z$j1-tkUSA;mS`F-vPab$CnJh6+HA&DcWpIJ!a$mwA*R2-JBVCBzAs5r<L zWP2|`#nIJ&fQqB5H}(RFV5Xl^9OBz?h`&Y>M=pP~y|J5b2^9yWL*(+O5Qq2{s5r<p z<aFpB0TRJ<|J_LJ;>FR}#W`cKi>n}sBbN_>NaD!hpMxZhtbQhvII{XJNaD!qA0mk( ztN)55j+}n}LB(P54l948;;{S65lI|5y~QJmBbPt(k;IYR^B#w|eLQx1eWBu@ct<XO zT5*V<fQo}mLyq^uDIgKdcn?WKh$H9ISLq0GWcOERBE*r^^JHTee~^O^M>eN04<U|h z4pRYk@fn2(ab$D!im{9DFF}YSn;%++5JxsgvjV%gEs{8L`bk6*M@|n}P;pqgf|WlL zpyD7~knNob6-QUU9V(8l{ud5$n@W&M%=oRtA-)Mo9J&1Ygd~paPKGLo`Ji-&T>e<# z5U+-cgLEOML%w#92&OxibYK^^>cTF5p&PsSYb0^x@<E~pyLw|Jab)!gNaD!hP>m#x ztbPTOII{XZNaD!p=O|Ph7Vog~=OI)a<XU8NIC?=MkZ?mzZ)!;5$mLHOk~p$C({YHe zgo=aQ!@+>Khy5&69ArDPIk%zWp!9%TE(!O6R5CE2i-$qQL9)o{A#gHC1mZ7b^B+w? zh$H9UifIUOWc8dg5aP({XU)Veo;MpIj%?2VIS6rNb0*BgF0Qr!A&zX$o`u-OeHSCd zk<C|JiV#OO=j$@;;+)G7;>hVr7fBpBotQzzLGcTkZ-tdhF;H=kX~_1bLdDV5H$%nI z)gQwl&ancd5;K0iaER9-i6fUw`;f$u-FXHo4oYvx<r2$Eh`s3I?oe@%EOI)$wG||S z>CS@f*u~j)Vi%vY3%mGsByr?&;|Y>DvcLW#i6g7m*^S+NcO-FS^(9E+$mVw-i6f_< ziBNG^yu<bjuZ4<(Y)3ZdB@XdVP;qo~1owbUzzk;zs5neLY@bLhnmBZMC&N@Uap<x@ zhM!PzkS)mhi(xNF1hU>9l>TAnyP}E1%&$cghnfEnDh@IY+58t!adh|V?gObr*b6g1 z6-^vw{z<4fNEfpC7og(k=8NtJsl+tj7fl>y{zj-cNEfpCJD}p|=Kn<#hq>SM0LTP{ zy)g4<Ld8L{$mTDAildwV6ipmvzU)De37F<LLd8L{$mVxI#nH__izW^;pY;&P1WfZY zq2eG}Wb+H4;^^jYMH7da{}xRg7CwQ8K_)}eKeGAfPGT3o4i$&l3oEz3LB&D3kn>~b zDUb-lU$FB8rlE;5K-asLoJLjOArIQE%D~WwCf)-Tk3WN|eg;%L2TgnqRNU(<s`?F3 z@o+TpEl_dObExVMK*gQV#E(G570#oozW^22MH9aQ73aQys{R2~Tmnt}2~_+Wn)nN- zIP*nRbKXG3AEJqWfQr9E6aNAgKXVDyoF7o}n`q*HpyJyvqpD|6fW+4kG;tQF_@XPQ z>II<U>(RtTpyIt(QPoR8#b=?3%Rt2|uA!<|fQq-GiK{@xldq$y*MN!_po!~1#r<xe zsyBd&N27_GK*cR@qN=xmio2nS+d#!tZlS7ofQlQUiMv3>`ER4D_kfDapo#lH#eboR z2SCNy@1U9!0u_IXCLRG5|AZzU0~Nn;7uB2usQ6tp@f4`|?t7@}Goa!p(8P0~;>+%% zsxN?wZ$=X@fr?LjfU3R%Dn1WQyap;>^AJ^i15~^dO}qsvp8g0`eFs#$1WmjLDjxJ0 zRs960cs!c;6sWlE6IAsxpyFO=;&Y(l8c$KxFMx`hqKPkoiVHtORlfo%u7D=K1}gp! zO?(4XoclSdIa{FOFVVzzK*hhIiSL1mUwMIQ&H<?SLp1RtQ1SgQQPrP-il0FfKLZtC z^$Jz}1*rITH1R7?@u{y-)!%@MFG3T)0~K$0gR1@kRJ<2W{0US%`z@;a7f|sEH1Ri3 z@vwKO>OVlmlhMS#K*b&3qpJS_7576E{{t1*`GBgPK@n1(TcU}xK*hyBqN*2wimRZB zi$KMhKB20YfQs{@iOWF6-=c{tK*fKdiK{@xZ+u2IUjr)s6ir+QDt`D2s(J&c_ysg^ z6R7yQuc+!RpyIpH#BHGBGrys#cYum7Llbv_inn}6Rqp{6pNJ;z0~OEzfvP?LDqe#o z9s(7Q`iZJO0xF)4CLRM7cm0K`J^?BogeIN>6*u^es=fd!Zi^;f0u`73gQ~s)Dz1Sh zUIP_p`-`f+0V*zxCf))S|A;2u0Tus;Cf)-Tzw-~({0UI;muTWspyJ2>qpF_)6~BTe zJ_jnki2<~`5z!uA02SYlCcXqJK9><y{R*h~Dm3vmQ1K2XRP`I6;#1MYw?M^<nNigr zfQmPui64QA$FZQQKLHibMiV~+6>nulRS#?bPeK!iwf_s)P}RfQ|Fvl1u=am6JF0qE z`#%Fs9M=AK<3LppYyStMiNo6ehMcJCVeNlAG;vt_Uxo`+J*@q&i6#zf|Fd(Ws)x1z zMbN}y?f*|`;;{Dre>8De`~NNvs`;??|0^_cSo{A3FRFT2`~NDMIIR7@nGaPxto?rg zO&r$#pU01?9@hR}jV2Cj|91+Ys)x1zr=f|%+W#ejsOn+u|3)-%So=R-2vt3-{hxy- z4r~8=38Siqwg1D>#9{4!QxR14u=c+bnmDZeuON!59@hTXMH7d$|GC9b)x+BV5@_PE z_Ww6DaajAGSsc|ISo{AWnmDZe{|-$Y*8V>ufocw{{eKfp9M=BdE{Uoh*8V?&CJt-= zFOou44{QIgM-zv&|9hoT)x+BVv(Ut0?f(iHRQ0g-e=C|eto@%Xi>e;h{x3iihqeFx z<WSYa+W*mL;;{C=r97&7So_}%O&r$#S5ZJ!4{QG$qKU)W|NM%m>S67F88mTN`~Mf3 zIIR88u7qk1to{EKO&r$#|AZzEYyV$RMl}c4{=bVR4r~AKRzXz{YyY1>6Nk0`m#Lzv zhqeDVqlv@X{}a_v)x+BV^U%a$?f)8eRQ0g-e<zwato@&^fvO(X{x3ljhqeEMG*Q*V z+W+xr;;{C=trn_!So_}#O&r$#*U&~)4{QIMqKU)W|H3+`>S67F1vGJ3`~M%BIIR88 zt&3_7to{EIO&r$#|Ar<GYyV%-Lp2B1{(p!j4r~AK*GE+kYyY1?6Nk0`R~ewHhqeE= zqlv@X|5FW7)x+BVi_pYj?f(WNRQ0g-e=nLito@&DjH({i{;xn2hqeF1Oi<Os+W*OD z;;{C=qbaI-So_}(O&r$#*D*s?4{QHhqKU)W|KjGT>S67F6*O^J`=7}IRXwcz&yOY! zYyZDR6Nk0`f1!!P+W$8!QO$?7|DU3X!`lCctx(m&+W!~O#9{6Kb=IirVeS9jXyUN; z|4bWH^|1E;GBj~m`@h8&RXwczKM_qF*8b18LsbuJ|JR_2!`lB*_NeM%?f-N%aajBR zJDT_&CCGS!m;=NdQ11zOzQ7Vm9CS4$Z2xQ*k~p&ZO*q6K;t&^b#BQ%RR2(!v3p&#g zW^Xc79OO>W*`+Y?T}a{@NarvdM-m5}r3zF397!B`p5+5n95$cw1{yy6PT1XPgd~m} zKJSslk;6ya8M`@tIK*3Vi1$FnLE#gN<lh@marE%fcR|>T96mNk;>h6>gCvd|KB-V~ zSop{&L()$RR2*b4vVWH&i6e)Ppew>X$m%_Ch!@}xFNcbQ!XX+d91cUpLGD3LCsJ+* zdy&II9Z4KH9Ndw_k;CCFR2&u#HPCS2aYvYs>@R&JapZ8Ai6oA!{sIp1A2`JSL&ed< z!P^7j9^`OXgd~m}4(pM`k;CCUk~nfW+<=P1!eI?G9KJ)vLE(?=FDXxidyvDS1xXxP z{carMk8y~<f{LSugP9k?UgU7-MG{92hgnGC$l<UHNgO#G4nxIZ;cy2U4v(PX=;6TX zjc^ZgIOHRVBZtE>9OCD2h+l<@qlbf{55ivLaHv2MM-GQpByr?$Sc)W$91iQC;;?Yw zfnJby7AlS&4j+)jk;5U%7vWCiaF~cgd?ya^15k1FaNzMnn2#I|$w=bJ;ZT4ijvNjX zki?P0VJ1`@77jMhaM%GAM-PYFNaD!h;OdWXCvrH{;1FMeLwq$<96cPqL&ZVvK@JDM z0EE5B;Sh}^jvNlvNaD!h&<hm@g##PXy1|W5age>p{@Mi<2dM|G!-0)Q-GqvxtA7L) zhpC5+k1+-!+>dO2EmRz)9(JzaMl|s^kZO(LA5<LOUaKJN=6gZKLFR*wEP=H*`k~?= zb99mFsa;6o$nN}xL;OlGc6&F3K*T}zg2D|p9(x~695#Nd9STtoau2e-nNV?<Ik0iF zxoG0BakiIGaddOOK*eF^<UrHEa2R%bt&qf#*Cn<fi6gu7I1X{HaO~#$;1KV_A^shQ zIBNvNd{90@UQcL?L%abh4hkRS^@P0X5OZMR13Q;e2PzIykL+Jls5nf019ZJd2vi(h zeG*h0rhWoceIryHU40i+9Ht&-{z|Agy87)<ahUoAQ1h=r#nIK@hl<10uYjum2Ng$G z&y#@~K0Bc5wV~qZ>P?{HF!N#RgQ4Q+>Jy>jF!d*(<~Kma(bacC#bN4U=C6Q?qpRNr z6^E(60X6?BR2*IXJ*YTLJuH0wLdDV5b7!K456pZms5rWMW2iXHe3*ZOpyKH26QJTS z^|16%4;4pO-vJeesfV4{v>Ymqu6`?29Ht)T{wq*%boF<k;xP5F^!5iTj;@|73pIRT z?$?BhqpLT9ildt!2o*<H9}g9WsfU?g2Ng$G-wqXrspo*s?=ORjqpRNn6^E&Z#n)x1 zIJ){fP;r=gSpD@IDvqw6GaGyOXh6l$)f+;^Vdlf!9{?3cS04uzhpC5!Pc2j&U40u= z9Ht&-{!*woy86vfahQ6T`In&L=<07n#bN4U=Kq3<qpRo0!5%*9P;qqi22gQy^ZlXX z=;~vk;xP3v_t!wh(bcy?#bN4U>3<1S99{h;s5neL%>0W`adh>!pyDv~F!O&x#nIKX z=c0xW?0gb6s5rWMeW*Chd|3MQgNmc8kAaHA)WgiLhKi%BZ-I)#)WghQ3>8OLzY!`9 zQx7x$0#qDb{Y|JiOg+r}A5d|0^=x^l;R6d#Rj4?+dOfH(%zT)8e4*m#>Z76JF!eC= ztDxfO>YJhBF!eC=7eU3*)o*}`!_>pfKMxg0SAPR44pR>^|2tG1T|H|)_V7`GileL7 zg^Ht_?*kP_S04oxhpC6vN0m@<boEV8ahQ5odubt599{i-s5neL%=~juadh?9q2e(0 zF!R4b#nIKX6rhF=%zS02IJ$Zrs5rX$-cWIL^^s6<n0lD`6;N?>^^H(*n0lD|7eK|) z)vtq!!_>pfKMNH{SAPvE4pR>^|0`4+T|ILl_V7`HileL7hKi$`?*$b{S04cthpC6T zzZ@!#uD$^(4pR?v|9q%8y85+HahQ6T`DdWw=<2UR#bN4U=6`{TqpN2s!X7?~P;qqi zT2OIx^F5*B=<36v;xP3v_m@G%(bd;O#bN4U?w<!0M_0cFDh^W*GygPH99{hts5neL z%>2(#adh>J#n{6~0V<BJUK1*gZoUUp99?}FR2-%r=KfNsIJ){es5neL%>8qr;^^vE zL&ah0VdkHLileK)3>Al|hnfEgDvqw6p#*#Q$V0`^)oVb-(am><ileI!g^I(}!`xp2 z6-QTJ3l)c{hq-?aR2*IXDyTS2J*<Cy5-N_a{t{Firk(@3UhX4Q99{i?s5neL%zU|0 zNVuV^SBHwj)WghogNmc84}prq)Wgg#hKi%BuYrog)Wgi54HZXMzY;1AQx7x$1XLVd z{Y9uaOg+r}4^VM*_5YyaF!eC=Wy`RKj~Y}QrXFU#D^wia{9vd!Og*eWUIZ0KS6>Yk zhpC6P-)2F@(bcbjio?{y%s&nlM^}FVDh^W*GygqQ99{iis5neLEIrGVV-Fuys5neL ztbOGI6-PHe2r3R!4>P|IDvqwc3Mvj$4>NxzR2*IXa;P{=J<R-LP;qqi=b_>-^)U0_ zLB-M4|AC6b)Wgh|uD~8XDo}BldYJjnP;qqg1EJzD^%>CoUH}zGS6>MghpC6f?+mCo zy82~MahQ6T`A4DR=<3fw#bN4U=D&rCqpSZ76^E&Zwa=w0v4@W`R2-%r<{l@gIJ)@( zP;r=gnECloadh<+P;r=gnEBJ8;^^v^Ld9X~Vdfu!ileJP3l)c{hnfEdDvqxH7gQXk z9#;NHR$&hxC8#(|JuJOBLdDU|_lJtZ)WgirgNmc8FNcc5)Wgi51{FtFzXU1{Qx7x$ zFjO2}{TZk@Og+r}*HCeE^*^EFF!eC=C91K9k0MkYrXFU#15_N{d_Sl-y82wGIJ){W zs5ngh4rqRy3Kd6JzZfbGQx9uD9D<6Yt3M4DhpC5^53iu&=<0t!#bN4U=8M;04<7}n zI7~gve0!)ky7|5h5OZMaVd*&sDvqwc6e^CcehO3^UHx3BI86NoXn5{{ileJP3KfT` zzX4VM1S*cM{w-7-rXE&a@iapGg|1!-Dh^W*^RFdT99_K=R2*G>B2*k*eHK(4rXJ?s zPN+D#`bki6n0lChH$uhH)$f9e!_>q4dlM>-uKqbx9Ht)Do?&ak9zOg~adh>1P;qqg z&7tBj^)Ua2LB-M4$3w+o>S6w^gNmc8Z-<J*)WiI{3@VPUehX9_rXDtbaTzL(uKo^G z99{iys5rWMmS#w}!PLY2s|*!KSFZyVhpC78*BdI1u08}R4pR^FZ!uIHU40`|9Ht&N zf3W~6j;?+sR2*IX38*-_`ioF;n0lChKS0IN)&GQw!_>q4E71Z8H+1!iP;r=gn13Ch z;^^xApyDv~51{2kE>s*{eHm07UHw$3IJ)|IP;r=gn1A;|#nIItgNnn{!~FXcDvqxH z9aJ2q9_C-(R_x&;4Hbu}e*yKc6;vGEd}pXQy80xjIJ)|5s5neL%)ebwadh>Qq2e(0 zF#m3XileLF4Hbu}hxzvwR2*IX3#d3u{RgOj+1s#(j{sC0UA;b39Nl~is5neL%)jAK zadh<wP;r=gn1Ab`;^^u-pyDv~F#j%xileLF3KfT`{{i*y6{tA6`nynZboGCr;^^vG z+aciwQxEg63RE0jy)IN7rXJ>BAE-FG`cSAiOg+rMB~Wp6^-WN5n0f~2y4HnIadh>o zpyKH2PeR4f)n9^&!_>q4`w=RRuKpKP9Ht)TU&#(gxS^|8f{Me`!~E+A6-QU^4;6>0 z=YaY*4=RqXz8or!u6`O+99{i<s5nf$0Mz__P;qqi$D!gd^)UZFgNmc8e-9OhsfYQO zuM>Ot$Uwzm>S6x1hKi$`?*bJ^SDy?OM^~Q%6^E&pfcm!^Dvqvx3RE1X9_HW8P;qqi zd!XVl^)Ub5hKi%Be+d<bsfYQOqYHcZ2tvit)f+&?(apDnio?_^K>Zs56-QT}2o;B^ zhxxYwDvqwc6Dkf<5A*K|s5rX%ZBTKTdYFH&LdDV5--C*ytN#lXM_13*4GA}xdJU+5 zRiWbO>h+-FF!eD1`a;Fg)rUdFVd`Q2Erp7st8a#i!_>q4y9g?du6{LC99{h>s5rX% z%TRHcdIP9`KS9OO)&GWy!_>q4E7b!DH+1#NP;r=gn17w1;^^uFpyDv~F#qO5#nIJQ zK*iD3Plt-5t6u;WhpD%K`gcE699{hhs5neL%)if};^^u>K*eF|VgBXs#U4JgP;r=g zn15}c;^^kPLdDV5r$EKg)#pORVd@>A{_TN^qpP0^6^E&Z`F9Ic99{ihs5neL%)fV_ z;^^vMLB(O}VgBXp!yZ0DP;qqihEQ>I^R1xbF!df#|3*T^(bXqG#bN4U{%wScqpR<N zio?{y{JRn=j;?+?R2-%r=HF{jadh?fq2lQ3|3Ssk)wB0Q!VRWA0P0^gs5rWMeW*B0 zJ<Pv;P;qqi;ZSjydYFI9pyKH2TcF}F^)UY~hKi%BUjr3KSAQBRj;{U+R2-&00_xw- zP;qqif1u(p^)UZRPk@9Qx_T9;I7~gvzs^u`boGHyahQ6Te+!`E=;|w>;^^vUK*iD3 zFNBK2)F(jwdjKkquKpxc9Ht)T-xpAEboC#h;xP3v{|ZdR9zJqVahQ6Te{G@S=;pgY z#nIKLLdDV5=Rw6`>NBAJ?S+b?tDgoHhpC78cPmsJUHv|&I7~gvzjvYH=;~iX#bN4U z{^gp4J$!_r;^^v)pyKG}TSLWR>I<O$je?4!t51fC!_>q4+XNLySKkd4hpC78cNJ6| zUHuNII7~gvzt^GS=;|Lp#nILOhl-=C=a>u$H<<bgsDIU=;^^uPpyDv~F#q~P#nIJA zK*eF|Vg4<LileJ<g^I(}!~DAhDvqvxEmRy`{TZk@y85e7ahQ6Tf4@M*(bfNjio?{y z{3|mB5^m_~RiWZA^)UasK*iD32SLSQ>S6vZgo>l9uY!uBtDgxKM_0cHDh^ZM0FB>+ zP;qqir=a36^)Uawgo>l9{{$6>sfYPja4Pojk%x-I)WiI12Ng#*-yJHBu09Paj;=l* zDh^ZM0rhVmR2*IXbf`E?J<Pw`pyKH2_d~^D>S6x92Ng$G{{|`!QxEem_cZL`BLWpi zS8ogzM>pRFDh^W*^KUd%99?}1R2-%r=HF(hIJ){Cs5neL%)hIl;^^vkLd9X~Vg9`V z6-QV95GszYo?$xn@Zp4t!_-fJ#;*oc9Nm0Fs5neL%)bFpadh>OP;r=gn13sv;^^wz zpyDv~F#j%vileJv2Ng$Ge-<i^uKpTS9Ht)T->*<{boKwB;xP3v|H{t59-eAYahQ6T ze_f&C=;jAQ#bN4U{w;!vqpPomileKa1r<kEzZfbGQ$GV5zlWgW=;}{H#bN4U{(S`% zM_2zDDh^W*^RLiM?BSyT6^E&Z`PUvQj&8mOR2*G>I#e88eF0P)rXJ?seyBLQ`WaAh zn0lChw?oCz)gOS0!_>q4dmk!}uKq1l9Ht)TU!Gam!$%Y<j;`JWDvoZxEmRz)9_HT| zs5rX%RH!&iJ<Pu?P;qqiy-;zOdYFILK*iD3?}CcM)WiIH6Dp3b{t;9hT|MJ$?BT-& z6^E%`08P)DP;qqgjiBN%^)UYiLdDV5M?uA5>S6w^go>l9Z-<J*)WiI{3@VPUemzti zUHv(zIJ)}lP;r=gn18=P#nILOhl<10!~82Z2YYy`L&ah0Vg7Z4ildt!0u_g;hxxY{ zDvqwc1}cuOel}DbUHuZMI7~gvzlWjX=<3fv#bN4U{(TJ<M_2y^Dh^W*^RMt+?BSyb z6^E&Z`PTs|j&8muR2*G>22>nfeIZmFrhWx9Jx_p&qpP0@6^E&Z`F96Y99{iEs5neL z%)bwy;^^w%LB(O}VgBWvhdq46pyKH2O`+oG=G#HVVd`Q2jfIM%t51W9!_>q4+X@v& zSKkK}hpC78cP&&LUHxvTI7~gvzqg>`=;|Ls#nII>&Bq=-+)#0tdYFH;pyKG}8$-om z>S6v3f{LT7kA{lF)WiH+1r<kE-vJeesfYP@IaC~7{RXHwy881_adh=JpyDv~F#mps zileJ%SO5t(n0lCh<)Px}>NTL^F!eD1x<kd$)rUgGVd`Q2ErE)otFMKMqpP0-6-QUU z6e<o=5A*L4s5rX%vruuEdYFITK*iD3e}#&})WiHMvJet(=<1cA;xP3v|2jg&(baoF z#nIJgLdDV57eU2g>S6w!2o*<HKMN`jQxEg+PN+D#`a@80n0lChA40{^)xU>|!_>q4 z%eM%7_=rQr(bbzl#nH{Thl<10!~7cu6-QT}4i$%~hxxY+DvqwcA1V%05A*Ljs5rX% zJy3C&dYFH2L&ee6KY@y)t7l$}J$!he;xP3v|7t_U(akr3io?{y{2L4vM^_&M6^E&Z z`L`M>j;_8FDh^W*^Y03%IJ)|cP;qqi7og(k>Tg2DVd`Q2{Q(t6SI@Wv5^gZ{F#jq* z#nIJkLd9X~VgB`iileI!gNnn{!~9zc6-QTJ2Ng$GKNl*Fu6`L*9Ht)T-=k1*boJ+; z;xP3v|GtHaqpSZ06^E&Z`B!u)B;3%|D?`O$>S6wMf{LT7_lAn2tIvXpqpL55io?{y z{5uIMj;?+-R2-%r=HFdVadh>Eq2e(0F#kS+ileLl02PO+hxwO(8TRmzfQqB5H;0O& zo9_S>hpC78Hy$dEu08`Q4pR^FZ#z^RUHt^8I7~gvzw4pm=<4@E#bN4U{=EYgM_2z8 zDvqw6WjXfn;f0FB)WiI%0~JR%-xMkiQxEfR2vi(heJoTQrXJ?s8mKtB`YxzAOg+rM zE1}}(>Ni2f(bZpsileK)1r>*>hxzv>R2*GB(+Wtq!PLY2s|XcGSFZ&XhpC78*Aps^ zu09+p4pR^FZy8h^U41=N99{i9s5rX%<xp{$dYFHYLB-M4pNERW)WiJy4l0hW{yS70 zrXJ>Bv6YZ;Lszc?6^E&Z`PUgLj;`JZDvqu`8!C>jz62@`QxEg+WT-g0`Z-W>n0lCh zcSFU|)gOV1!_>q4`xq*YuKpub9Ht)TUx8KF!$%S-j;`JUDvoZxBUBuw9_HT!s5rX% zOsF_aJ<PuyP;qqi6QSZT^)UZ#fQqB5-v<?ksfYRZE>s*{{WGXIx_Z{t*u#epDh^W* z^RF&c9Nl~~s5neL%)g;fadh=@P;r=gn15@b;^^wTq2e(0F#oQCileLF3>8OLe+ep% zuKqSu9Ht)T-(OI1boI<@AmIj65A&}QR2*HsHdGv@9_C*!s5rX%2&gzrJ<Px5P;qqi z4N!4(_4A?P=;~KM#bN4U{yh#AM^}FVDh^W*^Y448IJ){DP;r=gn199BLc$GQy(&~3 zrXJ>B7pOS8dS9qGy80ZbIJ){$s5neL%)e8h;^^w<Ld9X~VgB6%6-QTp6e<o=5A*L6 zs5rX%Pf&4~dYFF&*I^GIDX2KQdP}G{y7^8}ahQ6Te-okN=<2he;xP3v|8_#f(bZ3a zio?{y{JRk<j;?+`R2-%r=HGizadh?1q2lQ3+16tZAAYDfOg+rMdQfq6^Ua~+F!eD1 zhC#*A)yG4{Vd`Q2t%HiAtM7q|!_>q4yBaEvu6_$t99{ios5rX%J5X_$dYFHIL&ee6 zvuuEb8%#aSzsgW?boDw=ahQ6Tf4!mN=;|Y(;xP3v|5iZ7(bYFX#nII-fQqB5UkMe5 zsfYRZ1XLVd{Y9uaOg+rMAE4ss>VHDTVd`Q2mDmUgH+1!CP;r=gn15ZN;^^xApyKH2 zbD`qs>dT<wF!eD1PKAo2tDgrIhpC78cP~^NUHvhrI7~gvzfYm!=;}X1#bN4U{uSDU zJ$$60;^^wFpyKG}J43}`>S6v(f{LT7&xVS_)WiJS1r<kEKN%_xQxEg+Ca5^N`U6mL zn0lCh??c7W)xUs>qpN4%j6Hk=pyDv~F#qa9#nH{TfQrM^!~7c#6-QT}02PO+hxxZ2 zDvqwccRR$LF!esr^P<;4#nIKDM-oRqA6R7v#2k=%<a1@)ki?PC5#5VJ{3#CcH&AiV zd8f$dt6S_u*o%B_a6ghb^0~otki?PC4c>z!j(l$Lf2cStd|>DF8t;PG3loQha|2Wy z6b{J#-Gf8?3{)Is4)Qs@g1aH+gUmq=Pa7n0Wb>Pm#I=#m_1%CZj;#J2R2*b4=$u~I zy=F>#Aojw<VfUbM?uCeh+=Fb+Q>ZvhJ?y++k$n*LFmc#<zX?!rbaUoG#bN4U=lxzn z6NjDmE4LqFKDs%N4q_LtIE-DK?<jWhCC9Lf??)0xzQ6trk~nhsupdX5gRI^JNgP?d zKax1I`Whs0Wc3q}#7&XneG8H}@;S!;ki?PAaXf)=C$e}84)J3+#5qo4H>VJXcs*1c zl&_J`G2V_t{1;Rl6z|CA7=Jy7-QMmC*u@nuVHe+i8N2u;Byr?;`Hv)y9Bxuq5auAO zcSjOOR-c3<j;y{PNgP@IVkB|ocwYk*hvg$!xpV?54hlD9a}uv3+^L0>e)^Hbk=4(G zio?u@-SfT{O}qqp&bHw#?B>TKi6fi;;|_N9YIhOh$m&g@;^^*iK@+cmy65+OggMCm z)qH>uM>hY^BZN4z`VUCr$m;(?#nIiv_84LgOuPl^p6(|QaZtP<o4*rD9NGM!=h)RZ zAc-TZ?}v)R?1jbGG&FITd!%1tH{TOU9NGLwud%Bac!Ll}Rxb|~M|Y1JnmEiotKTBb zK@OjrNaD!m7k$94egTp=vikK<adh`=LlcL&$NCd?^D~jek<DlNie0?{k~p$@d#E_N zd;HMEVfpLQckJdf{6L5!n?LgxLL50fk0XgAtG@;nM|aOtG;vt|n)4UC`KOS?k<EVy z6^EG*^Oq0<=#~Zs2K0R4fFzD=PB>H?-JA|4?B;Ak5=S;?FH{_64lLf)nX#J_iX@I~ zP7G8WW)3WTBw4VV<BlYbY>ppP9NiplR_x|jB8elL;{X+hnFFgA+|k5g;qQkg4m)?q zkqx`O`AFi(_Uf`D)N3NuFI%DFF!%I8%fmfr;xP9dMiYmXW4k#J<|C))mq_Bs?s4Zr zs7H3sd8jzdJyW3WxrZhWbI&twggMCeitr%Bk?oa+io?u@g})M-ILu#~XyS9A?wJl1 zN6!~Wk;IYh<rGA?#~Ug9ZK2{Y_pmTRE*c4eii6BY?gzy}#nIK5K*iD3*Fwe7)lY$n zqpP0_6-QUU1uBlNelJuUUHuiPIJ)|~P;qqiU!daX>i<H;(bbCxA;J?md}N{G=;}?N z;^^kvLdDV5hd{;A)yG1`(bbng#nIK*Ld9X~Vd-rqR2-xpIh`zmio?{y(!)-uI7mIR ze-A;$Vd`P&_9j#uUHv1dI7~gv{GU*9boETakZ^{nhnX)46-QUE1Qmy=hna5)6-QU^ z1Qmy=hnXJ<6-QT}1Qmy=hnZgq6-QU!1QkbDKMyJnQjZ+|OQGWE>W@Li(bb=YileK4 z2Ng$G{}n2Zu3k(863*!AWufBe>g}N7=<3~};^^v=q2lQ3bD-id^-G}TTL)AeUHwF; zIJ)|^P;qqi+o0kw^|0}WOHgri^|zto=<2^i#nII>h(f{*rhX07{W4H-boHuGadh>L zP;qqiUQls#^=VLXboKdAadh?FP;qqiQ=sDL>Nh~e(beC;A^s354l@UqFaAQs(am8K zLk%~WdL^hhx_WJ>IJ)`nP;qqi0Z?&t^*K;+boHfBadh<)q2lQ3XF<i$)o+7}qpRN! z6-QTp8!C>j{s~kZT|I+1B;3%|b3(<@)vH3q(belg#nIJ!LB-M42Sdft)#pRS(bZQ# z#nIJIfr_K6p9>X7SHBY~j;{V84slKi?BOE>6^EG*E9W(#;vn;p>op^&IJ$aIs5rX% zAgDM@J**tagNlRHBbW2#P;qqilcD11>gPbkVd`P--wYK8sYiDI9;i4>JuH7+hKi%B zzXKIVSN|C*j;{U>R2*Hsge3OxSA>eAtG9rPqnqyt6-QSe0ToAAp9mF4S6=}YM_1nn z6-QS;11gTLej!vGUHuNIIJ)|SP;r=gSbDe%6$hzDP7lwZ;xP5F`1%VK2dPJnUp6U7 zIHRjqf{KIGBb%=c6-QU^4i!gN9{?3cSDy|Q2dPJPPXSaMrXJ?s9;i4-J+gbILdDV5 zZ-k1YtKS6`hpC69|BFy@ka}eI+=7autN#cUM_2y~DvqvROd1l-Aoa-ZmxqeO)WhP- z3@Q#%kL(_Ms5rX%Xs9?yJ+k>JP;r=gn136f;^^u-q2lQ3mqNwS)vtq!qpLp!6-QTp z87hvh{ykJ2UHuQJIJ$Zf8SLRH3l&FKZwVDgH{S^=j;=lqDvqu`9V(8lz8NZxuD%B< zj;?+MR2*IXMyNQt`m<1RboJMu;^^u>LB-M4|AvaAs~4BW9-azNadh=IP;qqgU7_OW z>Jy>j=<2he;^^wzpyKH2`=R3K>Q_U>(baE(ileJP4HXBeN6ueYpyDv~uzv1qs5rX% zFHmuqdRYGAmP3RmviTxVahQ6T`Pxu%bn{K1;xP3v^Sz<s=;}kD;xP3v^RuDi=;}+L z;xP3v^Shzq=<26H#bN4U=C6i|qpRNn6^E&ZnSUB8j;{U+R2*IXYp6K7`Y%v%boJcw zIKm$)4pR>+Z?&P~=;oV1#nIJ!L&ee6hd{;A)n`M+(bbng#nIJwL&ee6Pl1Y~t6vQj zM_0cEDvqxHG*ld2{S~M<Og${VUPHyv)qjDC!_>pl1GfT>@P~@S)WgFcDvoZx2~-?i zy*E@GU3~~t99?}jR2*G>2~-?ieK%AbUHxRJI7mG=(!I|IafpA1ii6AvMH(;VS44!5 z1(LW4R2*bJ50d#Oq2eHOJdo5IDM8EusRymQfQ5gaGDIAt-W^H(1~rH{Og$`qWi%k- z=;~9U;^^x0(ZpXshI<)qp^3xH{|*%gnIDAY9!*Vzy~yLqu~2cCy|8pr0u=|DgIuoG zK*d4od6B|x6%O%-P;rns$no+XDh@IS6rO*e{$kTYxD(l4KBzcIJ+i$vIK+#g;^_7^ zLd8Mmfb50&cLr1(q#oJc)kxyV>E{)aIC6M4YD3%)GM^7Ae2(A{=hcCz2ic1pK2G`& zagaIuNamzL#X;(k+n*IsagcfeB=t|A;^^u*3?Sx%#PyNVi4;^EWR4(`IRQ{{ka}c$ zlc3@t^+HJM+o0m;>SsX3LF$p+$zq6b53>0hNaD!uw1SF*%t3Z%0aP5_-c3+(ka|$O z!}9MVG;!EEF#!{ZdtmBe`O5)K9F`x8%&@CpgeDGC{|QN41S#B%%pvBWyZ;MR9ONEk z^)eO^_2}XbP;qo~JfY$s^`LNYQH5l@?ND)$dIO~Na1tsGQjZ*8qLvVQLF$p?D+DSI zQZI_+uU%FU^&oNNd~p#f4l*YY$zEA&gnE!W4?xFhUD3o(K*h_^#E(G5m*Nn=geJZR zs{TI?@oYO(_rTJ_Y&3CL{2q0{uKp{UI842!Gpc%6`iw*qho#T;t_bzW;du@!jvk)( zq2i$MpUl9(@CG_>nB)dA2c$j>Dg3jb;vn_N=Fi6=ei|wcG6%UFdxj)#j%2=?JH%de z^KGHxAajt@Lnl-mq#l$WJfP`zBbs;sRQxfTxDQlZ&;wyF$Q+os9hx{SUu5GDzwU)< z4lF%zd!vfO;y2VEA`bEwayga{6$klC7%9KJ48X3QIS?X_uHGydAr6Y)FVOhq4}pk- z>_v`Wai}=R9OU@*#vxt~6$hDv9KVy0#F69o5>y=B{FhL1kU7ZlYZ?l1CrCXgUSRPX zizW_>-+nZ4SUm2<A^r+Y92URgVW{@P#QmaB#bNPVhb9h--w!bm^&o#C$8UWccJbp0 z5OI)yLGkqm8ea<%A>tr&kmG9wR2-xpIlk`T5a&ojn1dW&%1GkK@#PH_2bqstzvM&3 zLGD2==i8v-Aoa-Zc?uOrS09oLaSuqn7*aa_oPrPs#XBsVl~Ym0Vc{H@jw%i-CmYek zVddn;OoV!5cb<TXgWQRn4n?vM>Ot;-r9&GuaacOcLlcLk!#Oy_Pojy#(%Uy2;z_xv z?tz8RBs6hY_$<mtRSyfFOK9S-@VQfjP!CFnET9NtU|`r<3=s!~4{|!(3l#?`Lk`c6 zIK*X35auAKLklEv<aAg86-PI}A1V%V4|06nhKhsKBgYqWDa2lwI4r(Q(Zpf#m5f7t z0-881zV_k}*Q`Lb7ZzW!XyUN=Dyu?O4~ws5XyUN=+E9y74~j1ykOvtU7@F%K;-K(B zj<0U0I7k_CeC@{}{uC+>G6y-n80rz`Bga>M1410R|0~ys5J&EZ)<VTW?g7OY%)d*} z#9{vJYJ!*pQxEH}O+gdqfR>-1q2eI-BfCen8PyzEe^Vb#oB?W%ADTGK-cU4gm^qbD zage>p{(T7*hq)i-Ux5}>_rUy{h$aqG-+?9$Q-87*)f|{RFQbXW!pE)+q8{W<<nTEN z6^FSK=C9Le;;`^}g(eQO_amA(%pB2nguTe&<B24W+>btnB<_XOZglKGnB$Bj-Uk&& zcmFo1ILN=q>QlQB<{*bdWj{h3**$Y6K*Z6_KLQm;H~$J$9Ht%?K7XL%=;}2mLd*w= zBirjU2_g<N2Ns?sP;roYWPi0l#bN4U_O5}7qpN?4B#vxv=2V2e;z;#%2UHwn4stoX z6-gYqov;roj_#ftP;rns$m1=k(-8K8$_H5eI{{4`R-PY46Ni=O-*AYlO-D5!R-T99 z5Ko<nP>&oAHBfPo`;o(;V>U!RNE|sFo<hZ8;b5W(>30jvfvAUx+d#!#(ZnsF;$=9* zm!XLpK-FKvA+9kO)m~V-a)OG3+>abDf{PLAk;B0NDh^VQ91b~1;(AE=v<@l`@~;F^ zx>|xH4yxBgKnfTb7_62+>;;*RT(31PgNTEaA-iWGR2-xp**$lW#F5?e9x4tp2iZNM z%Ms>-+#>^0z`(#TA1V$qAK5(}D-r5Z+yfN{sYh`Sk~oTcpyD9wP~5W$VLr$`Dj)?Q z_dvx#<|Di3B$7CCzGhqlF$bg`c^$P5k~m7bMG{9gXTnB^IUr|%@+qvGIe<g_HB=mA zuPah|VBUn?9Db-cNWCqRdPk@@y1ltjagcgs^E;s8F!iwZ*bW@xkD=n|<|J=LbtlZ6 zejMVvpyKG}ux*2w0}@BxhZ}$-jvW5sP;t;cyC|e^SPT^hnS;E4_7{>k^8Q)&?Ff63 z_s^;$i6iItI;c1-++gLyIvnCRq2eI-Acw!?4v4)lb71WUUo>%;`O~4|Aaju8{WFp{ zviXKPA?Bm2pMWHetiEm+L_Nqol1SyxT&Ot69OQhu4k`{(56X8sAO-^igW+z7IUx1O z`R)o-9Hb1{eBM0>^~mPSLB&DpLFSu)7z_*ym!ab5=F9Dcm;+LVY`!g29HbuEd_Sl- zNIl4W8xVtmf#D)l9HbuE{dM~h=7aJz?4D)Q1E}IV<RSIf8#Hm)J;jR;qN?XmfT)i; zgenfZr(5tasyOWa-xFx!uzRuVkD#hQ0d<erQB-l*y}EDF#2cXMmmEV?pP~(MXY_GY zaoByeLMKqgbD-)^qKU)qdu=$0s=frO-ux7*cnwti9hx}o9@V@v5cQz=LT>NLo`Z;k zq7@Whu>OK44)GGGILI92eDM%T9C_cd_IZf;Aoa-Qk|k6eR4yeUl}m*<#J51jLFORu z|MkC!-TVia5aP(?QrQ)RII?@Zu3;CCLlQ^cZ_9oQp&r@4fk@)W=Ep<DLH><L^6zvU z;<upU=>9FegRmFbe71WCab*AAx{nY?R-gS4A&#v6&m-*Olb#^Nk<D3#B#s<D|6d~1 zBZrUYD}*?*IpI)oQ1~FHhkhL5m!RV4;gkCYyZQg#BE*sXJLNq>9N9e?AF+$qAc-UU zSM@7GJ+goEk;IYBuZ4<({F{K39=75T{{a<8_wVHI2z!yuSNVw$NA~a5U)aSv{~*MX z&5`<t5Jxs=^?&T*j*OtwEFk+gk<AH35=RcVHg<%1<Z!!yB#vy(J*YS++>q0W7ze~0 zbn!^2IC{8!;e@CMi6fie%8d|5_OCQALL6EBdp_*q%=`#(WdE)aLWm>#_ZyNpviU5+ z5cME`B_gF08yw>GP;rpIkju#kQHVJpab)vfiXp_2{aYo0U0gs4A&zX03X(Xof6vMy z)Fb;>Tn-_QY>p~a9OPf*_>ILOz5pr?@)xpytrf7Fe?}1@j_ltgWrR4gd(2d^i+dr7 zBm4KW20}fue{D4p;>hNEL&ZV<MULNQ9OB2I;^_XJuZ`V&16_nTvVRZiVHXcKK!_u| zGaE@9*}ozt2=&PRjYASgHYW!v4)QN@{4T*E{v0Zf?%yUeguTe-3tJ$>k^Q^U5+RPP zzSbJM_(UXeWdB;(Bh(}Nw*^TY+5AaRagcwJ<M$K}ab5?6`N-+{nIl3R+5B>6ggCN) zIb5-e&vQeFBb&1cNgUa~(OwAk$o^f5B#vy(W~eyGzsT|X8i%-^H^O{m|DN<gh$EXH z<%bYQ_U{LOggCPLBZ1h(Zy<>y`?oO^p&r@4r;)^w&A$m12l*E{eg(rI=Aes*K*iD1 zvu*@LJxCnc{C$xKab*AcM`IU%8iNo=Hs>djII@2iB_Pxz`}Yl!II=mvpyD8ZA;+&# zBE%eY@lvQb$Y03uE07FP4-!W<e_;wj9NE9-X$Wy-_1qcQ#pRL2k^Orj8=)TAzd|_( zab)uqpyD8ZA-CHjaEQ-_ii7-x>|e7y?B*ZOM~EZ)cXlB{9N9fO#Rzd^^?OUOiwBn> z#F5QOM-oR4p99qh^~mAFRf7;mHb(*~4hkRS^bmkUd@@uVJ$&@)u$#ZX9wCnG-_S;c zII?>*o3V@AB8emW_gNc4J+gld+7aT&=G#HVLH<Q<x0m4%-w72*_isoicJrThA;gjW zTh@bJ+^Y{Ej_l6c{RnYnb8;qP7iXG`5Jxsg3`rb0+@{P#s7E%RZx(j(SR`@eapNUO z;>h+U%|@7mEIxY<L>x9=0UI|yfkXT=R2&rk$m11!b5YHKjUQU$5Kn`OqnlF)6-ReZ z7gQXi9(f#cCsZ6={ZptoNIkMU!{?#86E^<YiY5+o|9Pl5$Q)#QRpvv?0f{4<zik0T z9Nj(lafov*gs4Y1ClyH?d7NK=F+@Gcd?}>yQa`9T$Q<PH#$F_G<Z-IEP;rnspm8eL zenYM$2=hVW8=&hj&2fmQ;t-#PCcXt~&So_69Z>NHIK=suqPhpBUU?;|I4s@zL&ZVi zfb3t1?FjYKNa5xL6$hzDJ|8k4NgO%ePa}yV$2-ps?B<(6#nH{rKoUnb|2UF3viTf4 zv72uM6-PHe2}vB;{DVm1$mTQc!fw6}R2<#>7$k9I^LHbOBb)yRDh^6-$l<TH8)7fI z`C&-n$mVZF5=S=wC{!F|J}BM7(!)onILLhD^za`lj;>yN55hgj>Rq7X=;}*wh|h<L zgX~3i=X$6(x;eL?;vn_N_I`$nqpMfm3vnm9xF1v;WDc^uaZqt|^_@_0ka}c$7eU3* z)nCFP{ue3^G6&gS-hHU<gq1UvP;roYWP5v$K-7c8k>mXvk~p&Yc1N+RUydY>to}Wc zII{T>#}MWqtDlA>j;#I%k~p$@uHz7MK;ed5J}W}SLH<H6pS4dy)Puy4&2L8%M>b#l zG(<hfd{8=vg{KLcIIP@G#39~~L;L`mIIP^hizW^$hq=z6x(6n1fkS-91%!I!@Hr0^ z2ZaN2_#D0hQ4bPFPAC2MAmXrafYswW(Zpf(xWs*kdUSIl9wNk%&-<N@B#vzF2B<j9 zUYPlJafov~LYR*nkIGPSm^rZWDgcLgHB_91fq?<poM}*TbaM{l5Pt_1M>prsbA&sQ z!{Ng#h&asrKalA-2L0C%agaI4;Zp$>M_0cXDvqvx?^}pD=;~iU#nILCe!#BY42O6k zR2*aua=3YaMl}Z({v|lX=R?KO&H0KXj@(YW@CDU;*!l#9uc+d%b*BMH;xb6>lO(7( z$X?|3NiUK(a(jL%R2&qZvPkAEhl-<{a|uZt*__YcA?`$1FZ}}{j;!7QNgUao5lG_5 z>Sz9em;-VrXdc`J#9&}xcmfp%*^4|6Uhp4Py$@9VZm2lA`madh$nj#u!~sshAoa-U zJRM0K*_}(F;vnll<t^-d?+a++u=Bm=FeA)IHYbe*A`UYLcD{EHnmFuyt@BWEkUNph z;blcN2X?-<1)4bQeD7+gIJ!AIaEQ0CLCi;2@5c@iM|Xb-nmEk;+o9s<=6r>U!_0xX zUx5SFe3<)_q2lP~RB}SpgT#^Jx0nkd?t(PG!^({iM^^8^2N8$42UZS5LB&DlBlpKO zgdplc;>i0-Y@p&GbL5cn-D0RXNIh~n`AG!3Ic%a3addOCpyKG}%tR7L_E&%y#2k=% z<n?SRP;rp?pd}Z7{zJe~s5nSHvO6`!5#}J9&mw^kM-Cq!s5r=cP=0}hXEIbAWInR` zP9$+;^LI!h%tv<r8K^kOe9#gWnEQ355bBZLKNm?H+1`^#;>h;u$RNxyMoK@kWD(+) zNaDAU#AT4gA4A1K?nDj;K{<pu$m_w(k;Dy=%*jL&M|P)@Ji;7gcbei5Z$%PEcK=Bv zab)*jf{LTNpFsg(FS7g9ki?PQZ;2$1Y;P@+II_KMP;qp7w?M@~=?B@~TS(%__KGSZ z+=(1+jH(cEnE9~vIjU&lu=V`KXyUMXd>&LB<Q|mtrjBYpO#M+baag?{t%a%{mS3ho z#nH`wXn?97=6)VSh&Z}>S0r)daM)msP>)={e1eL@!UwibLD>YN9wrWRr=~eX9Aq!D zIo(zWapZDx2M+PuP;rp?ib&<>CmV=4AaPJWI-motz8Ms3QN{N_#lxLY#gBjrIR*xX zJT&nGQ1M+*adh|FJENKdQ{M>{2dPI6&*v_v>S5vY4=N5)k6ixnxuU9vwX4mb;vn_N z>B<Ev4hsjE`O#2uka}eEJCVeZ-Ra^6u@|Hs*?eE9ILv&Qdzzu*=;~SBA?Co;!`$Nz z6-QUU6-gY~Jy$(Z&B=j|2Qqp=#L>;+hl-=yYXucYSKom{ywV3^KFpjG(DbtqP5cN{ zT+$a+J*>a!h$apTx9L!EboZQtio@)Mss9NTM_2Fa2eB6<jvQ_>{t$7PIk0>hfF=%$ z7momldXPED_33gXapZRUc_eY<d@U1*Fb7$^3z9gp`c+8c$o4V>A<RKmpN1rktbQ_* zIC6RN6iFP}9P40+`LOVTg+mln925@7>K#KM>e0nhk;IYhEr*JO%m*b|SUcn^R2<zL z$xwv($mVE6#nH`~0~JR%XB|`=q#m?|9%ip#7{YvHf5jq+>mao&x{$<?)iZ`;H^&hw z4zd?i4#WB{QE1|@_Wv@dIJ)~!A&Dc0gJuN6UgUHYh$N2e&VHyk%sp?Q<@pLU@fT3> zFHmuG_ZUS&?1h;F^OrZ8ILu$uq2lP~JVp{n4rie#RP$l()J7ABxw9N9j&A;8BynW( zKS9M|?uYeHxua3-h4oLvq2lP~FGdnaHvbY-9A-Yu{jbr)VeU7Nf!K>~zAIE5W)7^} zOM!}`t5=9cm=l7OZcUNIk<Ue$f+UWde)b@VBc~tPIPB&dA&DcKzZOXx*_{1Qage`| z^P^Hc!hB@)rAXq);k*GV4l)Onzb-)Qof~N4XQ1Nq60n>93P~K<eAPsVdUW%B(Zpfq z|AvZ#;srUMS|&lv0f{5~%Nr^VG9Nh{)<MP5)psRB%mJwf`4^VI&Y_9J(v?sOcJ*Os z;xP5I(8OWs{~nq+Oua=a#C(uDk?Yy`G>ACNop+$&-vt#1sYecnjY#6i>mPn2i6g7G zO^29|ZcYOZ@k2PoSu?Pk<AFoG3y1hw9O43**v${ZAwC6%_;noOl3CczkH#UM2^9ye z8;L_I9~R;ee*zT;g){OxB9?4~y~y{4MIebI$5%0uII{ZVNaD!qA0de&t2fI**o&;* z3rQS#eMl!%9Fz_<kordzc@T3z;-GvqM;FpRS_~Bj`4`#zQ%K^-=~E;hVh+0cFdX7D zafsi>Aud;d-TXux;)`*JKf@ueS%}^IY#iciafpAwA#PNJ-TV?9;!RL-^z^w4hxlKp zI4Jy)(`QaG#9mPRg0>LD+Iu|Z2yr!}^l%g^4l)OM9_<}e9Apk?ygdZOU|?XlS^+T! zq#k*^{VS3<a=P`bM5sqjS1Cy1$m(|>i6g7Oj3kb%UcCyt`PN9{$oZlQDh~3FI#M`f z)<DbwiG%V*j2<LkOo57n{EO_K-ALld`GU0;Vh*}`KBzd%eAqaTE>s*{y%|&-U3~~t z99?}ZR2*G>4OARmeJfNPUHxLHIJ){ZP;qqir=a5K>Urw0hl4*3@kuzuuiy|DtH*AB zBo6V}IK=Pb5PuC7N6(MS4G??L#nYhTpm0FWk5{4Mpm0OZccm>5bI`?aK*d4kAm_)A zP;roYP=1U7F&G#azO_Qk0jWpMk78{Iape5sha`^N?yW=;M^5Kcki?PAd4VL3te&|Y zyS?E^;>hZ=k;IYnX$e#u<V{e1?1ART`A~6?f04~Oh9r)h9|bxP?m<>B2^EK#56h1h zP;qqg9iigr>f@o}=;|||;^^wzq2lQ3CqTu~)vtq!qpRNz6-QTp6)KLdUc3`~I7HzP zpNm8MJ`QokF6`!~;1FMiL;M8}@o!LZ^n9n+4Y3zpybvl53J2u;I1x!4IX$055=YJ# zUy#I+(+__S#9om3$mS#?i6g78LlQ?;e+fw(S^YC4apZjQ9x4ut_dU>jA=Qg;53)Iq zNaD!(q8mvZS^X5KILv%lzSs;E2l*FS{T`?|y82sCadh>Mq2lQ3nfkE1pBpNUu3i%= zj&8mYR2*G>08|`Z{UjXXS8<3-^ka8t6b|t@IK=Pc5LcXl-TY)6;)PIg^z^?Hhxi+) zI4B&D^99dDguTe=(*;Q!IbY->i6f_nE+lbebM7IDBdh<3B#x}!cM`%q$m$c3#F6tw z7E~M-?{A>_Vk%S|<X>cS_8^HP=L@#U*zM(qio?u@<qJKiIJ)`fP;qqip-^#j^>I*f zboI4Radh=<P;qqiOQ7QD>eoWW(bb=ZileLNoq|0a0&s{=#vy(chq(Au?B++|5TAoX z{2mVRH&Ai(e4#Q8VlTRQI#e7K4#@eU9Z4KHeeOpRN6r_oki?PG8|!q4y&&_E&51-3 zM^;~gB#x~91d=$i`a4MC$ob+aR2&xXGWw8yjlc|qdyvgBM-oTQ7mY~b$m+YG;xO}J z`C=th9OPeQ^_!sL=;|*(#nIK@go>l9{{a<8SI;;T;xBad@=$Sf^%_udboFjfadh<^ zIK)rm5a*i(aSysV0XW1b;Sj%yLtK0|cJm`~h^Irv(bNBY9O93l;vn}U=L@De2z!y! zrxlVoa=u7K5=TxC4M^h1=3GG%M^^tHNgP?d^IU{`kkyAHi6iHW1gJPH-hH6?q8lm> z@-MPEn~=nj^Ti(|ab)$Z^C0elnGeesDo}BB^L3%(=<2<p;^^u_pyKH2i=pD^>T96l z=;~)d#nII-hKi%BKL`~^SI;~j;$L)eHyq-fIK)rm5a(Th-TVL?;*)TQU%?^%2r7=A zFQgVi>_r!kg^Gjxi<~cNk;IYH=T;<f<b3e}NgO%-{6-Q-HphPv#62K;k=17*i6g7u zha`@y{t}Wna=y3?6^F%p4K!b{EyixIE|NHMz9>f$N4B>CDh@LrmM`W*#nJt>0xFKK z{uopoUHy5eIJ)|GP;qqi-=X5@>P44e_pcmO99_K)R2<!WSEx9;`V^=*y87if#9!eM z{|psJH(zKecK1s|#nIJULB-MCQ;S1<KMrxmW!UZIhl-=;BX=C)T~Kk5dyw<jDkO2_ zbp8lQ965jSF30X34J2`7b83*pk<;yTBynW*uaU%&)&EBlN6ud{s}Sx%&M(W5#F5Q; zi9=jxHNqTZ^?5kNH{cMLT!Y;lb*MNfzLJsV%i?i}FM^7r#~1TPi20!OhCKdh0~H6U zM;_<&g^GjJgT^^iKnw;3hS*IIb3p2m$2osΜPaL*G{H;wsy*i|^WjUHmGNIC8u* z?ZmEL7D*ggy%&-=vO80e#F5ocLJ~(-zYIwnIbEGX5=Tx~BD=7=GaQHbEF9u@aEQz8 z#%_K)4)Li_arAU`7KgaN9)$VG<pB3VggA2eEIfo=-24c3@v}#<i@!h;M~)ZKW7yRj zAc-TZk3|wkc4q~WII{YsNaD!qcOr=+$NOC*apZV^1{DWoAJF(Yte)jLj@|vDP;qqi z#!zukzC(6T84mFsIK+S95Z60_a1ZkOy*wmwWP59%;^^sT3l8z`P;rp^k<-tovj}^U z&F?smU0m)WcJVEju#2BZ5=V~TKS<)p_KIIdn1ig|1xXxPeLRvlvicq*ab)!iki?PG z&k-bX<n(hEDvq8WK10Pp;fZYiAE-FGdZ{Z2e<7=n#UVZ)hxkJr;-Xg(<|C({P$Y3= zdy}E!=;>!R4)J?XagcwJ)6dOY2z!yu&%c9Rob?`d@!9vWi*G{`M~>geNaD!${zDQ+ zR<Hd4yZLTN;>hZYk;IYBZ$}bGPCu)W#F5j_7N|ISdbkA@2ZblH`H!LE=;|3CVt2m_ z4)Hb|;wNy3|3MN*PCqt}u-oee6-Q4$O*q7lLd8M;MNU5lo?|yZ>?L;bH?OdZH@(3w zJ{L(GIet$ei6e*qQzUU@^+Ip4o3D!`j;uZkNgUbyJS1`C^fL)b969~WhKi%7hl5aY zP<SGne+nv&uKop799_NkJM8|-#UZ{PhxlV8apd%){2sgchEQ?z^plB0d<|3_<bLGz zv-}Ho^KHLj7r*=+yLjeL?BXp*;>hv45lI|5{LdhXBdh<3B#x|J<QKwTWc7|n;>hYl zk;IYHPbHE#a{6h4ile886;N?dcp{s>5h{+Z{ybD1UA@q6g!_@r3Be&g4TtzCByr^Q z!}SNd`4UiZ^z;*eLwqt+9OQoF^wY-(G6D0PSQTdM;=5R|iwCe_7tcl#M~>eaNaD!h zwi!trS^Wbfab)$sk;IYJYp`Q?j}4MIa{5U?5=TxynNV@`^w19#2ZblHduBkz(baE* zileLli9_6)1H1ccafok35=TxyACbh7-T5CX4zdNgUvJI{5@BEfk;vjzP;rp^k<(8p zA4nx;`r#J9F1}C@ySTY9cJUx2apd@|M-oT&*DNG)WcA09#F5oMLJ~(-&o6@AJ<3Sp z$mz!&NgO%-1VF{n(?c0l92B0&?x}~0qpP0_6-QV90Ef7eD0cU!;1HjIB#xYZZXk&x zyYm@T9Apb}I*}6tiD0ImM5s8({mAJjMjE0XB#vzUCmHPG9dg*k<rJ`sTOx@g$8Q>v zII_KsNaD!q*CB}`t3Qb(j;#J0k~p$@E=7bpk<-si6@)nQJ^~?Ch&U)cXd$gr4S|Y- z!VP(xvkXZb+1{H-;>hN+s6)&LnS&hf(Ky7jpyD9+fUY`#tt(y!6$hDvZ0~<0ab)wu zG_c#73Kd5;e<f5L-Fya3ggMCehT{;gL=s01hb3AFbCBKn8;3ZTHbgzhouI89uyF8$ zile)8K9V@H`QLDev+7_s-xDg1ZvFxs;@@$ItLj3`2bqH$4jFn7age`2<3+Ijp%c)= zVf!L~;}EYifS3a^-xq1zxEDzrd4JGcs5r=8&{hOkcy5P^gUmrr=l7Ask>lOa5MeK} z`JG7O$nKeeB#x|p1ymf}J!hce=<fM~B#!K!bQ6esK<0qL6Bcf5P;rns$m&-ji6h60 zk150)ka}cyCP2kO=7W|x!NTneR2-z<1u6V1%@F1wn=fOI5JxuO04feLA2bCBGye!w z9Nm0R3xqky=5ty?#6jkO!U49A&kTon98?@+KJxiX@1f!_b70{qVTEcwOxyt~j&4q% zHFot?IK&r0#nH{lvB7T6R2<@aq2lP~xY|O@0f{4ro0=U&9OhqGxCP@7uY-z%%s~#f ze^7CdIR;4eh`2q(e2{wN`4<hSI7mHc{-p%O0Nqmt6$hzDo`3ld6$dE;#V;)UH5?%3 zql*Va#X;sEyHn5+yLvku;+ar!baQS%#X<HW`}ZSM9HbuEzyG1)AoU>s=71Os3=G9i z5ch!8Bl~wIk~ngFMLI*&gS3Of0d~$t8xHYxP;rp?$l+k`f@%(I+&%+`_ynjpx;ej~ z;xPBX%u#m5ZoW5E9NnC&NaD!;-Qfl?2c#bPT&(Zz5OJ8ju=F740TBnOM?QCAu@^)f zrXH5g_d><d)qh12M|Mw+FRD2(d#B<MKL8a6nU5U)q5-Jpz}yoE6$hzDE+<o=;vn}M zBIU1>P;roY<a0Bk0#VI}xpNv+9HbuE{8dnKbo2RwAm)J7BbzS{6$hyYr9+teU7+G1 z^~mN&K*d4ok=?%sDh^VQZ2nQGI7mIne3<(`LB&Dpk<DidhPV@?9@+dXs5nSHviUcW z#F687W(dL@ki9T}9m6614k`{ZA31(2Ls89vxqlH3@l#N7baRTsu$wanhxiewIJ!BW z;Sh5`;>iBBi9m=WhfgO|92B0QaDcggBUBt@4zl{2NaD!m&y0ka4>JcIo;bwcLB&Dl zBZp^w6skEe_pHDnegP_uZjMznc5{+(h<8K9(ajN!ftUjlNA_=60zw?Q{8<4NhlK;o z{fD69Aajt_C#N9HK~Cr8P;rnsMo8tr4X8NC9OQU$ONE#NQV)tpSbMt!O&sPPo(zb3 zkU7ZqDnP|S<{;bK2o(pZN49q>k~nhsT+M`-4>AYj9$5YL9x4tp2RR%RvLNa~;>hh- zO{h4`9GLq(pyKH2*Jne_fvJa`lk*r&9F{%<b5Pa8#ABi2AoG#W#qEcR!_0@N-whQ9 zsYg!Fp1Bb7LE^~aJR3<I*}uGb2=&O~hDhSb<~TsbLGCd|N+$=P;vjpG?`;>&hnNpi z4~iF<`?U*E#bMzVk0uUNe-?-MO{h4?UgU7&E<!aQW{x>j9HbsO+)AM0=<b{h6$hzD z4xgRH5c5Ie$o7hsAjFZ)Z$uJDR(}^s99eyODZ(7&@ZX6fj_e-oGK6|$aepLnWOL%6 z;voMb$KySyILKef@u*l1F(0HJ6pyg@3Plr#h4VtFILI7i_uPPr!_0xT>pnrnLF$q7 zX;lTpUXVDl`_CYWBb#qmiBOL$o`fWhY)&Cm9ONG4aCiq52ic1p4u7EHAoZYdfR!_1 zRS0{L-M<Yg4pR>cpQ})Dkom~&d{d1u2RWU%)*!@@)5%68ab)#ewb<29K@vv}hi6FQ z$nJ@#LzsgsUV|i#Y)&^+9OPf*@caW62l*E{Jnict=7ZFO!V{LRa?!+L;kFYh4l)PX zJ#V4nFmqt(ioF41K1e-sy!0c9BfI}0k~p&Y!Ho!Wkj2Z9#F5QugNlRPgB%Xuq2eI- zAcq5M6U2OwdQdpP(v>_^9HbuE{ZpXgF!eD1u7irBtLJHkm=6+19>?2@B#!L<Gf;7m z`N;0Sf+TK&RQ_kQAk0Vh*HR>L<ocSi4Wb@oKFGf=phI*R7#KXE;vjb-pMz9}B#s>Z zvyjA*-G3cP9NE9l?GSrG<|B{G<spe9n}4Jep&nWMEL0rkepvc^0~H6EkF4IX3t|pT zJuJTNK*iD3%XFivhqbQ)(8OWw6UKgsdXPE6NaJR|CLqL--NQ2xA`Wv8Ec`>D;vjR7 z!@m(p9NC<uNaD!h{|HGOIsE-5LF@&Yj~xD$NaD!mb4`Y*hq)6L4t8kbuy8m96$hD* zY_Itgh&do}<Z)CVBynW-$3VqF_L?H4^G>KZ$Q)$%Z$T1Ac0cn}h`k_lK<ONoP9&k? zAaneX;_DHTII=lz(;()6)FZn;8c7`4{n=1)kiE$6?}mzl%t3blHY9Omdza3F*b6cT z+5JbL;vjR7)xVkpQI9U}I~O93ZcY_c9HbsO92OyoBb$F>KExc5dSr2z1rTwV`LOhm z3>8OLp92+#sfXPw(G3+xSFg7aVm`X~KBzdlIk(ZoVfRyTEP|K=Qx6k2#vvYuCJwuw zq8d#cb`Ql09O9R8i2quG>K<4)NG^qlqx-jJId*Z;6$o+Ua-MS~L>%T{Sh**UCJs9v zxCc!fRu3*h6NjBw%()8Hd=t=R0t^fc@@V1~Q1Lc2@duD&_8I1&i9dmgGp|N9e**M; zMM*UADNykSH1P#c@o8w{OQ7O^(Zpf*-3zZlwKoTJNH7BfLlv6%4yZX3(8TvZ#Y5LZ z%t4RGOsF_0UTl!cw_d0?y80zhagcgzB=skt;^^w{L&ZVrt&r3+ufy&hDX2I|y(N-* zJE%Ci`Jqs8ka`Ow^_5U@boCRU;vn_rNb0vh#nIKDhKhsKn<1%x4;4pOKXX08U&!e{ zU;{!NxjssPii6CzMKb>=R2*bJ^0<fTMua)Y=I`7D5eJzADxYEH-essb$Q<N$WB*oE z^|1WD3{4zXE*-}q{vL<8_%>AYVda$`nmDYy^2Z@wjzjz(R2<!3x!WQ3qKhv@5=RcV z;GGEd$l;s_6-N)xUZ^<8d}Q^rq2lQ3k3q%J)!&DTqpRoM1#u6$xEWL&WDat_Z#7gL zUHvtvI7mIRI}h$bH6PXvc>@(kS1+~?q23NDeVRhWLF$p`q1>S2Aoqagp=v-31_p+c zP;roY<awxTP;rnlWb?m5#X;(k&1c(>a1Y4*7LY~;28K?kI7mIR`DdWwAZ4I@Q3EYM z84e)K0f|>Y#naHlVfknhR2*bJa(G^Xildv)b`ZPyIcVZA^JhTC(aqls6^EG*i?7Ex z#Q6?E>;;*FoKEVX;xKby{p)pT;xO}h4<pP$_U~k<IJ!B9(ZpfqC>_CWPAgO#W)4jK zY^XTM{mAXWxT6SjklTCJP;qo~CPKy0%?Uh)-JE`?ILsVaI@yaR4ofFe$06px)WhP@ z4Jr<@7dhP8pyDv~F!Q&diNnknJb~SOTc|j?`4LcYnE9~qY{enI0V)nM2RWU@pM=;8 z5=Wj7orffj>@U$%*wu$1i6g6zI}K3}b0;j^+Hr_)f{KIOgY3?qNaD!l`9CCa<oh+6 z&Oq!1nS)#pF1Q2{hq(vV&RmNo4r^yRTt-z7YsdPciNo5lJE7trdy(B)b_HS%NF4bd zlTIAsb8v{S$07b7NgVn95$CH2dy&J(2PzH=2M=g@SOpaaxfA*Rk=aP%$oG$|LlQ@} z_Y;yhvc12d;^_8jUPHJO*<LRsab$aAk;IYhU5F%(Z0}mAILKamq<ZZJR2<}AWb<Dm zi6fh@eI4OWWb@6T;^^ilLB-L{pN}MtoKBA2fS3<52UJh-K;z{unm7kk{OwJMdXV|Z z<z(<Jh&ap~nEL%tagcgsd!OA#RS&cGFPb>a9NxPS^&oSQ?Jb6iquYBQDh^VQY%kk= zh&eFzFneXu#9{X8K7gnPnS*TaWT-gI9GLq5P;roYWP8;fLCk@vhuLe3CJr;l_c260 z$Q)#QH$%l?=D^g;KS8KRw%6?`L>#6bW^XK-ILw^fXAt%1_FjgH!_0xHw||aMk8E$+ z3y3&OJ<Q%(G;x?Yy)Pl^(e3>V6^EGvQx7?vi2>Zq0Hs?PAF>++Ar4xT2a*#25ex|N z4n~N81cZW{!ws^Cfq?;Z2Np;Qqzq(!FH{_KMl`ax3p0qvzyMl91!BR}pM;8o`i#ip zULX%LFfcfP1d-fx8Y=FACLX{F5eR@#aCcsUibtS{hp|Bf5+D>@{Vk|?2AX&rbo`+J zP5cd1yaG)edfYlg1DZH=+Z{s(nmBa2mSF;#_#a4gGt58}FXMs;EPzmO_y2;5uRs&8 z<Aw-qfKYJtJUkGA9S{mG-UbyvfF{nx3lTU0q2TKKpyC(M#D(}E0yiKOT>T`d_yaU? z9)5@btloyDlL=69Sp5$Z7l9_&9}sPD^JhTC!2(F>M@SH&5H@ZNGiMr9TmU41q+Uu0 zqFw?`d>&L>0Zm*&7@{6jSAtj|X;8kH0~H6|>3}S*AOhksFj#;TBH6nHD(-+Lt|<yp z?|~-%2Pz(bCf+XwQ6GUO&LIvFPe2oIg^FjOi8Db9fC4me2}y`K6=>pnpyCZ^;#Qzb zcNrKMVC4!(8WcX;q2d!j0!ZOt2fD1Afq`KLn)qR;_yRQXzfkcNXyOjg@x~2k;x$n5 z9cbb^pyCJ6#9u+hPoRlgfi62`U|_g_CSC^>zkw$HA1eL;O}rj-c_RY@!wWQVCg}L# z2Q={upv!+47#Mz_iAO-^?--!vF-RH|&TpXN9BASVpu<!c7#IZ5#BYHPHD_R8kU$f6 z0UZVpD*w^McSFTB(8N_in@$)Q7!1(FCqcz6(8NVSn?gY4Kbm+4RNMni{1;R_08Km= zw5fuDfgu7-oCCBufPsM_0Zse_R6GMsd<C?EEI<?2hgN76XyQwt;tgoxlAuM4p!AO> zJ|8L$t4~4FlOS0OOv36xnD_>0Jrx3$WdKJrNc{$AeFUq&;Oe0jehs>MX#E1KcVOxZ zp!Eo>zJQ5;fR+PC(9MD7cUV6RrrrRWA7SM)O#A{=oClOJ84&I-faWjI-7z35VCoB? z4GPfSC=_wfq&EWtLk^lb0iZ!^1_p*6G;ssa;1&Y|!x}X4056cK3=DVB#2>^%#9{dh z=AMQ`h`0zy0LlFd$q;c^ItNLE;^jaJL>zW+Fibol4I&OpZ!mENXavH>)nMWaG9l_0 zfCP~2b;yQ@A3zgdkOvWefF{mR1QGv(CcdB;A}#@Kmw}`~?sq7Kh?}5^8<a!D1JJ}3 zDk0)IXyOJ{5b+K)@e9=u@g->D1+@_I18Cv`^$_tpXyO~74Xz((;sH$%^&-&rBg|hP znjzu_XyOxEA>ux0;s)&y@eDNa1JDLt3z|4X7exI6G;xP+i1;2fafKd;_zg61hF*yH z7c}t)P;mihI~VS+eu#P<H1P+}jP8LZeqbU*eF~cR0#M{LFfcTri8p`-eHj=S=Aelu zfGQaV28JDI;to?G=3hY*SC|G7|9~dWFdZV!11;xZ{(1lv*FY0L0Bs<<pow3Y2{9)D zP5i?wh<FW}_=GtS@fm318|Fg9x1fnHm<JKRfF?d+K1BQtns@`W!Oj6Kr(ymoSO`(C zf+l`oF+|(}O<Z6pL_7vfJYg9`yaG+UVL3#63Yz!=Xh#87Z@}F1VI@TU88r0{t0Ceq z(8MRKfrv9e>lv6i3~M3c&})|A^;7{=9D1EBT>Jx6+yl*=f^`scpx28b)I-Ig*Tula z3)Vx_Lysqii+_NMuRu2+TG2s|cY>=gfOd>tps8<wc8s9g-{I;fKs!dzZKiPX1<;NW zblVDCd;_#&1YJfA7e4^)7<EAFXIOY%fOd?a)BAAs2GEWXbT}C<KH)ng9H7Gq2=V_A zaSmub4>NxPJEQ{CKo{qSh<l)mi$laS(8c8;;vMMX>Jaf2=;ENoG7Jn1C(y;sA?jbC zi`zrQIiUSoxcl89;u`4U{t$5wbn$SAcm}$7JVd+$T|6Bkz5-o5A0mDNUA!D3{sK+> zLmfn%1KQ7qxj&!{BCdfZZqNr2_dpXDm<AEgKoc*R3lZ-?6Th$oBEAAm+yL4jI)NsB zU^7Jh3pDWsyCLEn(0)J6{SAj9;wot34~{^@9ni!l9D|4_pots21ewUd(10eczyqn+ z7odqZ@Ik~spoxDFfE2(y(D4S4sh}!NK@lRZf+qd|D(-?NUZ4h1pMoYXpa~IgKodWp z1rgtYCN5A95x;^a?$8Jk|AHpIpcx`A0v-Q=x!<52B5r^texL&)9)KpE&<_#MK@%64 z2odi<6F)EsBEAAme8Vb;_z^Vm18X4SPte327D6ft7U*~k%>5TWL&R0k#s5RZUC_i6 zI3Wda0-E>(E{J#ons|dKM0^gKxPUlBd<U9%0knd;f+oH}3ZniCns|U5L|gzmZUpn! z2k3q925906(0kAW(8LR%_j%`_i$m|v?m!ct0KLb01)8`5^q%GuXyO9UdnJFMi8nyc zi4cK~W5L{i0D8WI37U8U^t^)*G;s##{{Ip*@d?oV=M&Jx6QKLX*Pw|TK=*r}KoeJh z?q`01Cf)$sHwztCgSmeLbbqM|n)n6iK2H}k@dMEPfeC2h2GD(UHE7}op!?rupoxEg z?jPHMCO!dLvEM)wZ-B1D{(&a`0lJ<~0y_Q&^H&3OJ(CHVxBzq=QV5!O19Y8D37Yr= z=)CL%H1P${`O!6K;tkOGurp}l3!w8=Z_vaKK<ATqpyQD+_dkHn_voOBGeGBKe9*)d zpz|dRnc11~#Ra9s8C4Kwaz<)OX-;Z<K~a8kYH@LVK~ZLYQD#XcShg%DKRrGvvm`z_ zzW_<3xTGjGFTErKBAlC=n~W@5npd2eo|l>upIe$!l39?0q%k=^uec;0r!-Cpm=od+ z;wu>Ric)hD^-5AJN)T==hIyl;C^4@%F}Wl&KM!nAVorQoVlh-@MoLb6QEEYc5tI+| z9af!r`FZh4Ir+)inR)5)DXBS$l`zBd3y}4umc%EQ78RxDmB7O<CBG;)F|ULnH#N67 z6-jM!eqM4S5+CZ<(!9i?%J|&OywYNXZ%~5?E(h{fSz<|QJk$=Dod}I-nR%JT87Sg# z|3M=dp*T4|uPn8wB)%v$F(<wxKfWw6r!*DG73rxEU&SZq=as}4mlTyIm&6y86hTY? zg=K0P$mbxRB<92yBo-y+rk124$>$^%mq3h#1s|FsoDl#I{IW!ddBp|!1qh3iGg6bY z<BJmW(o^H})8aGpQc^48^9w+Mo}3F+2R0j;P+@}Usd=eIpb$(gD#|a4Ps=ZgPsuFH zEC!{Pq{{fJ)S`TdB_PciiFqkGsUStksqsarIjM=osqu+<De);qnPsU(@kOb{`8lPa zl!nlgnOBydof@B3ng>pT2#JEC{DQ=Ekkv>j6d|3Gnv+_B&=(IfCpi&rc4l5?NoHbB zW>so@Nn&v}x->YOCKsiGjmILNn3I{F$54`AkdsrEi?FmfwIseIqbM~o#WMxu&G^#1 zqQtzEe25YxJ7M05PfpCq0qakx%uCG8OpZtLOng~J3Pcj@9C*4zR)RBMfej^6A0)jY zbimSaNj^9!Gw9{zm!#^s`-SQjmn0@<Llh#CE65K?aB)yXLy|FyIJkra>r4Tam0%Xw zd5|22;A8bB#D(C3Cq574s&o*Qn4XT%my()T3{n<fl!_z@jYFsultNJf(vz4I4^5lk zEDJX@Ei)%IzPu>2Bo!_cU!Is*0#3A`$j(cx0A=JvWCifj8dApQCS~R!X$O@JC7HQM zB48e*2u{t2PXpz7gl$+;IjkOl7y>C5<5TiWlX4&;;BW;MHsF+!2}<<wsVV75l5jWX z=BFSmgXWpy(xif-{B)!|5uceB4~eJL6b8NGlA@BtBnG|W%3LsAl%JAVk_fFuz|7Q& z)a25V)ObsV%+%D>_=5Np14~mA%ao+};?kseO9q&{iGighLteTCvWNvp#N3hr>~>HA zou3zP4iYm%6*B{gnWBoBA`CJGNgIJl6NIP<SQJbeBSekCq6oebm~Vs-Ffl~p7$S?K za11ON^dNzumt0(80S?&Q#GIV`WDB^LEwBcO1p_S5bK?!ok}Q%;(+rI*EzFaWO^xD> zOp^>!43jP6Eg1BCoC7=!jZEVa2AUXHT7bgP0wu&OkQG>f6qutbFb9d5A*(V2iI}3Q zGDRpb1xcGA_$DB}F@kRl;v1oAH$q4ofu)fIObo$7h6p7{OcO&CjsZfEIV}7v6U|f8 z43i;A%ABb1Ge?A<IXps43@pt-;b)E-e#i<eQ02@)>djEa%n<64m?j2hAho8bYE2Pp zO+nHo2)+r3Z;ap@qv|xaGzKX!LKQVas5b&jBMF!of`tqbN|2Z)hA12Zgd#Jnp=?G} zD4QWd8OAg>h&MwCUL#90<OnbWMF6S_3uFZrAO+^A3d})bW~gFj2u)}*Mo3a724*0= zrl@*N5qeEQ(k2K#64MwYWrV8J2%!>*X<}#uQfr8iG$bzkkaU<BqHqkbM~f*@(PD~- z78ujqAl?)uS_~~sk)y>F6fLMKERYpgpvsw}a?KD*%}`~G%s?iaq6(TKl$(O2O;Dvx z5Yi?fX=4Q67{oV1Rc?fkHUdi{378lnaSTmiN!=vTAT2F5*%Dkw84?$$h6rm+3{f}+ zaC1=#DifmO$^;r$hL-U#rjaR}X>Jg2f);Hi$kB!>X@M+ffhuQ?$~8kMHA9s#GBaVw zOE*OoG({*k1xcHrN}C|0O+eDd2);3hZ-lDc2qA3*mPQgVF+}1Rn!sW#H96VT#5~0i z5@W;#ts%l16GIe^0o+`a7&9g+#*7g$24fnT#>1GVMsTLNLA)_TUb>|*a*TmQER0d& z6pd?+Dr<(&ZU)k9WQHma;^(ECq6(TKG?{`lnV?FWAf!z|(nes?7$Is57Dd%$gpf7@ zOCt%G7$R{DjbX8d(iSvC=r=J$;TXU*phSleQPE+9hz=Oj$TS|t1huK43d{}SjX=?1 zgd80p5ep-f=rBiCfW$EasWk$VrU)gbAW;)k6HE}&CLn1e6C-c~5!_fu>v6@G8sm{H zHU{Z8MwkcY8$n2fs1Zn&kr6_`#1M&NXoNjJkd+%Ea|}Sn8NxK7#EBtMabgG!azo2_ z7}LxG&NMbhFwNjhBU3oj1kN%xhBHl#5KKcj)7&855EQ9~$dL*Xu`oo9RAdF_2#y&@ ztr3_sMTnY$MUezdzyc-+6~<rzV^m9w5b`F5NE}0WSfXYKRNWSa$l6gj28M|AgSFF) zJmeE!oLU0vqZ=^fr5g~?2kj9T=j4~i7Z;=^XQov`hwM^PNYRU;8Z@SvTM%!6H31nw zlZKgPJdA0GV47LLMNJV*Lj=>z9H9WgG(j+p5lmAvxLRWb(-6TlH-#%OMKFyKOd|x- z5WzGxfon5DFcB<61k>CYuG9>{G(|8?5KLnP)6@vA&D;>qG(|8?5KJQk)7${A)(pWk zK`@O#%)E3<1LWKSN+%W|!rTBg%b;=1L2ArU1<ep9nSrE@z@#avB2$DSQ;;GPRB020 zv<XPs7{ND2RcVBfF#@SHLJ}}BMB*62(;jMuL+Cd#G(_eYKvzgY)*+Bfeq>`{fXw`& zny|r+2P|+Gx}F)#fvzitu|YIwxdvzk8Zvtc5`kdYdP|2_&;=L}VFu_lAe6_zaF_vd zX8~LQ#)yS1Soi>4C=8nZ2hD{*W(^q_pvx#3_&6YYEuhm|Fx?CdP<vtPePI$X{V<x3 z9iksS3<};r+&uwgDNHj118jK?T!fPyyZb+=Lc$NWE(qj)&=M_}y)gGPLhVPhhJoQd z#D0FzA%bw*7%;-`F$=`~NJ_v=enm+5fjLM7D6WvW4Bl*D{jg=Za47+({tW265=;W- zei+}44ZHsr=tBGto8JZbALJvLewhD%vN3?y)WFPx(G_M8_jf?oRfF6OS^|fz9{>n# Bf$9JN literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..8d83dc37642bf8328e29ea0d3a7b90e335a295cc GIT binary patch literal 351296 zcmb<-^>JfjWMqH=Mg}_u1P><4z|auM3g<Y0Sq==`42%qpVZi|&ou55B|LwD5U|{g* zy#E3u>(RX1VG;ua1AohO1_p*>%`-q8kM7M8>I@7X-MbwE7#O<!S(+cR@A&`!|9@^j zmYpEl5zH&)>*jBM$j-l>@8C;jSHlAy-J4J7fwWBy5Mf~Gu3~9^&d6QIvLB?Ql&hPm z`2i#UdN#xVj3*3Fx^N!npK@>)NQ&R(!vB+<A}XCeDl8toyB)GXu6t&9X{S5`0|VHR zp51O59<2vT1U$MO96${A;|>x`aQ8BL^s+kr|Nq~kvl-+UkLDvB(T;J4C!hc%|J$Sb z{{+a(F#jt|21&yG-{41<|2rt~e+5bY2e}u?{~*8M@PD{tSg=R)8v$q{0HqD2L=XV- zH-AeUGbj<P0C7CJn=SMi7`i=JzF%zR;BV;!2h46r3~y!tN%^sW(*d_X3pgUdNu!jl zTU=Yr@T5ogZcrL(u4iG`2a4rV-fr{G4+me!Gk&=E!|<C&@9rOI3=Ecs>O?rtl?ohZ zQQ-iY-)jm=l&|?v`G%JaPde`4XJBA(H9QGU5wN7zyZeV80|Rne@#x-dk<P&2)4lsg z1_OiPx19<M3=BS<zrfmB50vtHbT=EMGcYt)q%f4IdGziEaiG>g&4F9x)A<jq&7*sF z0mMv$Ok8GWAe#x|5H@oK#LNtwW-dTB6T~5G<^zbC3vilw1KCUvhp?Fv8Q}1|fzwQZ z45aV`aR{3k05MY_3s-o0Ae#x|5H_;|Vx|X9GaHc21aSzPc>rQ&15Pt{Ae#x|5HJ%@ zSq92>puAlD2V9ncvZP1z5rJsOILG+Ip^o8>VUD4WA)rjG;o12WRFXS_)AoCCBK2(E zdjphj`CI-mGcY(FYc-ex%2CH%R3t!o%BR;wMaHwcS3{10q1%zA^U(K8%7WhxF_y4< z^tz}>yykB`P$CFY460OoIu9HN*Dl>5DiYm3Dl(ntIIoq+dUo%90jdz<Svqfgzo5*% zg0V!(@c&+rj@Qy4V?>%CF?NQi2sA%re64Hvw)M6H|J0+M?-gHx1UY|p`lyJMh=UXg zaGv6PulQXNETnk7^JgbVw~LC%_Y18qDgq_Op51#vnYf#s+nuGv)C1%!kRLp|dl`h$ zoNoy7n8a%{&)&TY%orFvU?m8Y@7cYTK@n6!fWzqJV<rZM)&nK{AQM5(cEsY%FwgE* zhI9r7mu?Rhm(H`_uPSR89&p?NQtt5WDC1s`OG<fN4G*}so^<4&dcdXgsEgtuun%8? zeW=QL%7yc&;#Wm5SMh3Rj*7r*K19%f6m_2HJlOn^vDHUKpoDWL$ZW#{ubDazI(D9P z<UCm70(Ez{Tz42t^CQOZmpiY1zp8k5A4nz06^=W=&R})yywG_~@uLgpff7E>gD#xM zTolhKeo*}Qn#u6M_Y18D`CD!=Ffe#__kzpq<1Q+o;*g;`5L$AFLrU&awr+N9M#D>; zE-DJ0Au1{!y)`Nd;1K)7FCYrCDUDy_#3%lkQ=dHebq;#&0SPfMFf{lWKKSIruX7@e zU+<6)zw-%SNGw3}05mcT-y#ZdpU(eabCKe7Dk!Q!HcIRR)#=~@8>|NE>h3@m?f{mO zT#yz4kj3CiXD_Hyd7TGV_=#VD7bKC!uW{iMf6PUYBM*7(1POs0>0x*f=E#FS{LUv) zlLpkS2q(S-Y3vrz7KB^ac>z>!UAXw8(?vy~Ge<=P<XQo+)sS$3gb_S^&_e}k1uQt= zwHYihpvj$@2I6QiL5l@YDRb-h|Nowyt)Mc)qxpzNv}258EU2W3g*2S7mN!nQ<xK*p zgn^egJ3u^8m{GI5Q3zyU=%z<`1FGP$ls6W5%9|T#<qe1r&9{b^z>zsx-q60h0fjnR z1|v}3fT9|dgXvh_fE)=;8c??maCrj?18@?hQUQj$ya5#pNaYQv%pkhFaYij~UVus% zczNS6l?Lsb2zr$_pvFIz@}>Y!c_Uzs+P(qtp^0j=yrEnB1{CVhJPfPQ36wXWsHSsy z19BuZX+YgNz~v1n4CqtdfQkjA@&;695MAE5pq4iUpb`dN-kbpOsNKGqK(F!!G%$dr zyjg*#yzxLQZ$Nx#q8cr4=vLl<LLHiii7anGQBCLa2INR+(tx^kfXf?D7|^G@0Tl~K z<qfFJAiBJ9MJ;cBfJzwtmJmkp_)Wkx8nkat(4)Mu@a%5A!Op<Y&C%_^^8MoX3yKGu zA2N2HYW~F7dJ;U?!S8aS^<s&FXYbwybI|a_)(^4_46nnXd}um<?e5vV7c}_T?a$JA z;`@bG_7Wb@zzwKhZ+PG}zu|$_ll)T;fP_H9BAqTOGT;FuiIQNbLC_=#Ev;}4y*VCh z1qC)J7F+&-d&QubK#N6q$?w_S`vASvWQUAG@r;fw(R6GHlvtqU3p9-o8e0M-AHro} z5h!xe$Cir0<p=3wOCU!=6CTv9h_VoD<jdl}|Nql_fC&^3VB4wE;d{B^&;S1@^J`eg zi`-Dlw+2v&25(Q@0P#QpPtEZnh9EkP7l9@)u(YQnKr?^H!|O=n(>u`GQy@Mxp+HNh z(eWbMj~9VL9hxtREJHw1O}Gr9>i9Isk<g?8bt|F_8IbX5P#93J_`p{agNg-EO$;5M z29+6TH8Hr{!CKz9qn0-upfwHf@+JYqqjq^?L9g-#G`WeTyaBJYz){|OKr3%Rd}yK? zEpO=7e*=X&G!GM5-hiT-&gBirk<g?8b?X3^H=r<}Pk93>7LdvtP?<q=dE<dv-b?_M zF!1u`1&BxO@@50Q=HEdJAFz}+;FV1{%9|M$sN>ThJ~UB{mN#@OZ$P0A&BH{NH=wAd zb9n=DBs6J2-8#VK4JZugQ{I4z1*Gx@RAyi+Zvs5J+X`$!t10^ed>9zIExSEgj4il5 zSehT&cb@uwN%5EAf#yf{{8PY#AO{r=@^3roahyd3G&thXy$`g^N145oMTOg+1+=iT z#N5^JK<gz({wW7IPjs@VxF{Y3343%;1F7t;V&S$@Jl|QP!s4QMpp!+V+lz&pOYvYQ zk4iU-N@v@L>kJItE-EaYZC|c~^7uXnO9lpy#$z|GGcb5|J^;lXIPfAMYX?9LG?4pO zK-}Lk;O<{=1LpoEH^A<%fVjWo2443+fVls_fV)57Cd~aIH^J`T0CB&?O}y@xumh)` z9|P`whFdWAv)lr^{{_VTFYvoR0OEcF-+@m58{qEW0&%~B6*&FRxP>?TJ0R}Q7;yI| z+=hjJ%58A?M?l=~aT~Au4?x_%V8Goka0ljokvm}bPk^}p2Y&az2nVNsi2+al2jK2M z0&)KZP*bV#*or$i!ymF*TLQXT+Y>YufLO9GF@u4Dp~Uf6a{-9q(cQd22EJ0eB*CM1 zcZMwk1GHj=H3BZ}1Z@m}uWbgG*Esv(5R*aG)C|bNdXSAC%||3a3+p|)o57U^WMz2C zE|2bJP)!9M*x&W<|NsBr99Zm2l|8yQgKDmCZY=htV%-AGkL<h6nxEMlp0xbLKMAt* zzx5)2O9jXRh>JmuW$-90cqMsr1q%asU3n>6H^={jhL<{hR0KLhR7Bt&D^U@6sq!B( z5Q!RIUZ~-<02EC8Eh>zl)$Kn(9ON+b=-s`*mVu#_qeK{PG<Y5Q%X^^qeRngc9&-eH z&k^J`@KR7Pw^ZAsdorkc`{u-Ak0dPO*v-)Vz`k3k`4Py!7x*U~G(6CGtoa+G;icA( zrL3KY96JxXfEU#|K||A}JAlQd^Wyi5${b*Wz8z!)twUre<uW|!+Ioq9>cP$vj*164 zPnGaFa$e*-q4+`ZkfY+k*Gz_&zF%@|y;$M}4?XbuNJmicfy1$s-LaeD{{h33;MI#h zsNrWwVzK}Q9w=FC{r4ZV-vSh{uw((M^${2~KD<%mLt`d*%Lybt8bBPh__%>LK0x&{ z?)U&z*CQrAK!Jx8AE1CGCO&*n<6{FT3SjwzV-`pfsZ8<c-7R2;FMojA8MxyE)chDR z@c{}vr1$^@EHUxn3kq^X`ECJ<0$6-Z0CCXrhX>yH0JWQN#|Nl6HDcle6nIGS0SZ`R z;=>O$J`RAQ094;f%mzsy<&9PjaDCfgM`?WvG8yDhXnhN^5#~^64FTPW1+H&FElY3> zgj(N%TAD=Gw;&h8YYcEb0je;_t#AE7!GcJ?9-v?Xh1UWQ13B$N!)ph{;RP}oDZD^7 z;t4NMOBPFbfm*gih8M`i)C;cw)bP3h3MNo^Y0LphV5YAJ;gqH?kjY5l1+o!Oc!65Z zSi%d`k|r{|KrW^zypXm#8Gu{C|Mvxg0tc4Lx|<{BFfe$u9^h|T#lpbg)4SPV4g<sg z2Ohn<1B5`G1aK1$I`HVxJ-I>`)EVeLpvk}hau;a3Awzc|bRSF+3uKcbTQ`$7qv5ws z7Zr}q5)~fMs2B&hrR>wo>cYan@bVfH$Y^Xf^zPO$1lwQ$qK><OH#9PU+hWa?h?Xql z{{!HCHXNNHAoEL9IH0=@!PbB_Cn9SH<w^b)FGdCi4{)mxw9dr?<hqy6ATexS_37Q+ z0p5k%JVB3v;S;|AXdf@c^UW`GK_U?hpZEn`R5ZXgf|&|Fy^90Dns!I%K{wrg;ur8y z(fGt4<D;VRi9hDVCw@U66%L=?9Jq^pI{*80z5|=$(G9gthXLfe<1Q*Zpn)i;*L*sk zBNTQ++%g$t5h5arpb=4shzMp}5mEE+|Noc1;I{k*aDIUWwnuk2xFdu#KF!}^$H>3{ zQUD6NmoAJ949ylCwHz>!ZWk3E@S-6O{+8#U);_k-+W<~F%?jY~q#*PpJbFu1B;bJp z-3f&~=s-$gNyDe}IW&~JAx@zn@J{^w|NphHNB3@!NnlfbdRb5W`TrlhwQLtClzckB z`*eN;%e|~+glw2YOMcxxDjY7LuxmY8BIw$Bpp>WiA!z^DxtHCbJPKNp1&V)<?&cd7 z3=G{4ES-lS``=_dx;KM`%)Vdiy#D>V;&;wt&CeJ+OH?F4i2>aEbNF@~x-C)QwP5R| z5@FDeL6PGwDgq$aL3ixcs0eh2sEBm_<2=`S0kr*1nDf~8i;kQp92F0Izs~u~Mez`% zZ`69N#LA<0w?qV%5|o{RfdREgj9PlU_VMU$R`5dft?Oa(p!5UvVT2IGhu}4CJ}NvO z-Q8dz&hHmFp<*1+*aU~EXSX}9-Rz*`3@W6R|AKp0-~z7shyi?W)?rW>flDrr?&cHD zV0U$%f`lv&Xiqdq$n5*I@0S!mah`*OD+g$kXPrlPcSba%<O46a0r@L}fuW&-g`t!S zR6g;yM1V>ZaG?(hW{*xE6$xm#fp&m?zu4-dB2f|xasfD&h;K8zHUnt_JG<M11vGfA zEb#3RBV<cCC?G-hz3gWoE`qw76JjAA0h{R2-K_xfNF~@K6)X(=Ez3bk5Vg1jCDrd2 zppn<=qQb%7A_?ljLUxP3O#1i#f9uH-ek`dRq_gu7=cy8HkSCyFw|jvFXn&|Xi%aLR z@7I*g9ljl7Eb#`VdXQIMhk{lEih!0p`ltvrKVW>F&%aH;T9Cha&A<QuVX9uv|M&kt z|29r*4*urY|6p+rknWcO|NsAY1uZ1>0B1>%m<K3-beE_|bl0fJD1HPDgm8j3BZ`zr zfUFYWJjnT7@wy^dNb!8<564c>a6NQ8Eq_ZFC^<pu3ZGtEP_i|=1mD{ZiU5$12ejnt zMX!>2cY~`2NKiowFK|NkfK+)<A)n5FFD-sT24f~nn1H-p8CHJ>fg%P`e?LI0zjwgu zZwYMmw}uY5cBM}Jjm3uE-2w(+8zev!EL2FZzfrUktiLfG;?uj^0#e^NfNN`T{SEPa z^9&u_^)~}p({2u3GV5=MZA8@H5VuSQSp>=w;9S^EX8mn37nCGmflZzI8#DA0z)7e1 z0;QpctNz9obkGtXe258B{S9#n1%U^zzd<H}vmtHkZx2gI?S@o;gN5i_e+Lj<e<Nin zaQz*DR)2%#5lOCD0ki%F3z1QOgOW2+{S7YQaMs@pE*SMUSO{1By#THLjsR7A1nX~5 zxDB%Udj?wl4fY6;^*1Q;s8D~eFdKsPH^}dJ>u+$$ho$}oR}EO}Z%~zor~U>XHX`BK z`2}>?NHC~-1nr4<Ht$i%0F~YREe%Yd-6}0A2S8kSh2Ya$qr&6a-J^ouA%mPZ!T~>T z1l;EF>HGn0)`zHYbo;3Av|cI+Z9Q2U*!+kQ+%fOG(E5SDWi99^i{3pd9mJIdp1pfi zF35oT>)@e)m*+tZTu?Ux)ZFpxZc%9fm2D9$o%bLuWf9NrJrFVb@7Fs&e7~UhgY$m# zbH>gZ6@e9uC0vj*Kn%aVW_IlS;L>@3^Frr$&hsVtoIk!_;=HK%)A9QS7tUjf=e}QS z{lMQE{Qv)dkR=n4il1P(lVIf!NW~4%<edXcC$zHw4mPkDMhWzihmiqtoD#@}kN^Jv ze?12j$Ty%x1Gp{*894#1Y>+_e1p9RUJPtlc4CEM4P=W#++`Q+2oV7w~^B&{0rk$Am zDv+ZtAm@9Kv%#)J%J}V|E`W;)I7v7jX9u->LCx>)7eFor#Uv!>>mXY0(BU1N^O%mu zAPEyxD2M+AZS83Rr(4L-R}AR9i^I^i`8%+wJ}Mk9@4*`8#f_k3%<;0||NsBs_AE+% z2tmsaA3zxZksmzfAvbM627x1%7Wv@^@%e#67EgZIfh9kH#i*GdPN3%pu#=GUgMcig z$$^|7z+yz_2Z0!*9wI2|F+`&Fb#dkgP*Bn<KXAmMxUxqD>`G$u11Q+Y$`7D~iJTum z>6RM#Arvh?RDd!7B0pRJap_Y(WJD4*lmIGK9Ps6b4_NX8Sd5zafx#Qx3<Mpr2imu| zM+NL8<ow`)B|m`0h|Uil==q_5*!%zrN_yo72lV^^b|ta-0TgUx<p)s0M9vSObW4r= z5Qdf?80Lc)J;2&a5g;yo^1}_{^Fsx`{GegQz|f7>UIL3zGe20M=LfKpkn=+Wmizz~ zBRW4cpy!7N#O4Q3P|_<uRG{Yvuq%np51?QpD?fk|CUSlNrCVy`hj6s~&;iN-i2C6H zh)bXR;1NZ1{jdRFen`NQAHZVN%nt?V`2p-C<ovJ$OMU>05uG1)py!8xC?eZSprE8z ze%OGXAHc38Ha~!Zjja3tN|?y`0hDg3ksl(^@`Jzvl=>k9#HCMu*g<@Lc!4iJ%)pW# zz+%+Q4=d2~1K3H(`2lp61Zc_;x&HtbBRW5PK+g{ch|Le6prluRc!8cDz^)`VKY)Ub zto#5<n8^77ly1q$4~X-~BS9Ty*tAgd?irwj&)>2He7^Sw5C^m>LK)FYw)E)T&A<hk ztJw@1sD+LJAU40CkLa?1<_Lut7+#wrG%iqv9}0`45hRL~azGlvLhyvcqvFxK`vfQS zgmUokJI=9(W6dDPgF?y_w8Xiy8RRke;oL~)kw>BWUtuA*9Dw-00>r`M{{o2rLBm9t z{s)Poc>^Q__CHi3$Xl2iL853H!9uA1w*cAQ`3$NZkN-iANAf?&W7zy3jq3juATPt> zpJ5S5vb)&;E&d;X+|a!lG%Nsh2U0YGMA5tf5(4`lsuAQZOpPE>G>u>(RR6C4*-ec9 zL5@fAKgeU){2v4IA|m}8fV>Rze+P(zCH@1r!Ra5=4aD>YNEFQ*AR(~-p&CKn!qf;7 zMbiitLiN7{$Zlf%4{|(`|3MzZ=Kok!|L*{Kxy0sJv%q4I1Zvz1fTpQI_IGavHThrj zA^0GdLcN41O@a&sxoIvWPl7Ciy9u#+eZmB!{22#w1|ohOK+XcWZw82g7B?XG9e}tG z)C2>&56UObeIP@T+y}CZko)3M-FE`yERg#YKt%`^_x*sl4^$<B-3R3p=RT03NbUn! zM#y~$sO}2@ISZD)SAaNZZUmL@3ZOE!doySu4Kz-X@;68nEt!IZ!08*R5y>-18bP9H z8o@%S>H7r-k>xwc@u0APmhT{s!NUS6{UoCL{|3m*CGp3a4VHo=kkYqD@9r7O44{oD zoX}l6uMJ6bJu%r5WG~3sRgf$Iau3|u@GOA3e<KOxQbZO=0J*%x=vea(5CgnQ@)N%R zXnzp{zs3o0wdm2i+d>Ug$L@q&w{Z+CiYH@&i~_l8ImA^UD<G{3$W0zd;hBu;m=_>7 zl*Aruc31|xFvO#GH-`$ij|ZB|fQF^vC9qR4)_Wx=gH?j&PT(rh@0-BmZjh}Ych^AN z4RQ@;XhPP*fR65mtcCfvF9p>T1t7Qcw?u(gHJ$)*Ji41RK$RZ&47Cg2FDf4Vc94<3 z#R4>m)xFt4je(&%j0LoW4!jTxyxghPu2d0pX^R?oa+3$VIH|Rqqm;++fFtJx{;3B# z4}QPs)4Lnw<JN;E=AejE_{?7ij<_`bd}z#dcY{p_AG^*Sz{4HLQ{tA!Uv?mkzurYf z;B_AHp^Lhm>U9?A&@0eE`P~LkXIh(sxW`>oL_l+L-3}bhHyE@XIXYcbB#yhN$bbaV zZ7Wd`z#5aNc7e7e!($q8&N_}`ijOsef*cf!^`Kdx&Sp?_cz|c_L3b~JG6holOGS;k zAE4MOF+SED09tqkPUfJMQOe*78We?4m!UWbtPD@80+|JJ&oW4=0@;C@svzgzfG4CB zpcAxdXdTK1kbC%BD#6DIwy4|yaY2(I8KC(_P_k-%!1(<l=q3ooQ=mnHoQ7|~$>=px z=h4oWoCiznJ-er<fLmTwES>+pUsE;$uMY*ScH0Mvno=&qZ>|3w_@^H0{G@n+^J@tY z=L^nHil-E>DqeWaWcar8<@bxN2TM42gJ$v!-@az*eBF72^F@gRXrfg>g@Iuo=oXq? zpi}>$;nAaVLm9NhDGr<@4G*O8>oM|AKcINH^=%0U=WE5Iiibf4f4|!Ln!g3yHR!ET z5rCCFX|Te_v-3C(D?af<j0P`z<^Y8T$U={929Hh_70=!^Dgn8m!vC>PZ-@$qM=$tV zf&C!ogFU<p<ZH(rAjg64U-0dGn57V$Rg~r67z8H6v&ympLbJ-i-tlNYl7KUcK{Jz} z7y(6c9Qe?|78Ov0c{CqU0Im0obBvEg?53YE0aSJ<cyxZ=myVkHI97mr3!sxDK_v#R zs0FX<gk3Rl!jbbpiJC|E<`s$z4Bd9nD<-aYUi*Gc@gFF5ON7CGHoWwjr_)CTlpT3q zD|cQ8U)RCGd7;xqg{MTA^C0JS7scb?Rfjy_!vi=#J1G@G6O7<Bgpfc0t#<?kn@9KL z589wz(}y1{2PvshK|g;Tv{<f06g1=kDzza?=fQ>BYjuxg29L}GVWFX+9-Rk04!%_I zIQYUIuClj<@fQOF17e3Gs9+NSTkq4$`t%?2;%1M|`yQP)!E)fBhJ`UGd_i|3?aM$7 z-wsIlP5_B^H{;AY*uz&s2}k$}!NQljlSie~MTO<HA~<+?RKTIjQX+&Lx-5$4J8eKc zPXQ%R;)M0QK>i2SS`5&jg{)PqVqpLWS}9vM6XOBUMNE?w;=$pbu!4bse_Ig?=eZJY zuwL*L8Z!LbxcJwf*s*%ms#PBR>koPye4)q+3Qo{Meo#QdH$eQs6OaPYuz&;=mZ0^Y zi2i*hDC`i82ac7X&CB)2niD__kM8CNpd<qhKu|{WQ0DMx{>ccwsOg3x^kN}(kKWxN z4zwu6Sx*yC=C~77?Sdm8XU2rM3zRLRAZ0Jey{Kg`$csqzK^Ch2CV;$EQh%)Z1&HC% z-5dalHLU*gP(tz_h(m<`@F^qCe;{`u`48k?EdE2gFDx6`like{tDt*tK%Mpf4~{h# ztYTnbcrAUbxdOz16-AIO;`}XJLArZ)Yly;|TJVKTNKGyHn&j@?5t6trh6<2`hM5*< zIkzO-KzNz}FS&%*{m{tv)9~nJjR!?&>q*c(a-I$zttU&^k2`|zk=qG!^f4B0MvqR2 z&*0ZCL6awJ@0~NKt0D>?isElk2e0x0oBjjTbZ9-v-|`6L*>126{4G~OYg(YTfWqkY z43F;S7bZwXfL#Ugu}63J2P5zWN8Ii#{4Jo49Jof_3EEZPdIGw%9X8ep$?x@u{LT*A z<?YkE8x%yK+}jD-jR301px2;*!=m*-DW_*QJNW)H6?l3B7ucvF2WvHf8Z(G^g9h_! z)14sSygdHz|Nm}r!vofW{BsU~%bnH}{H>9ofJTXKs5Z<t(948>;5Fl*^);|E7_{0f z2NZ~iLSqFeRq?m<F@bjQGpq(lc6WnIW7uXN$m%Rt!;_$<3_Ez~{Of#I!%M9P9Qmgn z0+)A?YN#8OA3;}OftKzYf~!059atQ%1zQh5Hk*Ks83wOHg04z)fvigFJm$iAt@FGK z=k*d%&VMeQm%d+e;k=~y>-z=HgNhd&J5RcRjwx3B0NzEpg0VEn@SAJvNl^R9qq~~} z6z7gCoyWglSGELiap8ni0w-T{8lG%D0NUYy-m&wCBj<<C6PzDPL>)N~bbk7NiSv`< zq3_o@e=1)5ezEhEW9tR}mKKordv{L|hbMjlxoHB{+$8AH-P{4qP5dp!ppHfFZjb;x zRe;ycLDvVO=BSq}Amu0rLGZVH0J*DsH^?@R?rsfZL`nwjFGo$upv6+~NWqzsL9U@{ zN=6DZXp(%bjhTLvA?X)WF=qP3Q;&d>DX5(F25rCSYz8G^9QV1wj`_$11t22P8-UVz ziO#X+4iE#iOf3lljeMDcT2jzh0_Sc#&H)($a?V<i=Q^7~hC@2o@Qa^3y1O?(Qz`s< zI8MU@;HnFeNKb&b!`ORtZ=N9zZr<dvG(Tnhe!cS@sQCy^)1XWRZZMa!biVI=r}&fe zLkSP(LC$}Q*I<{Efw~>7r_k;`12;?^Ji0d<fXY}sXv6d>sF$nw9-O5?83%F$8%yU^ z(9LI@FG_eh4|2Y9Q9K4S8glR1-GBf8`*bfp0Scn-$qZ%;436DCDlFhs*bQm~f$yyY zJGYe2vD-w&@V~W@1ONQvhHpFHHGgAtHGJFpy_D6l^KIt~$IgSUoG(jaJi0f3&}Lxh z)^jx0QQ`Ju={)!S8rTHT;aXq8?gG2Al*{lfIO8AfeAoFBbkEy4kV!8(-z)xb<vdy< z;KKRBh4Z+J;(15KzluLzGrJnTb?JQj{VF)9_x=C>|9|UI{?>9(9)p$kpcRB1`#{&X zfp18ImCm5aCa|9zxqVbv!1_!19lKdn3{P5v_BqWtXm|jg&pHo*j$pr73M%MCKnB7K zI@oec9~IC=d_3SN4nPDS$k!lKzzuVdMgc4?aZwTI_E8aWG-go&I|j7VtMx*OAlOlc z2VM&{KV$^$hDv}Q+}?Q*ZX|}o;M@J64g+mA<mfI@;pv8L{QC(y!t4U)hZ279y?30y z6hA3mP`n7b_zsk|pj}#U&=_8N%?YXq_@^G~ywG{jh4W?SH5blzC8C@k9Xa1RDt`Tb zf%A}y;;ZkMI<LKE1qVMgbG3ftZ<!6cjuEnY`sFnEvDcvXpJ(SckM1@NP%hx;4q*9y z5wsKU!uJb`CtM9rHa}o=<afQ;{DBdad?B?{>v3?d00&5EsAqQ@I0qSkS{K(q+r>_N zzo7WR@Eho&T-S3Tou|KFb_DmiTMzFB)n28nou?f;Pq}nn<h<B<gY!ZOXzSN6#Y2vu zn&`6P<<5JZpmob9Rxp+(8eVGs#y|CtXLs8KQ}9{*1t1qbW9<C?{kr0LkPDxKT==p1 zJ7ed^?-#)#)%p{1=nPBe$IcIqouFYO@F_JSpjzqr_v@V36~BXPyPvSy&PDM6Xl*oT zm=e@_e{Jg8da^{rqgNE<T%TT0SDC@1^Eo29ID(TexUhQ70n!Fi*v+B>3a`$#g1eBD zo*=xEy9^ATz56Uo7#KVok41oZkkjUTI=_KUK(t#&;{($AfW!wUIMEm$c%2A3J?JF= z)PtVgZ4sbkV9;H`^8FfURr{~+mlQvN4iRMJcm2`)i4ioy15KvaV2SOwW9JVSP+`z{ zkMmrK2<InI0|#{Y5O}ToVa3CpH$Y>GiWk2fWGvwV#U?lrn6w_CAPp$oLrw!K_rPg@ z0g?tdKs;P&0MsvoVV~}{4_TlxpP{qu!aWeffu*zU$~{npxo-n#gun6Fj(ea1YQvK+ zK}is%5lVyFqp<5%!Q%pX$aQn??gVzwnFG+O7oHq@cRO%^%tR8XKo|HSgr>qk7)>Ap zU0?yazzuW(0TDDaJkSLi&;@p&3nYl5nK1)h-~ze;hZranpj%48-3NFdu6MVC7|1+y z(F(9AQUGm07kGg#pdb!12uTZr1e$;ax<CQCzzTGM1E4nXB_u-|q(Nx|8lzxWLyDN* z-3c;i*8NaG6VL!JCqOa`G{g?tWD6~(AQL+%0-y;BXcH7Vo(;2ZgElBoRY8do+V=M8 zd<K(zp$)Pc>^vk<(ABpnrh_gVL=gbp2!<j6y0QmF0CaB!iU8;kdK3ZBDbXkbpkrK- z1Yk`S&@u}rkRMWz&WeEez!Tg^Vqkb+@6(wB8e!dS;e_EKnA8ePDbN6qH&_FxW<d&Y z0UvCl9#};iu!`=$D*6GdsD>{#+Y+#f&cG^K5C94wT}ULuPsixpy&?c)GLpapbODJ# zG!+5p0v+fA2hat6pbHoTp%@At-DY6;U=KcQ1hnQQ3?z@9>?GpBqM+?QNG>)=0E>cZ z0whs~WRNIQjBP*{c!4gUkb<UV0;&K~dOHD@L3bZRD#!q+DD*D(-rW{pQ6!fXfCbQ% zt-vb!04$26St1Q&2a-Slx<CiIzyYuTxD|*LKtI5uNCr(<4c*EO=`}*TY0z<2$ovoV zKJW;S&d(m*Z4W>dr$<0@4I>9biIqq9?ib+d4%`$o{06oUT#U3HC~@@Y-VIWYD1kuH z1(toy?g44Gb#pX7Wc28s3^JvYtJ{I4`2nLxcQ=^p$l}qvI{|c54WwlZEvP&?YoO=K zcyvAhrJVquUT_)*9pNDX5^(SUogm}U8KYwHS`%8=f+*PGgfN4;Yg9Bqd#Itq_}$GJ zAj@F8_rRwcmPmuRAa{dI7kMoXG6#kon=RNt!UFYd@If5-fe4S77#O;{b?$?w=oIcV zFm#?c_(T5S3u(p^oyQOUXFd3w8Pt{mWzKFLyXFTEG!I^W+o_|{9b?CG`E_TE3QO}( z$vTx@caClmwjH28ndXVkgO?w1zUmZFX+F-=En;{15$CPW5EYhY6_xtt6cv_wu#-!9 zKqo`~VB>G&039R*ZgYb@0J?eGqdP{$qT5GB1=PrL1a~A|4ga^gs3?H5Be+Rd$_eW{ z9fDjSeyWtsvGcfN=P}pLFRq+__*<A65q_JD=C@-9|FIr?#tiCqfhP{SZHyqEd*4~3 z!qJ^$#B%v<XO0RF$ah-3ZXDe*ObFjS=KS3mqrw4pW%DtfZV@A}heK3&np0FbK%V5O z2aQn}g2rcA7)rUj8Ja;pbqDogQG6-^P6Ob(<x5m#Kuyo@->-vJ7@lnX1)gLB_o_=l zy*5xErt^B|wdQY(t=~&oIxlu!a8x|z$a%g*$CdLR=Lr|Z2abxz9TktgmWRbXhz48n zng_%LV^_ofj^D4lw*D(g0*&e30}a4Ja*9vqixSX$G$>s|bBRyqD{xk5Jy2o-%_xSK z!1>1qdf*X(ywN=wCAmVzw_r0f{H^?;3!s=lqqQ$t{{H{pdFcDC)&u;m7eEmMN=*!4 zyFimK3Lem^|K&Y&h2XPD;0hsO>H&2g_;3-BY2Xe!v?S<uX8|?VmH9!9b?}fU=zN_6 zCBi7#2$EY|x<gb1x?NO6K;7kw{4JXp7#KQzRD3#JR7_kTS;wb)Hz;|zbe=r;Q~uyf zX&1(mj-6*55B_0wJop5jf1JBn)SDkZaMV2M(s}aoi%u35=k5@7mdmd|nZps3&@>%; zeN;FcyG{7PJwq4Glb4@y9_utwaqM+b;c@I{VZZzUGAq{2qtbbz`9XariwZbPfjkHf zt`a5GlUo$PiRrbHWAh`>h{Ma>EDQ|I59<sMH2-8P0*!enfHGaTi;4;?n}Y+!M@8Y= zLB>+i)&nInpt6GnRA2=hX91m4%HYxMq7ncJ9M9eu6_#!jmF^G~7RSyDj+`Gr<(WoF zm}lo}=WZ4ouuq-3b*wMH1^ZAP>O&cX4<B>haO{l%c~3_E@?*}spj@Tl@a<@QsZ#TU z`sN?v#ZrbRA-<6D0V#xRQRZlV#Q0jv@FYkjXu$Y{;<3&W6%Ni*pwq-kL^!X3^1}he zLm;l=2hcII!k|C`Cl%0ETBtWUK;9H<Jy0SG@}>;Pn+~9PT2QEf{OJJkr%QK?iiAsd ziHeMC>+KR@*Vb>Pe2&eJ84VA(bl!Z)#mK<m+-(B(?K`J#8SBfhLB8$0+xekR2^4o6 zptys_=>yIWj=douAM1!;e#&{*r87ju0pyQTq2>n_%|FD;xC}2r20LE!7+wO&@J~JD z*m=nn6v7uu5<rGyH~1LHHdsnI{OxdksbKT7`sRPq#qiNfF2e)g4%dU1z&I+N;yhHs z@5p(H^Pr33LB&&!il;zFMRi{Mei1w-Xn4S-^&)tnrj(`gTIbcymoA()J3qN_egfqR zhY}Iai;mwfyK>%hRJ`{6Dro5OTjvMRK|qS<HZi&yeuIyGZe%PiX*~cM|99?|0f*CT zQ0Qtnb?aC_lLpp+I*ja}qs@=&o1fM<f7a-H@%@704gM(yTi^0eJ*;rrf#ahC$LA7$ z2ab=NNBO57P`JUr?Zay(!*BfC4uVE>S`U`6gVWXP!q#sPSBQXJ@d_-8lt8h%;t;AU z9)Vr)$q(#`3;a_Kfn0G=;i3b_CkKv?C43GXpCGO{;ljV|1OK)|E+9960^~sJLGY;3 z2FB8A*UrnXt=~X1GS1yPV8^_5>Nc^y{0?{Q9z}M?F;GxEt8f154>DcxCFuTxZ=ldP z?80%uh2wGwzYE6&&U5@z4=UW`-*)mfli^9%&Rbyr@^3o;8n10VR>IaDqhj(pA3Q>P z%()vHC`jR<2(l7A`5tm?KEeYk&MrUTJPI<Q85$%dL<PwK{%x1QE3&{vw&8)+Yv78m zl+C5{kW1%5P^!FKBH+k*i}RwgkBX1tX-CCVoi{)(xA=a+vGow5*6Ma)<S5Z@Jx~${ z9cF{pTCggs6k26L+jg%tTMv}jU{~sesuWtufem^s0Br^m*&Z}J;L&^}0<?4`7PPG% zW%0^{2@_D-s~(=6S3NtwboYfk1U13hJRUMIbe=l+Oa9<1X~t8X*D#tX291v%FfcG^ zUc3xxskj)hTz&x>#Hvx@Xnw#5T9ESnLhJDoPY-ZY3D$EF0B!M|@Cekehb$YDhp!NX zs(S$G;egaJJcg*_cxf&I8ZYWmDR}_8o3uqG;{kP?$KL`PX@WTsVl~)_AgjTn>aK?0 zpbcQyIErI;k4n!&kUOTRG=PE$(NZP8H78Z4ila4$+K>Ys>j$bPKzEGzfI`+D6tbWi z1l*1QjjOj_<Zu1V06G*9)@tBy0UeVLTEhpbJ|OMZmlqfq7+kyOsF*xrVDRnkQPFtB zz~It(6V_6=>DYP7@!&63$Aga$tx@N07C~@R;j~NV&C4%9^M20VA%c*$0*_<!Z>c&B z$6hZ`Q-Kp&t=t4R6-rb%9DAKW6#yf&sld|>JxHYak$q=~3J1hPJP4nh`+m*vK<g*| zR!24lhSrk^zv!}okBbxm`2}46zLaMJEvGC|5drB2H=A8RgY3#KkW)cGolubC*CMX1 z-%8MrkOS2i9Njf4JdT||Tsbd5RziT{^vFX<!0bSc(?bV;u|mTHTxmOY>j*-c=%9wV zgkyJ!Aj{=<ouFp(PuV*4UMCL6ZW%`8Mmnf_BGG(^$FW;P@bV+hPn{tuGT^4RkBUt5 zBlgY^6^Z7D?43R;G9dqen@^x(5fmDw8sLxsH;zg`3oyYAA8;tV<^{K<o-@7<hcug9 zRKV_oHYh;trV=h#6XLZn`1m@oMo`dz+7}YtJ}MI3E-Eshg6=q|`uzoIs~mR(pVavO z`*p|eDJo|`ow19MWWso{^W4FItS-GgUqL-F$JSq<MSTbEGcfSCfCi{R?l%C*xOATF zX3;<Rj8*ef=S6VBG4N<U%hGM44-P7m=3laPYL3009NjvQ7MSM6%TGCvcIK!UI5r>T zaq4E_zx;sn1E_7=?V@7P?W1DS{D8gFMa2LTQYM`)Di)nSDmI|?f)Y;<T?hV_ct%jx z+@qrK1XOs0s92ybwcu}&V}&RHX#@3VEnaS5W?%q~aA|<t4^B_6ou{0-S;U(kKF~Y` z^#F%&=Vj04(=1NiCh}lU@PIs_iq#Vwj=hdNkY+N-4?Nv5puU_6PxE7TP=~Ga1;jHv zuHAc7Ajz&p1(fVuI)6d}+J*6_W9M1NgMV2a4?YFwM{ss`?l#c?=g0Fdoj<{j5OD7< z(O_}B{LZDbL`B50`M+$Prem)wsHS9q=E$GWkQ8w2bp_=}hRY9Jz(Fh0?V}>lU7{ia z2~z>iug%ZcLB@cB0i2c`z8z&Rkw$IFOS~2XHBl{I?qOzNusmG^I=B^dcn5=LZ!8Na zIeiCrn!v&6*ll9fc@gYT4##d#>$}xOMFP~H<!=GyXHW>LIrchobhEI7U8{K!>R1k> zWB@96AjL<G3J)a1a5O(>@2pWl6d%srIjjtzKmlb+0jF*gW>5p>zbwc*pfU<0L_mfL zI5r;zwI4K~mWp&kCrlyHBLE2(5zRw}2RMK7Pl2qa;deRp|3s&cibAK0iV9}(D@99w z3aH7?r#nYQ16;B2w@hV1O^6CF)j@~hLb3)?VFFzR)BJ$F`5}ArPe#d0-!E|<YCgsB z{ifu9&^qqU8l*xJC5$*w!iWcF7;&J55l=V5Qc!&W2_v4)8Wn|39~BksVI={&2^=%5 zveCjy0(V$tLY2ZYE$GZ%P)P}H7`-$E4QTYbs3^RY1&y`1AbA>E9145`O^3m21}w!P zhf6nT#06F{{NQgn06L4dyGJDlTw`ugNqB_P=(uq32kXHH;LHimERNkeY|W1zXkG-5 z*nlbqHh9G#Rj1w?0IC?oc7a?CE>s?KLMny>piIdIO@c@j1E`vmfK-#16$5|kb67PA zt{nJVE<>tGL<RBkC>sNVWAk&y=I4wrdDuavp^J(HA^~=DfEJU3ib?QLBIx)hFvpPv z6jLB3s2l+2WAHj)LDX`9<27%y1xqa``-2x|fm$h$bDKfh3m${ZWN^b6d?GmL6k<?i zQ2}n#VHp7BZvmY*3sM5o3vDT*G=GCy4?y-~fE3{|Ck~ocaG3K_4xCy*vlR^BK_gHw zfQ$nNL-PYhP)LCJjx3;dfepCLIYq?))aE3ottU%tTMv8Aa#0cJ^idH3g{lQ4R3XF3 zwxD2e00(D?iU4ZX;ctnA1_DS6sFnk5(EueqaE9^hJnq=d4)P~Re<!13w>!&AnL!*Z zkOG;b`2>gI$>tOl9(MjIko$`GT`v4T2`=fd1(5}wAS$r}Nn#D2*Q}r#P5_cYz##-0 zYljCHos$J<ESDYZY0&T^$3A8T1_s0qjZgfM;FJvBJ|O@~BrA{;2{;5cU{55F5CAF1 zNFXR70MZW58=w#Xb09?oC}ap15uA01BBDfv=leBKo&puANF)455B_EC6?qG4IkuLl zaCmk@3S0h`?Vu@sP*(sX)p?=Y#^B&nR?U~-KBs_3^9h!29RqN2E7JTE)TMIb=$0`+ zZhM0U$OW1YA&reARq~*|lmMiJ6#;E<0yV)wA@~3(1o>NjfX+DV-lOsY8j5JO6o1QK zr~;5SP)JI=ggF~rcy?axt}$hRbQ!>XWpGP4$CLr=a2`Ak=Rk2dxaMts0CF@3XrLOD zB<ykK1CV37VKYzmprj6SKUz^y;s}xiDF?Y7rI>il1Ih;yD2V}N3Oq4@IiSP<2_10R z0#1k;ATvQ_D0t2Uky=5a3raz-s|+Gee&UZf@rgfz19F)GsMil09pHHB$O2w=q5?`} z;3^hcnUmDFInN31+x&<3ZH~WYG6eN)TK|_Q!g~G*4xk>90kZW5FWH$G7<_x3SU}c; zW74@>gbUOW291<+ICryfTz&!S1A}_xp!O3+KlmDGB-pVx2-foh4+3{X7xY2W9S0;r z5e->LkG@o-^#CZ@gZgSE9I&1|X!QU41z7%p<&w^`2mi_+d@Id(w(~t~rVM)5MYo7u z^V0{KFTrE`9Njr~ESKN5g6fkT6`m6P=ATmheW39V(5#sZ(yZBI&dZ=~N;7QQ?A6Qt z3=9mAgzC=GjaMmXoSPCamZ*q)zlJZwTT4^~Kq1cG;>`kT-{2U7fP{VXAs%N)uNxfp zprX_soNpjSDH~c*8i6QEC6LnssI>_nsDep=7tleIJY=WsC;m9dMIPX7Yyn8!(h_hv z90Bc;a-de$ka8HL9Fzk=S4_YwYDm`OfYd}F?eMGz=0Iv9(1;GG4Fej{K`K<KKNv+Q z_yk>4G(f3S20S{}q9OnqNx?dZ2=2{x+n^323Unh4B7#oo?*ujEduvn#u#_So|D%^8 zk&sdZ9Ce@pJWy~FjyeWJ6)FJUUHFMV4tlo=sJQCDh{g%{q7kGVWH0V$1ZjsyBbWm! zL0eQnOwi>pkOCAGj&vJkBqCxRKn?<pRe(|&XsiNzN`po$(j>M3d=guv`6te%umH-i zr$}>(iU6q1Bf<{Kr~(*m9swT}hfn;G(C7lyAObF+t8pR^f<sTjv+>9Y=pZvJ0l<!Y zcRbb#+WWu=9v$~U8XX4}<#>v55{nX8UI4XpKtTrXJYwxV5C}3*vj*$DKb9Z^m2D#S zkRU@Z+aPxbfr3iVM@8bL?C<~o!PD??0%blx!n5<5XXn@MzL002`G9F2&rp_R5MQ<k z5ZU?R-wwLr3A8?byNe1BsDKAm0^qO#50`-R)GlrY28NxW1~6=~JtCoa_U`@ggn_}; z@QLB2ouCcOi1GSfkS9F5r{eYm*dCO%7bwXVrzg<j05*Qazx@KJPjc`D7icQv)WKI= z&5sxxAO8Ojp0WqEH8~vlU9N!YO3&WC8c#uebMSsI!;|0&#j%^Plff+#6en}Qa~e<c zPn=HXK*?!5nBm^-qQcqyfVta8g}3=3Gi()*XYbwws5=E*4gVu|%!zR=tpb=G8o=z> z0{A*KfM-A*&H>siM05bt%E@d{C$lv_Vl@1Qnkx8Ru0h>=fh;#uDN(@2_#o++gMa%) zkgJ*>*n=9ap!OrEv)y=<15`HjLgw*6EhnGO_mI#%)`lozC6J0(cv%BtU@vc6UVzIR zix;@c8!AN$DBXkFkpdtFC`TeDlR)JS_<ksXU7$PD(90VRP{qW<?%BI{#WNh`4agIo z-BWRUf;RDV@C7$CZE+)K2T*A$04;AsJbU*(Ktvm&ycsYjBNvfK*#YcmNOl0ZS>idQ zj1)l5!UWw+v$WFfq9O<>BSj%)BuA%@3Xf;+-T<gOIWWseg07`iqQF{4g2UI5-vv}g zf&#b$>TuEmm{v~4QAUCtjYt#+$Z|845(Qda4=E!>;AJEvK{Ouy0d*s&KR{X;2`L}J z=gA0wTVr3k`+8o2OUH(n*h@zi70@^tQlr2|1>PoL0ksKKuq`@}Q9)@Eu<&nZQR!q+ z0Tqlapp!7VT~rt$iJcWCvF}lt0V=FP*PCFZ_8t|GQ$Y=1TyBL8QQ%zgN^A=Tt+G1! z0!MmhQGq6R7EllV0?6s0v$^0!;6OSVXL@H*fh2dZn>j$SPokTtlO(!XR6tvGLG1w% z6-ZKNK~L&O96-(kr3UB~_J|%AQgc86)EodGr2|Rd(Dm~0{>GJ8;1qu174{TPwNwL2 z-JoN1I6w?gxq=vi1J(Zmkp2d!gNa`MLp!FRiu5Ip`XA&8&+e(XJwcl?=->+;X#LNF zT>pbwH^}`B2~ZG2;t8JkdkH$3N<}>=xCw_lsPnoLL?HL`AiY~qCHo4r!DA<+IE8E? zaqOm>575dRNN(VP=LX1RUE|RXXefh5)FCb<&^SiP4;qjX-=lY*!y5($<e^5?`v5(A z=ct@`3uzmHsu<8HrAOy|NHe^<N2TO7XpKROO2%uHxofi5^YOQ2GeO-0vj*Nz-wWC$ z51J|lpE?3Qa-)>Do1ydI!I$!k2QU6Kyac)?vol0R1XKXOcmr`YD11OM4R$ivqsRt> zQytt)uyIEZzLjS@dhxH}Nzi^ZNV{u~io#pa?yVXXP#}PegZKXTf(BDj%ms~P{dofl zmnkYA-Y_t9o<8_n{@`nA#?zhmFxEL5H9vl!c@sS0#L*pN1leo?-j1Qvo5#_uqvfc1 z)}{01<)@r~LC%5Kq@b-PJm7)w5*422hxMJH)qBtC!FO1LM)tuKbp=ajjfw<l?U*At z%sBr!f;T3Va=LVLIBK4B1+DA$Q4w$fjf8@nZSof6>^&+PZ&8Xz(EJ&6oe(&{x@Ghs z^G%=?1{~cb`jCYPU}vlLx^i@jup=hPAlqU%nve2yi|9kwr-A31KnEFs*7m!AR_lR0 zz~iWS(C~l@=Rw$77)O4Wlc<Yf7~X;B{lPQT{4LzjNobCDptufEL0b&N-@*x10MZ63 zaTN?Nf!6_n6T{1k-~|{hDo?<Pc#6smP$E7ESs}%E5WEx(eecY}2jG1_;CVK9(}$<| zhg6+%uOCOZ3>VmV&4ZVrduMv<c)D$@E<fi4=>Q#Nz|jrbZS&k7wEd>}sXb_|5<G!} zPtQAFD$@EE6oY7UGd?OJ;48ZX_*<s2fHp|)QGq0J@cz}8-&jCF;G&`c8OsNS2z(G2 z%z+F7gP0)uz|jaw_a*$G>Ik$$0=&xNHEXj4LmfNx3<l8g3y=v_8&J?7h8IBz0&POo z;5BHK9{AKWkn2I|kHCZ~Xt0*p3024hy+Ct{iU>Q%{ov_3{+4f`H3gtWh@heYBo40l zz-b(u;9q`(DggVJ;7ln_7h#zx1zp|-T2#q#@kR3kX1vP=diSVQAeBa-@kMX~1m!qT zz=E2wpy0zk<PCN@QkxsJiU?`n7Rb$5$8XUF;#|P>N{I@OWAg)cP=@D#tj6O3xuWL{ zWNm5#sJKFnW@x?#2drbaj3y%E3pjR%XhPQNiZuU}sZ$593$#I6oBEvdKIkgF=A%50 z-8Pz+pL1RZEf|CKY&s7$KjH_CV>UnJ2dzK>#UD6cJ4+yoy*NMex2Ui&FnH|X2OW6` znyUv*qra>JEn_<Ys^vjTRv~AG!qVKu7l=avLDj&(2Ru03eN=d`t)g?4JOBy+P;`h8 z7Z2dnfEo`Rj@>1i@DdnzJb;Q|XgqxHtWiNKgZUvV?Le`>19FQ3Y^fbmSqxj32w7{_ zcw_@KMT6!)Kq>lVB)9~sLriCP>xgxNc2VZ2aCF;<Uw+^GLkeUqW=RRIML;zhi_zr= z;A^gt+y-8c02&wc0T0_lnm@g;!VfYy2&#~wIjTiP23qwBfYLH~rMV=g8$k;_IB=Eb z^^nrMo{ZAGL=copL9GkulC;+e9=&)2QIhCD1Wmg^c4;E)08ayeo4(Kh>rp{zs~|Ez z@pCYeM9#s0iy#+JweQ&cpdOUaI2@ZF*1Le(SfJETxb*=pg-}`_0^K3{@G=PG5Lb?F z6QtJ1GtPURB`N}-)`yAy<!79rd3&TKI`yFW2T1b?JU|OBe_S|EmdJriBUrTp&UJ`t z<+Y3p;{i}n1V6GEwDJ>F<$=!M1Z5_WmpXkAXBz)M0bf4*{U#*SgW6o6c!_{EuR#q} zQ1hDp@d9o}f_C*js&9T+&v>%=7o+5X?-w{ve7_}m2^2t}HZ>@M)_@yITT~W+S_9_~ z{+B=aUYhZI=ih^WS)tVgIH0;^^ctUocklcJ@15r8F41GT{I2;iBY4@zGe%G=mGQ9Q z0nTf%mL9*$X>daiR=P}p1`4Qj0R;-yzP<*iuMgdO<fwT778#Ds5BNceOX6iH@+2fm z|0lzv^QuSZXP@3>4j-ZY4VV?5_))t+pwk;aB3hj#W**(UKYRqWwn9|US6Y~ZHr|5e zAo&c`Hvq>ce4z#C<kpW24B)mI=<r7n+mQv_e?T}u0J6+O0%{Xj7Q7i8Y!-M~g2X5O z80abjkM3rLPiPL*_=MYmAjP1@FsLho)qx<*Fb9I!jw~MC%^((N{eVYrv%q@>hBW^0 zlWF{V2SE#)EXv@=*Rw;5G0-@=N9S>{gTd}c^&M;_3~X_s4k$Z;79xRWL>M|<R2)G0 z&jpmFj=QM%faul(l^_Kk;5^w|qv8NI7CBg8h6%c;2zYciN1z8v0yIzr(ALL*VhyAi z6eyrvh!!XU-5eg>lR=tcfdXcO0|mqyhy(*o86Mru5EsBw3`Up;_^8l3sdVP3NOX6r zyax@mHA}pw*1&)js43sOIp9A7!~PRH#Tj;i&S?gxFVD^+KHaM!d2)9JEI)&#KnWJM z{sweF0OS-q59maqNAK<pIF*C?MFHUOgbflQG68771+=b*!Kb%GC1D>I_#F1{U>iMp zci;F49zQ}mBt_sCSQJ#6!8YxC^zQaRh{A>xJbHIGKtwsg=0Z*sIu03W_2>q#ZTIPQ zQHgK`o%NifBH_{b-ly{!?2zj;{_q27{F*K*3Lf2)SNvpPcnLb&*`s%J!A}N;{R-$I z=RrisfqV%$iO{DL?o0e32MK6+$o1}y_yP7lC{U2RKLH{N3KXPsP);C=LI&V4y&ph? z_ceZiMs0FH0|TH_ht<I02^lAYl}BBzvlti{xLRj}&Zy?vF@u4D;iVU-DcjvV0l5GH z6%sR`1qf)I0b~|9!dnlNWO#J%1}O#=AfO=zxSVI_QO9mq29NG;kXFz_cvlt}2V8!D zgu6XBnjao;><;B<eq_&isxyGYqq`X*!2^-tflBbuAX{mJvK6fN1UlmL6DY_^R0MV@ zA{N6yA|0_9#-n$4#yfC)LRP{+k|^BSpkrh}15(`%-~nS76&}zz@$WzegAa%@JPAL^ z3f6Ff+Pn+Yn}d#sKL-ads1Xa95eMZgWJ@9EOTjGVIQUW;bfO|?`77vZbqKfh0KW@p zHx&=KKI*Pfv4GnQ4P%ajFQriRfw*vepe*_x<WsPlU#CKS?gKvr2PFV{cP{|D5TqCy zCcV3FfQ6uIh9IIyu7L=kSOXP81PwGbFn2q!H$P-<e!vV0T<{vE-rWuFLBR?cm;?<O z!AlW@|HM)K2jU|8ZwK6ekgSFjqGG5zL0n{=AJBDTIGL&2fvx$WJ!lm&^jZPX;nE*K z0g5ud4yx_JZAVx-z&0}iT^Rv7hX`T<B<!KC?%h4(1E|ph9uETrFgW>O7!2wwg8M(P zvI&&HKtumL_8z^vFF*_imGAI?ME4Z<A`MhOH6G^p2=|jm=Y5#o9iVk8$6Qz$Aj<(W zkQ%J0`{N}%JHPw%t~2-yZ;V1pDbLPhzP-yc5W>(*QNhAcD%8!f15`t3o@o9d&)-%B z%E%}?a0R+^R7AdC?T%4_mBw>VIzLLhCk&iSTd&4+<86xuBL7c$gjB^LbtG+1>sF zrAYMb-p}wE>Dcy9;2;NWqq+m$v#=ke96IC*E8aajk2!X;d3N`Mbb?AmH<p*6`;m5n zDp76Fv^J=f2W|#}J#+bi;icxE^8D_I6TQJhdyd>;EMUi#vO6-f8D8o<2+kBCDm>`U zG=n<R1M^_?5_8XPup>bwH}>|hXZLilOCeiyAg*>~0k7^vUU~#>18ANDH%B!;HUDGe z_XqD&1@~CND<;9GK)^!bWg_Gd^a%)n(m#>#?7Z&T`NgMqo5L4)l7N)Lumfzs5haSe zX^uq&9AdBe4No>(Fz`<~*vX^P?V^Ghkpb^-;86kXRRo^~g#Q5a)&nI%pw4>h$x_fF z8pD$>I~g$C;-UifA=oiu$c}MQ5rDWx*zjbd#r!r#2L9=wD1w|Z1UhXx1iJ7Ve1JRT zXbjNtPX|DU_FjW+Y3{ti`JwYY=k*c+&L7_|bKd=ajq{V@E5%=+vs+qEl*B+2F*Im8 zk9st}!Fh`dsJH;10^!^FGfM&K(iR1eeXsZ#7(i_k@G(@-3&>E-hPFVuISxML0tKuC z%fSa+p!Ev}U$S~+o;(^=!{u@CrF!!Z$Trzi-*15X`JiD+9?(MXiZ4igH1K-;hA*J< z3bPjp-Z=(RjxSYCQ2}WO_eendrobG?dVQHMph=u5Dgs|nCUM~7Igo}V(ugwZDh`P{ z;#P5N<5B74QQ_a_q5@vU0WL8>{j}zfj3}E-!1D?v;7kpwpAh>vOCmwRvH>Yr_*+0p z2DG<l2RLZJO<lupJ3$cxFIrAR=X5|C;hjx{l9x3g`Q9xm3qCV2?AO?i+EF+P8pfbk z0I`6Vxoj&@Vd*SE%7vieeo%CQi!tsZ7Vz=YrR@CcnGC-{OR*BrVy`_apy~kJ_J$u3 z0%;n6hK)dV0>b;C1~fQ?!08{-NAT#4QQ>d}HAVjWbiVWHeCXMEBZ~p5>WBa+!oYbK z<Q`BF2ksvkegid>K|NqtCkejlva21`Qg-BO2Q`)XTh1{tFgPA-2Q`=(Uv2;$ZU;F; z5R@80(bp~5{HUSZp!r!n=e15lxM&~?NEmdqU_IxBPROj3!dIlMVFQYPjjy1r0gHc7 zx&gbp^+1UWNE)O8;d!_;>cKT2e}T*a=Mm_>TQDDD=?kQUR|2;51Fl3@5)85wqyb?m zJWawa1$hx<1g6KqqS!nhf#LB4{2m8sK)3;q$3f;GdmPMnWbx>H42pMfQwx;)z%Ikm zpn-J=Kx)8|iJ}IyuvNsjyF~>QoS<aG0lGa)U>_f7zY~bq$pV^M1=o1s0@tJSeimD3 z7Mo*G7Ng_;Eh-?_fFsnS`G^6?jtifWQh+Hav~PT7VDRXCWcY0-$Uv~-)&nKpASsYy z570U#gp^0;VURH(O*<J5{QuuApm=KEe|rXoZfnKwoYy-oV6w^{EXu_!oaZ_VFzaxT znhD4;VhOTs1~^7Awm^fL4trEU%0Z<x%CM0~=h1Fg22gSU>Fs3fc4dKc99dxXH)wwy zNPZWn;R7zeU<(uACrE-E1S;m>8!f>h1Bwqw$iUNJ>wywakXu2DAt3{of`$x86KFSj zL$`4Aqk7I$o#4H^U{Md2=7$a4!7LE*0PyyFki)t;4!-1Ue#i)^>^cuZc(C?ASQ#iE z!X0M<asfC+fTInbI6;R|fuul+A&!Gfy=M04d;oG5Bc9BP2(UdWU<bRifR+s${C*L1 zuwi|vaO(l+iGAQ&26Rse1E|^a{X#2?3V+K-a8tBJ1r#<2w<1o*f`?S=0Z2XvDMaKk zxHL*W2fJes7jTZ<Eh?aD4wOm3?Ev^hm}BQj$Adpv9S=T4I<e7)19TM4iOz$U-*?)m zICtl8K+XkaY5pMrIY`H`TZ99)w&4-yuTBva$KF8D#!~_4nV>BE+jLYqbyWDb#i+1= z4opQVXQ1&W-dzq#WQMOmb;3Q+ac-@rN<~_~fjU^ABms^-9uN!E>;$iN;NWkG1J~z) z@O<OkEyLJ(@bc?U85O5)9j41~n}2|gd||=n&RZaNHXj5X!oqR+0Vn8C78d?((0bWN zg{9L+g$38tOgCDRF#z=+Ktspy6w!L1#2=KfAqfUD6$zJwjQ9(HGXCICEIr`F0?LEn z#DZlKkUEXVZWa~(Z70CZMri26Q%R?fiU7y~;J^w3r4!Js6X&TCL605(85kHq7&O<w z@mdZZrku?W>ordtcToX%7eUAUxqx=}x2S-sYIt4;m*Sv`4itf)85?*UwH_$(1w|l8 zIj9r|^#I|Lo}I@)<u6DhsDx^8>=uKRkl>ONEDSC=9l@8@fQnQ|(Fv-e;dYyYj0e?r zi1G%MzM<6_e@o7f|NlWsK$@Us&P(MV|Nlcz;(Mv{1HO$8wSVXVK2Oi5cVEUgc%SS) z*jSIw!|*_b+Uf&3ff?MJ0cT-Yiw>j=+yVr($+?|bO0aj}M7o(l7t4T;Vi5qj9<)y! z)GP%rX$3bWz#U5;70@X-rTm~fwP0D=xAUvxaaRVYE)LKsVW7O-da@L>irVnf>m0DN zU}G`h76Yg+3GRl0x8;`d8GdWDaHwNtaNwVR%<#Ww=ZnrC%^w&IFSQ<n9ohM>^JjOC z3P*R03Qy+?&J&&YIlqJZi&r^cf4|6iPVt`Nzs^rSokzc4YP|sOG9p5Ym@cDd=S$GV zpXHDsZa$*mvClvNXO|IbHYgOpU7`vWh7v-^oN$Js#CYfexnz2gw*xUkN5Ah&<N z4Dtm^fVAE%k%dPSxWfPnof3b;1K_cb*Zv?$a1=oLrI682NXL}NBl)C9=E=*xNdg|7 zr#ue6viCUnQ;qQ=dJk3Lwc##M_%aJ@U_AJU%Om-cN9HAnu4^6#-`RT{{H4bDspSB_ z>nZ+i2f)*`;07Qlcii}f)NTWJY9D+9wcIhAOW;l|NI58@p){AEB_(9x8b~|1-3rR& zU=E~H>+%iKskQiqy;DnY;kE>kixfZ|T2P;zgMV9y3J+*s8Yqat*#zb!?m!mE`TzmY z2pLEk90TA{GH@Kd*5F?cI-*hlbg}{{0l?K9e8KFIc|bhppqR(O7fPVM*;m+d0Z?!* zzzEJ2(BK4>TcBPUIQ_LAfb8i9DF+27sN8}}LV^>zryryp9-Lr~Ba0`_&M~N83F>o# znx-Jd&~7K#PLLeoJ|}3>OaL@7)A=6K=k)FTfxpig13Fs>(dUFLEryj)D3dch$66ts z%{uVGW-Tf^z9S_*a4CP_JNCq91}bAg%0Z!plK4D24|g+zA{L~zld;<w+*Aj1KuvXM zvH}GNNNg9Vc7RS#K=L?f^cXTd0gAr{3>S4kT?C3h&@w4-Si<TZkaCcVK=B8c^aNdH z+@b=~2}IChISKVpZq*ulb}dgq5@cQ^|~B7g<l)rTiCxNE^Z3($Z!yl0W3!T}lU z2D#P)!?giOt_A6Xxfa~*0x5^N7A^_xUVt=$Tzdf2y#P&Ofh$R{D9Et~z}*XwcmNBi z=HP(d6SWVt;To~)+7UcS0`4*Tbbilb19cTaW@IsgSRk*19R#|@7v%mQ7=1Mcq}&2B z8mt`FOam#0xgRbGt>r-)cQX8dbsX72$HCemdX6AzWe*ld<uV*yN3f?}D*b}j)@bM7 zT7cKvfA#3ycH%1o!~P3s!&1i`yL)qffJ(Tj2|utGaMWpl!5hEOQ9IAxy`affaGe7V zERW8kp1pfHKqDdG9yTb!gC#tB_kxC^p+y3G3Kvv&LWY|_Q_>3H;im6Co&S6~U!vuE za2e^@Jr&eP2ag#*hMGXVd&E!^BvUzdwLylO+Q36it(!nyfbLd?pGf)L+_QTx$4^Lh z2W2jhIberEMhW(U6vJX0E(h{mcPmI6+9*LS11z$^vY=6dQb<&L_HO;~oq=J0#SYYw z#DR=5(5yLnlo^1Me&=JuOVFW&d*HzE?A;4$AmWR)6cv<M^X+_qBjP}r05p@q0f{(x z7J@b2P-Cq`((zauxV#3>l~^E6eeL+q&cFa#bfyTN5Kx93$ROg`-D-gx!)Bh{dmW%L z4C;3Y!j5uoJy7E3*}WH}7!<>x@*FM)sr>{zyL&-ecQG*h``>M-cy0gx|BMXX8j628 zzjkUuC6xnNlq*;`uXk30%OnlNI0HBh?EKHqzyKOYQubi!6jAB)fehh-R|tA`Zv`6< zDh8p|0c0AjIYmVRR2|5GSE)mjd@D$8H_8YasD%M;K0_N8TR~|Olq;Zn!a-9Nuv`I} zc4r2yd<UNe399EAp!S1mTZLVqIY4m135$s46cq)K1{G#lF$-Fd06rNKoH!i8^Pliy zmM1ui(J>&4*)b%G4OEdh1ZOdYW-&R2gHsUXPWx7cA4qx6+OvBvXlVtgL#1K(Z70Zh zuwu~EA1~-``|iCU4WQW3Fua72hfV(Vf^<VB|2(@P9MGU_D@Yi&93qSZy6S<$vl}V_ zSq>2fUk>qd;a^07i_|}Ad;{_v_{j0^KE2C8D{sKr1-9k`+(m*EsL&pgN9R+p3UL1j z7Vz*26*QWJrGLZ$nyp}XE!@p)&7uPC9)agNK)oqYeE=V^fcB2ScQEj^9w-rn4p;ef zeuedocvM<XmWYGwX+2QN4;pmyQITkV!1!`4V#*DY!a$A!CmL`^07s7v(qRIhj0f+r zfi!{>57arJ&RPk08c+do53<T}7Zvb<pOE_?Kv!P)sIYYBs3?FAf$n?-K3!U(^El`E z&Keb&5;4wSpyQ>#U*r6!`1AW!&KHU&Ku57cj_C!R#S8CkK^;%@1l>!}1RZj3YZaE> zmScDSlwY7)b9%=wvT98+;^w+`{%2-jPzKL;HKwSrfOw$L1ZQt>s~_AMD;3YYsLIB` zaPXCY=0*PP2QR*0ym0UZXlfdC^bBNT+TjmUHUdpdd;CFEVelqk>wyv<&+h#o#h@&N z(maF|a2%f9{UEKNxrQI0Nfvg<Bnw0kG}Z8<8#Kql4xVFi?CyW_6C6$tev%bVM9&FA zht^=Ni|%#~WDgs8cJCJec^EuE3<^GIsZnC=*}Wg66f!;xmjRCtdv^DOG<6Ad2*Q{S zEFGZMIV1~vcDIA%!3FHam(36BK|6BlJ9$((T~t^+yW1<!ozQ^O2_U67oB+}Ubpn_P zc7kX3bP&h0yL|()B_^KT`*-|dU@-i)6YiDP10|-O-P<9`_k)xhUP8!vbRP2TZU^b< z;_BdlF}+wiJm94W%$p7@@PV#=kZIkXEd1L}f)<=}94rw)9xP)~d947|<=G8U%b?A8 z+(iX6JHr4ng#~=}A-FgJl|3LK7ZnB1?tYL<;0CL394tW_g%fx!-OZu`RR}gy1k}%k z7$D%;-TneOJU|Kk11QjYA>#tbfdX2A0a6S~=%AV$E(b~I0-oLdAg$1#2Q$GzkEpG; zg9O3Zu%V8H0o(zH<VW6azJm{$8z25)2d&KkC5RA69S*XC!LxfiC<nopk+3oI8D8oH zm0uj7UcYB|yTV_z%&PGhky$}zz)~;B4<N-LKY%hTTn^#~P-X>bh57-^MDs&CNU#Ak zOvD4P96r3}-}#S$fkBz0`6p*Nr$^@lNTCcbdOW+^!3hACB0!fhL(_r?W|VQfmWF9y z&}IP@^r)kz?K^&<dH29C+};H##^YU(R;YKuOrpHY&A*MI`3DCMuY!{#DP9F@!0y!# z=wAJS$EzU4c)SYI3iT?O3HGWlXu^GoN&{%m+Hv?GLOUp>g3GDqhxMR2Eclu@@RfOn zw>>(a`}C%$aO~p*&7^_|aKp|K+-w82X&6AwbEZ&m!UJ6r2ucg!N*$V-K_k5i;6+Ga zjj(YPM2isAfER!m4@#wARY>hY&(0TFOpd7LgIm0yF<)*M6_yg7-TxUG7{J->H9Kf4 zA2W-JDo6;lAEq-#MaHwcJpw)BBtSC`=xke%wP5$R9stdu?guFb1utl;2V4%4aU?vu z`$1Zv!3$=BgBL0DgECHY9Seg`XNgKh36Awp2b%2|`KKS~WC5>-0yWOSjc<^34B+Mv zcu^Gk(DfHbSYGT+Q2`xLfw(DM6%_E`auZ~CiJAv^tQs_|>jBzs1m?X~M6}~Tt)kc2 zhX0%GxE%N=9S7}-1l^1PG6fucpewqSYg7b4x80ZWw|)a((FSga`F1{qkD%A6D0G*o zs3`sfZ7l-du^>|-!g-MMpW-z|&_xh3isw2@R3tz*qJvD<02Rq#@A;@mz!!aKAX<Am z$6Zu3K#qnKEZsgT8r?1`I;|&5gb~fq2v9S$4YauMxQmJf$Vkub>7bIc+o(H;rTH0q z^EXD&tqIq^UvK?a!qy$4V)I(E^%6)i$mo)H$6ZuxKrC>w1i8xtWJu?=@7I(|R4hPu zXMvibAu1lP1zRtb2!lEmKF3{DJV1JmGl9tN5*3f`8WkVM&YzB)A4|aZXas-^@$8-s zs`~l2X*GXk;@=j<(s}FqrPiw@;@vqaA+NPSLlP#Cd(;e?pEJI$Y`uNlMI``aWXav* zE-E1)7Wf7*kh2^>CU(C1en~k*CE(j3Mu@8%UJJIqg}BP)xQmJdNDI_eIVuj_Au2A4 zpxaptz<XOwN+dyc8gTyOeC48eN)fc*)kN`A=XJ+Ui|!H?lg>|I(+xnTbAl~~-c%31 z^YCitJ5EppMs!~11SOG}5;4wyoh2#}-!F2WQ2g=zD(5T33!N@1F`nJ+8o$vBYlGjA z!aBkb_1;fg&+h#o#h@$}fqbkUB#T9WM(r3qyQhQngGY+ND`on@T;w*^c34KklgAi9 z*R4VF7$`u|vR4T^DC2Rw<^jzDfeJ-XP(mj|K%)VmeiP{C@e;JmrH?gpX@NW>gUDe% z-6bj&o}Eu#mw|E_Cvq+WOMx7Ok<~y>N>NdG&C~j=1T<I=&T+6@5AOBUsHiBu1ZTG& zpgio^`J_Y~WU~b4LC*Jz*A>B{isw6jbh3GNw<n;-N(MAmKm{MjyRd=}6e}RbV52Z& zMFJKpApJ<O0_K7yMCj9=?`Bcy@=;+q_<&gz)P`z+-2n3KCS>C>2WXoe540EN+ue`W z3j>`J0Nxh}X{S3P?H-p=L2O!PY5plzr-pU&xQz;8?>K1BGRr<t-%Hs<rPD+OxhDoL ziNSRexcRpqG%r&sp7{^l9eXdJ`Hz45xr;9ue;$0vX80d8)7)93BEope@T4Q>5B@0! z`CShEKiSCw9^>)sZZG(Ul(#@VlZt<^wMd{81dBsc&+h#or5@mcQn-v~=MhIp+Zdz` zG{^z&hV+AZh#UnTZt&?|18yV0>uFF6kPB41fqd|qqnn}m09PlZl@88LpzaLVbu8RI zDlCw>K@N~wkRDL^g$RV#hTRPO>sdg(An@W#kSuf!E36A}@CBPk=7I8AdJK@+C`1sf z09yjNF0};IZQFn~2ta`YQi>J?;BFgeK5sfm8#w)XcK3tXpa4L$hd@I7+YI=(1%Q@F za)8?1pnM9B&d%%KuN$6h{Z}dvu1vt=7$y9m88uKw0?!n`X6g0;-Ngb)U!AAGg{uIl zaAo!AeE3?R^<;?<v<mm=^-*Ct&Ir=lY@@<ZBG&Dr!qR%Oln-PdIA~u!MQ*I|Z{y(K z<^WnC0w1aaw}U*pw}TuF8Yh$31?sSaw=p2iuSm!@r>MxtgW6dN`#=Wo0uj)57HD4q zxETjpiplH&xhXjmoS<N>IdG*7DheTeDe(2ckp7(w$3gy<Qyif45I~C|Kp3<jLZi7x zg@u8?<q}Ay7rbN=WH|?@BGLf60Nl-V1e0K^JiFT&kmDEBJ>vkyFLZ%C$iZNR&=wj< zF{q%z(X|0-g|^VZOmGVglDwh&?7BTzI(a}n4sge_^<)W88h_b=H2!)Qm4w%t-5kva zxjKDR5)3ajTYTl8eh9=q?xK<cO0k_GDjCOJRB}LU-|lu$Ci3XrqXHUO2E{?bK2WW_ z6GS*d$L?-{oemrM1j(RC-}mS|gscK&8fYgWra=&8;3<Rl6UZ%EP^!Q17u>xE8SjC( zI~X)-15#>u32Y~PmIS&Wupgugx*ib1c4X;x1$7U>5{?|*t~{RIP#GRrmiFv!2T8+H zBz#<B0<xPxd2|M-m5p_11*8;`N8xb`&ZC~){UA-y*aI`cu?No-p55)BIE9Wif-@Z` z3weO{T7Y@41wfk(*dc5CIY4VWV9thSM-EVS6oBT!ZXWOzy02wgPnLZ402=@?jz<N| z0XGpluW_C$u>&~)Tn_MWD*zP^3ddbkR6wZ!T*9?}gRGk7hdL0{b3E|F@EdrydWnii z>&X(9)=MQ0aA^Z@ZZ1&)Z!mAYRH6pTBO0K#rT{3TNPyETI0Zq{5jcsw7HvHVx>40d zMc_57N4E=TtEG#IhG%#C4D^bA0konA4Tr<S7@B`Tib44YG!zb(gEYT1JiGfrTA?uj zW<p~CQm8?DKu~{l2e5z^AMor1Wqxo#yk_fWU}iKt2`Vk%6_^Eb?gpg{2T<<DnleC2 zaik28CaAl>OziHO4oV;0MJ(V&THJ*!`#?@B<?Lo+X5`;?!0;QWPJym|2S=x8_jZtJ zpv)_PwEz_W6`&&E0+a(>e34jyf+}M0`cF`hxTt`xA>@G+pfZ?)A_}j?x>;1TT~t6- zBsh6Nbb-drE}+Np4QL#LwtvFo5gNxJ#h^F_ZU2PJLE;!Ro(9qi4NEW+9G0HF+p*3D z9~rRm+TQ)3{m9_F0L}oQ+5O)ASZ9Oxqs#_FW*d<<AAm9yXd#GC=XamZXFi=j(Iyq4 zX<<5SHdp{MsR){>g-yS}W^2&q6?tLviZ2;KOQ*WqA0Vf8&`{h9P-=$`HG&KTdj&Er zydR_(6i=X`Mz|cLj0b7MIW61{l0}*p_U-)Q*}EO<%<wVtq7QcX8%y&~=y1CZ(+*H# z2A?<vI{!@vagZwL_&3B#R_Jg$INo4QNl4T|W`&<YXNAE5;n}+%Wl9)J)a9r^qVBOz z=X0OVZ$6zreLG*^h(OS+FlfvQ8iAl$VOYe$Hj8&PgJ$!&nrAaGFqF6-YX()`j4z#; z!ME>lXuGI@%61)4*$&Bk&=yUr-uM6iL5(&3*6?rt|AWe34N!xJ<E8cY|NnPDCy@of zlgJ9nE-IjNC{;YW+a>-ZHQzyP8-@Rnt}!Uz!Y7I$lgRr)ib3fClyBj3h<ppuifa<N zA0&x1i43dwJiFULW1i4)K6}vKS4f==s?tDZ0|RJ>B4`8>@04&ms4{>W!VWtB%m;ii z4fvQEP_h7-0;;j0O2GC&uZ;v*1Z%B=(k-apEde=f95k^Eix<#jHE5n#20XD0Zg_fj zZwL7bG!w0Xm{^A8$mSFk4Uh&Mum<Q@P&;@m2;vo}J1sh0RBS+w0FU%|cDIAdJg7UN zN<b0m1KKsB;L$4!ih59eoUjixle`N=fVXxauLpu`F3Mu_0qtsX49;S63`I;^I|gMj zIfj9ztr;EvZwK{~vlxT3m_2&esDSPzhNuKdfrd38;-LNnwB_A+1f&SEc~KSA3FvH5 z>0n@BINqWHI?oQ`I*1Uo$?nk$+Mmn-a&rJE^*DeU5(bXo?CJ<^$z(BRF=a7kF$82W zW+_1JX+Gl6?V{qs?V=LG?V@5*3OWkO0CXr)faCuIF4~|&PkQG-o3<e;35MTb-3rgf z;|oA5BSKUhx_wjvG%xye{`csFWL(1o9*svpeF~%n7T`^#Nb}*%Z!$bOLsTrlC*JG> z)zr|U0<zo&6jR`I32x?LyNA&MJe3TY-Of?r`F_=<yF>+cA_T+`$JTcx@}P#kj|ylc z1w0+pda^_x-qH_v?cFWV?ZMLgiLvv<_Y19u_**72fv;W$+iiH@C5Q_?&-15zZ@_`( z9}b-%DgmHjI#3KW-(=|YQHeP2q7nn*f`cAho~7|$b5Vh`-(U+MU<c2@PRfDax1#{s z+0MYo0AHOAI?87O10w?{_CSRLC|iNe1Xb!D-MeRi<w{gQg#%m;S~!3Yg#l>>cW*tq zyTNSuQ9h7B@#s7V4_t6_t+|qgp@bbgNby>%+pzT;m|4PYcyb4*D`9x)`#;!vP*5J^ zfaN^!wKJeYZ7!g@{|4BNka;z@8=>w8DF!7^P-O>~gSa1Zs0~On%>7_ChWjBW_<Z6= zzq-hyyP1O#DJVh5V+b%pf)cbG3vONOff5ss?%g28Ag6%(kZ?K3Mk$Z(ZjerJzX-+# zAC3VEQIBp0P*DLo5~BjyJ}Zyz-3{37<8L|33_5#eH%J49oe=MHfTIs&0L=R!_RERP z3=D<`T2Jy%1)U}ZPVb;&79jVImIQ#}QUIL#x|>011D=pT2Y`TG3vG44eb{=SBoiqi zp+<x`YA(c<R`^>$RVO%+yj%t<ntDN32!qZe0$B%hJD80$vf|O*4DvhNd3Xcs2xw@( zn~cEf0NsU)l7wG?f)6<fcQ+@Xr`HT<dPPqppacX`j5oqSnqi&>vq9-(H;4t!wx9Up zKqD-W)3Y#=3@EpQVi}a%vDpp^GQ75fG{bBMvq{M<DCq^{6i}q$atcT>UZ;RG!<+(U zJF<A}1*J>|h7a~0pp$~pGbqSPP&UJ5CDsgzoLNAcVOE0KpxvZ7DxhEnl{4_f2C@iL zmf*4oq!_Q?K$>9|f!U5MKD~=S{D<#zfvhD09qr`N-3)SyA?PGB==lPmjvRPm8=hIq zKtiDE9@HxoXgyHE3mP?SJz2`y{DASb7c>(>4E5;l2F2_regQ_qOKJRi44~nt5O4z( z+&ctKcyeBV=r7^tJn{V^=f&0&r9v+cfDX$64aByd;BVOs&Z)aK7#JD$ErhLF^z1x_ zW)-+(bMWYH2E`z>pwsZ^ZU%W8%1!X-T^*3izyRu5fm%x$pyrPOXqMLl+6IB_lmSIO z_y`5Z9iTOdp!NWRPq&YXLzaSLP*zcvf@3h449zOa0J+F9EUPRl0Dc5Q;}P&ob$9ay z^fGn_xQqplDxys+IeK*O1}TSbTSA${V2AEs0#9RjbWaAE0*=z=2aF!w-C!;$na2Uy zS)c^w0d^MV(FveZ1f&>m0t0CVyT+rt8_WhJu+=jRLA?a%=`lM&8|vX*DbV&Q=!rkC zmB5Xw2@@v3>S^fOTA$AM@ZrAh-3tsEAUo~B6*pSz1T^;riZF1o4r+5jmaDLJb1<F+ zA7Ty}2!{@y!qW%Xt)KV>I6m>maem^DIS5XnE-Dg`GzxEZ@wY8!WMBYg4~XTU#0+K` zc%VDX10_X%;ummH@%Y3a>7ruri9f;xd~zIv;ej-MJ#euKnn8zj*1&mzAAIcs)OiT& zJi41ffeIHO!d4%!tv+B|A?MX`eBy`Pc?mvZ5H#-!3NUa^2G78DyQnyT?jmqe(Kzm+ z0`5D$7Kg<Qga+k*aGwS=r46pZHA<2^P?NY%=Z6xI`*tugf);Cm#v*+>VW-%D+by6J z4o%vyvs>^dYLD*8pvVW=4sO>%ntfH!g-QIaNB{o+-_7*@fZ@rPyZ-+F4_D{W-3)RC zxR><(BFJxtzF$&21sXl&0woxb+g@Y4R~|H10QMdSe+y_G2Izn#VhSDsw4y}<SAhZ= zv_~&cuoWWBM*<LK0haueqM`uHM6!cB|A2aZ@boKzEB_#86G6~%d(Ce+z@@R_H^WPg zJ3u{cNU(K&gcQXNjv-k^;D!ol2MeKua_1jBp<Mh2+7Sxwa)K=Y?|Xz6_n>rPcmQMp z=sGY^ySKRkc>n~|_Uix-fZ!^`LCO&k2Qm-rM)-brSb7JU0xmWog*ccCJqZcrU@J)W zLpt9oz@t+{#k2F9Pw&0}CPv6@6Ns+bVc*_m2N1%Ldbm4)1>BA14rT!_lK@W)lyY|) z8(y+D;Gc8I@NMUd<`0a!!8Puo(h_Jn2I+Nqg7&cN`vEf(?3->67O)wP+`bT#!Ao>X z`5e1_84SN!bAUIOp6oo|{GHM8K<mF!md+EMr#dfkzU(~4`L0BS^JC}x@7FosD}Mie ziSvTukM9>c54YarZvjPuXYalakX@j96>OJh=TS8G9Eb8Dp7ZQH;@OMlKJakeI#^YY zD=VVZ?Hu3@saXn+Az9^F3Xb7f<&az%imhtjrzeQHTh+6>O@axj6KCbwy-$G&)QQ8I zRy@1+fs_+WE1uocK&HUbif4Bpm<vrS9OwfT(DdRM?il6>y{<>Wv-28wuwvN^W_W^u z1RgYBvZ(m<uI6BYOF~XI14*Xw>#?Ymo1ug_Nc<DOAd8Ae?`{W}CU9JR;um010XOv8 zZa`XX7eF>5#U*OoLEB}p8XaOSR8RoJbf^S}Pw!+{)Pfpt0zSPdDiWYpoC3j^_U-%# zZ@q<}w&B7-5$zb1RR;En2ec8l&mK!mgAR&iMviHZ?%f&8IAhwQdv^j@7S<fXT`GV~ zfyJ~(cQ=>|jcEmVOdp;wVM0K+Ux`n*TSh11#^&p=`@|u0U9R0c7LAX<2S;CY={$A$ z73lPa?ivf0&L5XwxNx3te#F=*qH_5?sB#1idAGTME&_PY*trkXCh+L3)9`3K23pVN z+4%r|0AK{jZcq~QINo*uv>_54%lj6vGB9{F9$Uc$Iz18Gx-q;2-WCJ8u$%#^z5q0u z4N;!~Q6IsLtR8eN0bD&|ye+`B^O$4jS<lYz9-Y5^dY4a-<z{H!zd)9ofuTgfqj&cQ z8Eyt}>E@#O(uMJ(Pv<|E&R5_#^z1zD()raz^X>nmKAq29K#NF!b-n~kHUD5NbpUM` zh6+1&z5t6u>qHY%o!b0b-U*=SR=Z8y?L^kn$SXov1>vkOBD?dA}mM`#t19?vIdz zxqpQ$b=?m#&!h1$$0QoLpFtkvegS!y`xE4->wb`V9*u{0Os0|hGcp+%n)g>^f~HSE z>E}cS16k>(M9!mkH%PPLNms*@kkkcBMy&@*vKw|c@JKQ+mV!=eW#Ey7bYQR~IiKFe zAX8io51=PfkH*6jrhr1>Iq3-zcmAo!0{Ooq3+DeDnH2aRq?vO6gG|Bh|AMJh_kTw= z$p164Vg7%SMS=f8nkn}`$Q11U512-E|3~D4{GX8v^Z$+<3j7b!jNSiX*poU)19q=I z02f$fBz16si;~`tJ9eJ&==|)_`M2|gM<=Lpv&Q1UbWljXcY&@~0`09l1Fnqr&X9m+ zPLJNb7o-sE3TXtpKo-H4kVCK!Ah9##5#k<72=)wR1lvIc$_8y|=v`|7ag;~rJ(tc$ zE}cIibx`MFk8V)oYLCVZu>3cl&R<{|@Tdr~QXf#Icn>D+(RdVOpa*E|1gZ+PiUQYK z;NY6z7#1Ah(fQeP-yG0+-3*}RSFnjp(241cAOU!--*SMzWhLm~uiiRFL&%|t9^K79 zKqJ=O3``6RT`U|O%^qB!5ue=`z-Je3HsFE|*?9KWF(LHaU}9kC?tTE%lL67g0h(dx z-5dbd(|nBC@EhDc-OUDIZ5A+X9T05}AZ?9@3qZWidl0Y2f&B<&BUQ<u_HeLA;~P+W z2;}e1e;)f6!@Uj;UEkh)Ck}}-fJR(Elj+?7%n+ZssCa;e5y4J?xx7UM(!QMno?rwo z%YYo5+~K0)2_CCO7K6-uf`(VY21`H<Zc&*CPJtmR0m!PG4Y<J}xdGxm2@nrDJ?YVS z1muBe$2iA$B+pHlFaewYKnDSX+Gx<=C&hmx`2tr^;PT%MkdJzIgXT9p8V?@;@d*15 zmR>=t3n89@r;py<4VdW_H0A7xl3o)yL1{08lYybZhJ(3O+_U)@6C#xzYi<AybiXd} z?5$&lh8SoR2^?bwn2|KGplf1!ZSC1x$BNK&fdypK4HgE5W*ZKM5)N2$^lU!HhOU{> zqj$Fg=!E>n!x=oFh0!Pp8IoB+@eA|+R(PVt@Bix<`PBpL6;P^fu;E}TQA0`8U?E{p z#|AZ&tWZPgc(VpC14Au1+3r38aW-f&a~Eh>%L6qNq6Q?2?aZat=(aPLYIrsuLke4u z?q)<dcC&E&KhX)c{B<iVIN^aaVZwx9P<g-qAUtruIT|G^gM3&j1DdpE1I5k)HfWS` z9&5h9%fP_=njOMm^61@N0Pde0-oXowkZ5q3f@~YizT^1qV=mQ4_a+nA2?<~)WFR@A zf)DBh5Ci0d6%Z#R@L_iXy!<{7cLM(O09q!5njbR2C0YR!I2;&CwLH3;4}gpG6O3RX zCd@3Nz|X+I^x7CTW>GXTml~l)<FRItCT5S`-4UFiPU2w>ez1?Akq8c2C>yy%gZu9; zQT{6_NA(*AD=3aZgWljAnCQ{njOyJIKU7atfHgF*LVb>z77->w)8Yw!28P!PFsGwu z6Ob%u)YhYSHv=RlH}EqsV9O?ubOrbCYoh$i-?9-Se>;G^;K2$lbwHUJ%~u$ixj=w{ zL17^~gGcXf3y3Qt1hBgjPiSGwxd^vFLkncn>lv`ng4I{eZxq0l@qf>KzlrpBJLvQp zlydzCI5UCH8wZu^B_MwrfR04zwqOUxCUdDcX!;f$I<OSM-{J`|hYL|^dvJi#OaKQ& z6Mw5Lcq+dc5%Hj;#NP@!ZqBo}jvK0RKj=&!$PhAU_!K<q;c9pQaz!k-6awus0a?C* zN0@=3dxC^80|RJr8))>=V+Tk#NFQuj#tV?j?hmY>fe{}LMwH^irCWr<v-2@%v5o+E z#KUn%A_D^hcvw6u)iEe5*)bSwBV<6F!K1TTLJ-`PcmRsr-pvex3=H7)zhG6K&Bu5U zwGrq#9&pib03sp9tp!B40wm)qfOJF4d9VQ>YamS#)JC2FI6@>qcTIM)a1e3@qPjia z46+auBA_}EWNGhaxTRnlQL9<hhzFNeDAj9(AgHtw^=v-If$-<CW{@zbJbD4z;?a26 z0pv+=&7}bL47fys)+eCjQz4BFu=O6@&1fl$A3R|PN;jZ($!lJZ?&cE=ATD?f9K`nw zLf|;y0EI{6;TND#1kGi6blyX%SRnNmA0q<;s(py`0B&TjfS6SQary)h55*WrVFIrY zLG$#u%=3V_E&!Aqdv}BU=h1lBLKw8UAH2E6)$jn46XE5P1l)=E>YI`@)bbcD*gQ~+ zOO!x_y6XqTT?UX)dms!=xoB++c!2^5KY6(0K>h(W<t@Nz(SePDq05DXy`y=72skn} zKmxD>#KRhZkp5%{sLQYbRMK{S_w4-Vv0EK(0em%K?|v+;&oAH>QZ=l%<k{U0s(u{1 z`(f2Bf6GIN5?EUkv?qZTbWmD9yo_pH2U5~}m<6$R(XqSz1{(u|Cv*D+P{4G$sAPcV zJPSZ0%AR{blVqU6#HTYwrQ&!CcrzSG2Gk*u@a)}o;kY;hJk~l7cju@ifTs2%Kt_O< zXMr>dfXWvR@bnHi5c#(=r#W`Edx(OrN#1_~WP9Ur15pNsT_EdVceB8z*Bg(3f(tZM z9p@Myd)TA-FcXsN`M0;NF)(MqZ=MIL8Ib%4Pk%=67=Zf(Yx_SEQoh4t0F=bQ?VS~{ zPUHtsa7svk^jB|)BKoVaC;=6|;ELn{JESMrEFcEf69EeQ-pxOd^sqpy15hUqT#ra_ zK=l|v^h|*0kq|@JgVbZl0NYalvnK$e2b6t1dN*5$fiop2CS45=fFs4Tw~h^A;{vem z6)@ce5Z$0H-yXf2Be3XhKE{qH5kXC2aEE093)IOIAO=K0+V>S89=L$yh<3!)zlP)& z@Pt$28?eotpFKPOdx9quAVm;7zPg(c?O$-IW+4u$?p9x52Ziox1rQH3hVc&ORisFq z0nX2$#yePN1W0Y~Vh&Jt?%nJF;&ndv=rmD*X@!kF9BZx+XJ7y|tP{YtY)%jdHA8Du z1VBd&aKMzqqW)O(45;!M5akU}<pv<-8ZhNZv7P`9!VFjt?f|Lp-8}&mguR;=fOwrX zDheRo5-{Dc_&?Ts18SKA#2*Ks$^$^kJz&aV5q_-s2UNKR#BC3t$`e4!BVfweq3PpT zvxEf1=N}+RkU;|Ca|e)e$TYWSZyhI8IeJT(k-wD@l9iB}N}$50yBkyzIr49xz7CY7 ztwlIWl0m!;5FTSmK8Uvo%HwYVt%(AOY=MciLWh(@p*A0D2BiZg@E{jF>c!xapr~eg zo#WYC#{(AwX<&wEcpd54TgQ)01{NR!uN6Ie>x590pxGu2mjv0y?9=(&qZ^Tyq@l7X zLDa><VZ&O&VZ+7W3YosHlR+p$4X14opL3MhgTi44gvVIo4dU&B@=7Z_d+Su7CO|?2 z>Oq({RKcSSawtlm-gs^1*;}WGO$Me}2`-7vgIZ8obPw{d*s$@pE&|nqy>;3MMX27} z1Mvb!i5<v8`yf2V5-$+%0F37Z;vItWN`pLm>&&6%9cu<TiRrbGXK$S@Tnsse<vn}r z3}3T&_STue<w1JT<H-gpi{cGX-+;dr+H0~!s6h3@5s1s6emDl<F_uJvTzvw@3k2~_ zL3yQ#p1pORQ1f7ZaP;i0vxka#bT>DEYlIG1jR5i!G|_^*<P7$b8&o;O2y`#`L1ods z#14-Ze}oEDFP(un6zZjO5FRvIF2H!;Xt@OCfukiFY97QrkZ1{ni-EiVOLQPVgo6DL z0hh-XEeTLr6hCyaaPTnNaKgQjh){&;jVlnxLA`Me!h?F_28;*x#w{oh?2TNgdC1;K zhKqr`0nJ{oLEcCQdm{@jkIfrJP+1gjG}v&kVeMwBvVfXRb+tG&G4Zz^1!t@6ptf0e zGpI=QU~UF^-J`Qb1=OkVINqYNfq?;h>bnPc9TcdE2a*MK{5*Tx?-`ggfViMVvmPKZ zh|<m$6;M|Mq6O4;0I30YOL{|8GGHr)Y#2-3F?z?PCMdl@P`|enRCd-ueF3RVnL($> z2zY?@u0V^IeU0FW1TYUhc$iD;LCXcf-P;|IVHW1n9M9f;O$a?W&22_j1FD(9b{l}~ zhSkOUT9DO%s$WKs`xHQGU^VW(c7z%X_wl!at{21z3;tG+13h~wh@c0QMG$`rXsaKJ zdqKGdTqZBYlXyTqHBjOK9m4{Sf0V=nUfI#x{s`(e1JGaxO5(8qi-6krV9lK^;M00M zdZ&P=K49sm<v@vwXYW4HU3T!q0cy>FBPakA_^|fNz8>6x&sYjFq!*z8(#8QBk^nLU z)~eYDT1*JF2qi>78IZpf6ddGbq9@RBASn|;Qw363sCzaZ15N56TGx=~7uY8bAfG@Q zTpo=_K#gQjKPeV8nG<^$Y8H8}zeA4e5zgjsiTwTlzh`e<F(POYGj*VvvoyoAx2^;# ziz}PfmBJNZ&!cr^a0Q?Y%7B(ZUtazNc11Z<l}C5;2XM{#12QJZ#NWCRqM{P20=r9I zb|ar04jB?b>7RfKDDb%BU(Y?akouF5HE}5Es*8ogqj&WI2?mCaW)SJqyL$!`sJF6t zg#-hGPv?KwI%dz_8WmV)1H}aXZ7duf%|AH#+pmDTn&5?}9?2|?9*igcKLBY%^dg)y zy1ffP;mzMV8PvA#Zq@*ID-2k`gOZH=tu+u~lreWE{?=4>1_saOBdnlw2l2%*R|dwH zps`Dk4Y0<&XYW=l^$ci&6Lyjpq`H9AFfJ+)p1rNlz-h}xB>}l^0gXF=ixWuM;G$9h z8e`yqt?{>E<ZrzM>TPsm44>`>4Infh;X-jK^UIka)tx#jAlI5gW7o5HEy=EZN1AJ4 zn+!k>Rs=Z#)4^O2VGYo*Xg6qZwAqG(oxk-nXuEuGjfxr6vFKB0O#H1IK%=hRNO3wJ zst4BO_2_ms==Qb%MR-X`cQa^|%7eKXG-L&;t3jnPsQd;MN#H@z9iU-DNYp^;_U>+Q z1A)04H0I*b*#q953(^9bkp*k%Y*7JC7=t3G0@CCJrF{m_gnk|*A}kOQ0a|r%jDhnd zFVvZQ2(N*>(%lWKxA|L7gD#C|KEnSJlo>pmkA%ML2Z{97s2D&sk(1QkkQRAhuYh7M z9n{OljJW_%+pGDAA;O2pTp1W%>VZ^4lNzk=NlsFGMVf1oliD`W)IO$z=QD#Ei!~~+ zPA__zVlI*J=x#;~2{+Vmuru?wR)MrN9}!3R6B3iG;8OuWIm(HGNcljTH{p?T2~^r) z`f)cXoirbDMmYAED+ANZnIPfb8Wp6D4tkmcwP!q=kNCe<2YJaI>LrXuC4cKo(0E1j z5f2nApk=j3;}MVx5fv|ZcoAfv0MtNo67CB!!)P6-jfELT(;>nrMGgahD`=eAv-yZ1 zioe)i#(})sTcZ*H^#EEE0)OfLg#?#FLk78!e+wF+#`M57P_wl8NFa(!Szm4e3HR2h zz`Dy&14(l5ClVZta4FVKj%V``FBBItz83XtK4OaygXAL)q-q``{Tv3R9Z6`=qqkU? zORYfCQV3~K8Q$G}0MejghW7rNk4U52$@IDlq*ETQ6R8?m4Gl&Gge1Hgi9@SKV6hLb z4M3JNKq?Ryl?b%j!$&0nG!Fn8i3V?_gxBb>f~(~~Nw{b85k+*TGQI?DuJLR>B8x7= z{PGa!`hw;oS}zko4b0{vR_IFDU(N?9>8(*whWn-&<W58&m2!GEA5nRE3#6|3h}!F! zpcWluzQ>FSeZCAavJ9$KOF54<XGk(Iuz(hKfEb_w;18hO)p$5S5_wb|X{rlkJaqmK zwBighcnvBvK=Vn6@n@L%0#Z=(K@5=j8lZx&@$e74=7ZL2Ifev#G{2GX?EK={`OkB| z5d#AQ=#b)l`3#_zC8QbW*}O+Z0<@x@zhx!p9-U(?Dxk$Fkk!PF4g0Qx<Vv_bd+T9? z<et54AVJXWe;}WBb9D!?fDQrUZ@I$Az|eWF`5PnWHU5^zP!1D_^9jmf25}gfAj()k z92qEw6~r-za@ateASj0&#L0znI6#~ZD2EfoSq$ZHfjIl19BvTjCX~Yi;(UQ}ctIR~ zW{3;;KpZV7habdog>nQyoCGLG5X7m5a)dyfX;6+Zh_eyO5dm?|Kslly&T}Y748&n# zfmkXI;>bcd5+IHRlp_h^1VcGeAWj~XBMstoLOC)Z&Jrj`7R1>P<;a0J*P$GF5a$h) zqX6Q7PGADXk0OX823p7QQHk>+q#fB{!2phBHE1sJft*eFk_|MJ0+oYxKVWjNK)nVF zh7y0z-g?-?22Ac8R4&@Hw;tC2fXQuw%B6eu*2Cr~U~<z~K%F1(hNhQYNa|pd6EJn4 zkb$w2kkr9uC17%HNak4~spCNNryP<xAtZIMo(;^rZ_Ehyy#lS;vtTFz`2#k20aJGl zN!=kNb+Ba}Fm=n2)XhRt$BX8^IwW;PNa|p1Xqb6nNb0<h)WK#hVCwXc)F~mUgSAp% z>e!If{Q@oJg8Ekw&3*U4omNP^fm&vu^aKhQ*mMZYylqJ8Rw0=On;C(r>qAo4grrUc z&E7O5bx}y_U=44Wd3H$ZjF8m9n!+%3Vo2(^kkm<_+53(Wkq#b#*0Vvw1y)nT%sYmp z4m9Tt3l~_G4O2G{N!=tQ^I&sAFm+`}>avj3!6t=Z>im$@IU%W&Me~Omk~%3Qb+Gv# zn0cV94Pfj~3=9k~f4~|LFgZ}m48}eMRhQ`5TMuhXz~n$n4`6K2#1F_kP+0++U4qHA zf$AzqS@gOVq$t9(w;ndj1Xc7p1;&U5sQ^`0u*x1L;RIt?!c@TKz+e&zForlxg(_-L zLC+`!9fioTPZ4zDKj_>T=uxqtVG2-xngMioy1Zi$q&jatA^^I|5Hu2J_-!Xhk4Gou zz|+<PrF@`E@jzSQnk!NmO7uZDHiCGdvm-!@CImpUeGHH@E_Z;ouDKeX%o2c`3$L2N z10B$vwB2qR9<2vT1U$MO96${A;|>y_0aiz7t<LDt%PRHb|9{WU7I3BS(R@S#)KkG& z?G0If+OQu~(KD0?dh7@7W&*Vxph4nsyxD+*fx#NoI`7@R0@T}VJPcZq01YHiN@oBs zRYPz0fEz#1^+QPJgLccHn*RVa7=dE`2@X(}1GPsOKsyIeO@_92z<UlrjUCVuVvvFR z3P5IbLN2QGXx<IlNyt!Ad93*d$RKzqg3=7Ef#cEL3|cVV?ZNW>Vk?JZ7r42@-vSyL zZaDz1Bw-aHXqg@8qywMc#h|eOkM7OjB@La=!Ttxw)h^H?P0&1V=P@uJZX+@A25}`Q z)_nf||L@V+401hWNm2}GRQE8{J+KPaqjxvh9lhX<pkS*}EG_MRJsBDSu<(L44qIW3 z!$MGo>qImTH9+eK`CCBCB0<Th0mMZy6dWS3umh!O(5jMd0nmj;kNBHEF*QHn?>zSX znycY~)=&IX4z?cPpL$r~G?=&TatVv!x7V(Y9VbASdV;oTbVssu-ur${*$}*S9(3Kn zPEZJ!avGj&z0E)MVCVVHe=ds0I8T-Ea$e&+@1l5I@t5Mi*Gz_&KqpXxS1q=FFJ<Yx z(0LJbcRJ_6l4wv%6||M3+o-#W<@>ef=Zu}dzF$)O1m08bYIxw=Ax3asa|Fj)DQo91 z$If4!*PFjHI=22QWp(Vl(0NVqqYLMO5<bp@E}X|)6wfJsQ2YqGx8eJR)`KPa@Hhpf zB3Rl0*$UdL0xAGNI}uQC7lP#e2h0o%;M}hR%Kac7=rT}{uH!5!p!5$t3DOZ(0H6ju zF-Zs(XP`u61M1;)wy1!j6gd@vk_6KDb@Lky@Zj}7&;6ivr{G*vgqn*sfKmZ}OArqO z!?9)#P~XqDcO9s(KnaR-(9)vYyTqg08F?%5wS)gy4?bgtZ6EFyG3YKaV7dJ2^1JWX z6t6jUxiWxap1);10|Nszjh}}a=Fz(ww3G}QK#1M#pwqq4!>N`5(x|<F&?^BNoP*>K z!%NWMgekj-Q1*h6fdRt`kf+hDfOL@fTLZZn7+ywk!<~BtVb%oDxp|QIL^u}|W^l7S zI%`xQbBK-&Fvna)7!ZNQ0MH%0&{_ecTLH~0*WkKWD-hBR*%gnl`36+4Pw(OiP*)Zf zTCjsc5h`y&ReJO`gW?3V##jJ+CL9ApH)FRa3+&LH&QqL+_*>XPoxnq&0|i4=I6yaG zah~FDNr4J7bs`BKgbFev3(A3daEF>d@gobeg8Daynm@6i2(mITbRKH{#ELAqj1wZr zhAimK#=y}0fgR>f&J+Btb({<g2p_^1qx7yuNjb2S{tzm4U}4eS45|b`XDgoieyLS} zzon5A<=jLN7oqJoLL0dFgH$^FEul=v&bWh61un@TszCXKzvT-fJki~SDnm`VFW)mF zoAnH;2s9H7+OY#Mi@znA8ClhHgenXhnV8`=zJMx2v+*yA>pwshq1z}28gPIo_>Txx z7&f{iZ2SaOhGrw^AT30w!g`gcHkKqJRKWU^9^K6tw#g%GgLNlSZ8N+CzD5+0f4gf` zAWbub1+WeXXv_;#l!FF$Kn+3I!SK+_q~HdZsDKVzL@gG&QHllrmc5`UmEL->muuPJ zF>oJico5^lhftlEF7#mL-{!y$IyD}2o+1fO1h)kqLCuBh1Gh#%O*WJOLoc!(6QK>d z3K&+)@VDM#Wng&u7__b#o{nHWFx0r`Zvpi-5zzzde_@M%PbPTi!n$9metGGFQ1J?f zvk9k=H&C5e!k>wMn*$pe;m_YP3p6LyTmKe3cmnn=e+y`5fM;*L@=H*g7h0Wu!D+bw z|27YJaSA*8^(G^96Sv`km)8&l4s3M9qj&cP<f;O#Hi8X~fcDFQc7S<sKyCu!=yu?N z91p?+Zb>PF9SlxHa4ooNAJ|X|q3~<|$i#UNQdXWsI2|@10@kerYTk4=gU2%=XCv1) ze^hFIP|tY+qEQMF;jj@6v?TH3_y7N3=kvGBfW(2u%MKQJLW1?XQFRfMO<_H3utPy@ zVQ47wZzDUK^0yQ-fo1~h6<#JY!Ou|bJP3*ekbg^*psD05G?k#b`lUA_7{5bR5J&+( zVah;<qY(`4bBJc&AE-t&7o0?>fUVZ`=-mx!wIK!5WKevfp6FJ}206_QR^!8sg%rQo zT6nMq8Eixjt_57Iz5MX=|9@y`!N$(eRGdSo_=VLsgi--gJ0BYN;9Hj&K?MX_4l)5J z!4f1LsE$D`h2h01%#W~c98w@QLn<u~M*eLMtRNdZT~t7~UV`d0&^QI5S__<;VVxp; zj_IyZfmI2RMs*3FXY=v1pa~rU!yAw;2&jjX{~6p(26az7nvZCJ#x|hKpKzZOJOL79 zpuQVySunhrj@iu9gT^3e>o&M30}m4Lw@hL{ZawM4RFRS<J$hGz3Q;nWCTvXv9(Nl- zU4$M3SgNU)il6`ghm8+_dxHNx_kpgw1os4sK}98WJjD?<f&)%(-@&Cmw0{P73fKa$ z3|uw0XKx+SkOe5$`t*X1a%X^^>g3b;3{?l8XY(=eLK3JQ-OZrZIQ$S>YmaWo9(Tw< zA==TW_8#54LCO(M0*%&zEr8zs1vw%QH0T4p01QdMk;S9&umEUPROfx9PBVB~AJlP% zV$}XKY*Ynw@}@B8PVf@6Q5Db>76X3^s44~Z&_TyOFktIK!!o2}w~tDRXSa(AmR>X{ zvkHJJhHe`JSdaShd+?=|E(R=@Uw{TYYE%Ru6|Knk3$4dNWjue&T0|)h%b%cO2vAQB zBb9)TE`Yb*z(I$|HL$54kY>;bCP|uM=>Vh|)Y~LUGpv~p(hSNvBx#2A06>~SNf4Sm zz!xu|`4H9%04W8naK^9)v}+YTc%X$CIBO6nz+g!LRD`|#3mKmUr4Y0-3|h^=nnsS@ zJt}KJQ}x|@R6q-~I!_$@A%F0NG~<cR!v}w}9(>H){NRD+!EO=x?h<+M;7apP={nh7 zXO3<icJSo~(7Q@rH6P~bwvoU5obzAjhvo<Jofjd^GlAx3jI9UwT|RV{sEBy>wy1!H z5<s1N1<)`bXd1ek!|)VDtpsSi?*Sv|)<w<_-!CX00v-Q$vH2ro>m~588o$eh)>Dvl zcL-5;BRAo|Nf<N?2pT`!@n4>Sp<7V#P~)cs{0t1rjx3;?QCm-yinM~xdIu?CC;^=m zEdt8RpzChIhpGxdZ16*j!@ybx2p40x7b8|nR3uR9NknSkZ+*_d!0-~ZDg_!Duz4$x zAtdJ<*kl&5F=-;-9U{+i`Q7DLIAZc0JSKm2UTA(G54lZMp!pFv9xrr;sEEMRZi$Kj ziZ8*7Wf5Kl*W0k!F=8D^Mp6Ua0S{`)2wZ%JlH9smRA4FW;>(M#5V6*I5Ud51Fi&*) zsK{`B{C-jK6ezh}X#RjmZWmh*K@tNf!$KoV99jv&OH5F51GR4`OKxGD@Mb=2nh=rP zz>Wo7N!sneg6?!sq5(M%DNZE7xdmx-4-q5$t?xjI5480c>K|Cw0A!8>wh>Ew#Wrk4 zj@Y;*Iq`ub6m-Ax#aFlzAi8I<r9mZVq!H^*GSc9|mrRiKC2;T^6RuQv@Fmm1S5S4J z(ysF$L>tiw@e-okgH2DOBt+2iJIWHG3p+d^!lpM72@&F2cuItD;f@C-A&jI5nmfdi z6hDBH;x~kkU<0Kfb4aeoVPmJnMls1r5fZJSv<Qkq9Hk+6zd4e3u_Z=W^PgCkl9d>l z;fawMcVc8d_zJ2HmKZ@?czhBn6_FAnyt8uLMFn07?*LyLPe~;VI)xruPQm&o$cYi; zTBO7X;=&ydN<<im5j4Sr6g$Y}B6?zkt$zoZLvp*&g2eKMjKm0vR!CxG##u6=c^6w^ zv?9@^WF<xxcw%J1ofugTzJjWQB}Nbz9-o8~BWQ{jnpCWzenKf3A>%ldBu3DYcu*T) zyLXWjBgnN#i4nwwJ06sXFcKrE!Ebo-CC3-|;EyxZ;UMJ%x)Ux?rNkB@WTY@qTtQM8 z3(gb<@dLcuf}Fx2eHZ>#htL22zjXZ!_ato46y!-zYZob&rl^1#<v2&%1Uh}t+nzgd zv`+Y2o4)@4|FYvN+*;TuJjhy5I|s#DNc-*o|NqUfYa5UT`AD+1|NH;{FQ<NoTdNL@ zCy=$EIt|5INNr6GYnT4~|NrG`#2}$DlC>K^qw0uqd5Q|8T&9M#yMO=x|MK8(xNl+m z$w9saCtzf2AvqAAwV<&;0p!jzEDinn@c;iy#*hF1Lq|#=6l{D6JW}%CbDtao0|R)Z zq!ekS1U_N}?nFbzen8n4l=R?(KQ^Eh7NE4}0U!MVZGi*V53L7ELP63X4bc9*;ia8$ zY51iHpnd?zh+Pa1{{QbbRJ^ty-2aqN{LT5fQxYbNbbBN&mw{3zs>?vh6OYS48VI=z zWCU)Pfn<qr87Kjxx(t+p@wg16fso5UM&NcCNR|kffzmjt%Rq@7kIO(B2)PVo1a6ms zWU;x7*Yp1|(10@{sey((P#p*Al_DGmT15s+YQdnS2GW3V99$YDsez2>7Hod>pxdDN znLOvUPD4-<1B(W-fP@`bnjgq>Ug&gW@oYTu0<<Kx^EsZuN6`75;f`U!o}J%3JO6v^ zu4Z6h0A1;5h`5uow@w3e(TU+nuwy~{^SVF-xxGk7NVS1-fMa(bXdjeg_w;WD<_y*% z93|O~-P3=8*^DK%j@{G$LD>8)-Jl`w?&(a1Aa#uVEz=-;HW(jtD}!VAbS@YlG*;!< zJ)IB6-wtv>^I^`H(-{~Tz(=E@ALn$uZ2|`a=r|dMm!PwBJ(>?QBfR7Ykp;C_UxHSz zd7vI-1(5|+F|V^cnh&#LXar4Qy!Q8KKFo$81)2tWZQ{{<m>ok3RB67J^k_cJfguIz zEqV6t+W^`s+jtCggc|rbMxV}aV9%kB7=y|`(C!S#g2n%y`}*K<20zEBw;DapOu$>Q zkPb<P@7e($69OB?J>F~q8mk9|Z|`nU^6}{13_4^F>>h9e@I*NQ`B*dP>`c(!k`B<8 z(#FFMpu_RNM=}K<+>ShI-`xy4S+KkN0O({W77kEfSf26V#h?FAg0?7u4#JxJgNcE` zl1G5Q6|{FB>0mvg9EWtyo=11{1n{X2Ggu+VM}f8+^@gZ$fG*@d1UhgGGP>FU_Jl|8 zZd5;jz3b6@1mcmysE75z9NP_YEa)W31D)WY1I;BucG<v#%>z9+AoUoGgzOgr9d-@L zco1ue52jKl6n}y@|9}s71)uf@+G7h60v|pJ8taAZtpW*wwjhDd^aGzS3u1tx@dRW= z?h8mXA{_$=b1roM(Oh_<qujkrki%$+aWCi|53qYd43K*nK&$c^4^M!cw2j-npq1jN z;d=qJgsJ-m^u+7t0MKF2y}KhI{<i?}upYk$^*`u52UPPo!296^V8?9z0GZIcdjiC~ z2Ou6k^FZ6BQOyJGl<w}v7k{8Fx2Orcc>xat187GXhz6Y`$pJ}=9Xz;qlwp)7prw-# zr{F11Y=|vSJV0$GNO__F3X9&&2E5RNT)`;^y*$a_g&YY6T5;mhcsKxZ&@nt4g3ChG z@&vTm3Unb2_#gsi{#H=!fmCXM4vYauwE<|&tPO`eyj+3J;~Z}$!jY)Q(lLM!djl<x z0Uh>+vs?jr#-n#Ps$am~hI<H9u5cn+4k)gLmMb9FfzBNT1z+P~c<|vUS0LrdevJHy zn)$)y0csK}1*Iock2MSMLDCb524yz~NP7Cgi#t6*><1kU07*m;?{+t%nY;sZe01;b z1CVNC0f>h^f1u8ff@hWgc<u)sAOtZVc36l9W~B$3K}Ont2wF-EKgGfroPg_L72mPu z1kef69=*H4=X!S9fbO00;79hRPbci`H~5}4kM7l=11?><H-p-|`#~%AK?|Q5c7e9C z?gaHr96_}m1JaqhUfnLH0v?^s1^f&QAU1<nw~r}@M`w2i`1EP8Gr)t}J3%+QgN{1w z)=}~3d=Iz1`8fC}a!Az$w$kt$#6D2t1NDGk$F3F?2}5&+j^;Fe1_np|?JX)|hUN@u zoy{pAes_zCkfAw4C&W@o(AB1(WrQG0!4{&<B0{qseB&KTQU#sF#NX-+>U4HD&j9DQ z1)$AgHXO|StvZmfhUGWVQMBNC`2uK*AXo)xiLPgFJ#4y^wAg^}$m`xb1GKVY^#Vo) z25`s_iVV+g7d=>Hcy_bsd3O8gkro%=tV?uU$fL%EENWax8k#e_%m*#C@2!W8mw*mh z0EcD<JTxDJW^S903xEm@B%9Ivikc8WeP8gA+bBmpyQpx0(x}G{P{IWzS<n<M==O2Y zK_A`Spi@75dO>GBgDyq|9lk07-X`IQe7JKKQ&uwk-g^ZP(0$thpdbWgCy&m_;8fl1 zA>h&34NCDI-3}5Soy`@Xv<}T`jfbJx474c<ob(_U-lHbI!=AnMusL7SQU`1v*Qa|k zD6e*}COWH9o;rBZ;s}3ERYOf3DyXSL0hBrd|DcVAK=xaC?7v5#yayNVh-d}XTFnXq zkis2Ag9`TwNa4;QfL!XKZkB@e7d>F-qyO{V{}gUDo}$<ebP@<+D>zC~%mOJMU`4TK zcbmN-=-{~K2LcQXp51Nc5Z(<4Pu<X*!Lz$hA1v5?K>&1QX!8jW)w>&d{OtzNQSqSU z1g?9K_85D1w}pfCH46xWjdq6^<_{L^<^UhK-3&U69CXzT=y;vp%`ZTPfy!ctVJO9~ zXLnmZSZg!rtZvWlws?qn>0rTb(4pZToy`UyV|#b60GZc#7<BOYE|7VU`WxwJZ=_Al zSjtw=6fTz10CJl~J)W}lEF^egWh;8Y2+I48pmPn7YblUL;3f{}CJ2vi5e|>;5)KZJ z&dK1g>D&wsscshzP~iy*N<6^@KH(fvPI-2>^@BqO7PIXT9yDglA%Ry9O6Z`Q9z1*N zVM`}LCjo<tK}4nU22u?2p=B`w#b6s|DafLNSqOq|J4YPY04W5!n?dJFb$1_NVqhTg zz<UmlZg8OpPNtoc!HE`9D1y8WEfhhi9dsE5B$>d|um|i0n&u;rv;ry$VJmqki%f9B z?A#1arqIX)rDG3hTJ7BoPph!B&y5Ie&+fMMkmv(B+_SrFIfMuDk!N??bVy{*2Mc1$ zrj3W;UVQlxsaS)wAAi6L6|mJF-OU}~^awtz8Yw-34z2g--24HQ#h_mJ0b=*=294Bt zG#<Vn2rkV)84gmpp!X3W_Wi`L5404tyBoAS1UdaL03U3>8GPD3)V>WMq2ApOKy9DK z!yQ5d?1QvtLxNp9k2`jr16>Z&`M2|gPwx`;6HCFTYalKHD@pR`-P_;<y_l<c?*mY; zvqZw9cdy1$P_cK<rSlWg-CW?!qKG@UpsE^=7OWFv0AJ(<Qta9J0<^dlbOt)OK?mc& zuQ<W&z8lL3xbMR<(A8}q_gO3>#(iK_jYlVJrH=a=)?#&^FFgDvtcAJn!5U)R2UgX1 zbix+uxUXX!y8FVK_s#(AX9vgWi?zhK39PE|Xux`6-Gn<n3)W+CPXnm?4|dOmb;P&_ ztg7*-!3N6R6R`n{don;L%!1vsV?8nM0jp{}DzK4q_c(0C;+}wwur#z_12OIat7<&@ zfeP-?*o4JB7Mo!1>DWk&d%&t1k6zeBdH4uy#^N4@%`o>AY$C=zU{#GrH*6-&J)raa z16(?fcAoYGUx4A$yPRRY7(?@Zf%Rex3?;Ijz577L9=N}vd6V&_Pv<}A0Sca=a`&yH z=3Phd<-47r0@DR?`EH3KR4e%MNU*Fc^a@_k&5X6o3=FNeOI2MB54eKwkpvqI)!urb zgvYaYUqv^p#QDuwuHX8$MB5Qm88JA5UE|XUx=FJ07OEbDX>dJE<p#}vnToU_SIaws z&xydUX~%T9CT5T(W{@V(@()MQ$Oi*<O%5~Rnpi-ZSU{RUlM{|RK_qrfCuYGlv4S+Q zf;54qs2q2KNbH&d=D;<vfi$s!G=T;w9Ki=XVAphGE?g5kNE16q6KGVzaVLnxt|?(Y zToVUK69-5WXqv_md|?cBO)nO}HF1J8ae_2~W<MNvf=KL|3KqdNae*{(fi!^*;&R*x zBC%^?=!I+I25I63X#(9j?YI*}V%OBs2iL>{(!>MO1e%p{1TTNUu1R15ToW%y6E8>; zXav>~Ja>&<(~OC5O?)6td>~DHpgFmnAQHPKg~@PD{2)#IAWfis<&HZ+Bz8?Jra(1; zvkpq;@@zZ?%CO)YSigg1TsmLEW-cLHalq{rRH4JL`>aEPT{;gtcAf)Y#{Ji)cliTw zY48DD8pwO}?gdqt;QZ&J`H}G^_}b!6;HD+$E^f`w{||%jI0jv4+IbT!-u#0RbdfSx zBe*PZ=>*9-cHRI>w;m{Q1hvkVBKrtci3g;b1~)t3L!>%y!rEJ4&%ikF#@7U#{yDG# zRJvW*04m);{sC3EWcdfA5x0LbI`H}jq?tPYS+Ei0pA8#f{sC3kWcdfA5x0LHwBhv+ zNHcZ()36ETp9z~_{sC3^WcdfA5x0LnbmH|7NHcZ(ldu`&pMuRW|9~0{WcdfA5x0Lh zmgDsgNHcZ(<FEzfpMWhe|9~1CWcdfA5x0MSEXL~}kY?)mM`0_-KL%T2{sDDT$np<J zBX<9of=g*g!38V!JRsd668r$`f1s7m3fsW$-v)R8Rx#LR_oS82pxz^C?%yiLK!W?> z_j`fPtOCuEfQCRI%Y2YVF-yfz762V<1`Sj(ymmsqe-M3ws>B&}@)LBgENB5lH|T_W z@CYr)5Rcy7pmSh68V`3cgN7C$!-lvoyn?&eje&sy-M!7AQ?a|d7cem}Ku>>X;cveR zo}LA@5*Uz9e@DJ+7<KNDzZG=t1NvpE9xMzDj4wBXM&%H9P=YQhLz&kvO-8-k@mMoR zAM@)v&*o#`84XCtLWEgfr+fC+!8S^PE=mKN1)3`d-<b=#C|Lv%9S}_nuZ<vWggDUD zD){K>?@$47or}7T1EL2s`h5a2`VBhJ-tZDyAj20wK+hlZ*q;m!TySduG(HKAI#9?q z*l;j{7FT>=f!>@8Vt}jwFD7g}47z?9Yz6vI6xbTbcvK1z=7W~CfEPo67$Eb(O9C4Y zH?Ts}2_ExP@te;CazAMP2W&ow0Wu#nbK=o>7<390A@`@@Hy<26FW8{r17d*82QSZS zJPf*HhLHK`_{|5qe*!zyd=LX<K6r6z<KYT+!r_yF-+X3J_*ig2%?B|+=7U%8H6B*r zAZ$M9SUgDP#F~D<=7SEh1BX9|0Wu$SoRdf6VbF1QxWflh-a`Awhda-DcK-9}U4CLK z=m@<18e16{TsmD;STs+ATD<=|PeEI|o}EX1I-hsG^XNPT6>0v#SeoI{YYW;Rybm#% z(s=9w$f(Zmo$q`)A9cQjRSe*|0wnedCIUUt57u4z@6-9N^HsNx3JWAR#yH|@)q}GF zm_YK6Yv(aXkcYl|bpH0~T|Q$Q14Hxv727}q4ho=U7F(f5jksvObYc7mZsWfK2QR3N z-}%)=^DVf`?*ek>FKGW8<V^>#;~~P1(9S;8Jdei1KkkV!K+JOK{OH+vgEZ$)!0rBk z?I8CjfL1e>fZV@f8+F|eGS8#&u*5ZLx!<J|oSr}zj{5X2x7ZJIz6WTf33$9^#y+U? zHD7`|Se@{&ckI0DsQDH;-tx|;^B2;1OKE!R+tON;;gna<6xe#8Bn2j+q5|zv!XzC# zkGOU$v)U}f;P~xGL5Trq{p2PY$m!Fr9m|COt1x)>?(6uc0ug#Gh8k^$p=x}(RaBb) zGM0z7-YyBmGE4)ruJu5P5guvBj<(b6sthX%zym-I?5Yr3oBuMEhc*9ZDhk9hn1gCG zXbmXJSPx9vv7@b70B-aTez?)hAfuT<Mq>>5!1O{~jZ@mOqs>|pZuABTxY6wV?eiEJ z7@B{x@Hb5bodLE3G&F=V0)w!EzoiH~!?>@)QWoZImhwPHs2-3`w77#f3nYOu<^(g+ zv7_y?BHURSig0JKf_%&h@-b-831#RBrWax~PHD%Ewq#?t(Kn3XMzev8W&;_GF+hcC zG)`&9jy7o<xX}}=VMhOE=Wm(~Iw1|Z5(sG&3)N(h1lAyS>}b2}0yo*i1!nSJZdf#N zz@iB<=7lt<gs=i0O$W|Fvq|$`4p1~f^nggL(FBq}8AgK{>DbZM?F)AngD>1!oS?Ae z1cfDN91UeO4W<_oO*o|;JKCJX;70EVg&WNUGMWoyG-$L9Wy}rLXq?iH9c{nk;6@k3 z!HoXR&EEt%5YKT3DD+SU=1@(>Dec(NwmBVcvPL@0<iGs<?X$qSiHE;wA~-iehVhWb z$q-iXx8y-`(~d9jXyO4y6GRV)#2QT?30PGN9$<zU>DbYhT>y91gM7HNctK&w3kpk6 z(;sDk5T+LrO*o|;JKB^h;YQD>fE&#RGMW!$G-v?P5qTI9)o7g3jvZ~c8{tL=G{TJj z%?~ma6mlrzjHssKly>ZB^PUVh^}r;kso?4#+$ewz^?Ua21660BLCLS++OzXj=S|<v z52%$0xIclY-$7R<xO5&xYNBlS5N2d(-X9^%$iPq{51LmOVubZ_UxFtkToAQnXO0RF zw2AT^(iVX>Q5-vufLvIjBH+^rl>xVE9Gm|zmg+fn9_whf-Yf&Ed<;N`X~AkimyXTR z-&7bpdUs#=id+kV4{nCEy$(aw7@h>};S+$^iqryHA;iew(Ri5S3pfm1z|A)vND~Oj z6mT$r+iD;J5gq|(?X?4vpuo5w2?`8Qdq+VM5g62HuYt@1bx)4LTubHlT7?wI{T-mg z&cW@q8&q~b$UKk6!v&BO=hFF#%I-Ii2D#rs8W#Q&q{s|^A5cpE1TCIGN%J{I$^n@T zii>w7#|7^6V<7`_zlRLW{WGM=bU%*vKFB=s+zd+hFpS&%4zeKk2gt(Qzd(k%?gyDi zOZR)of!rS<2Xp@lS?anUWF9TuU!e$ce}^K>{WlcIbU!HlXP849yV%n|$ZU_s!wsLP znEo4-K<=NQ1atobMKaxwBmINSBhSsSv=5?jr~eLRko#vS!`%NuiMs9wnMX_aPf!85 ze}M|j{U4O6>wb`Vv~>RrRgn8vsKVU;LxoKDgVO(oGkD8?kl7xMhbz8OG5s%41G#?# z=;9G@{mY<6ru%WEe~@|Pxfzu1VHkJ%U!e|i{|<Fn_;aXJ*Zm;#XzBh98X)%{0Nu|F z4u1g+>bf6f9xdJPp$~F@ggz|%SLjjK{UGx^8V|qNMsk{mr5zBBJN-0tgWNx(8|MB8 zUDS0y$UIQ^pQD2Nq3bcuz}91I&zJ>rd;_Q>4C)E(JuwsR_=n)(LYK}*;9d=AtXA{! z|HI(E&NH9RU(nG&&_J!0N8?e48c7D&>8hZ*_q$6cNR?yf4cKZ5aDN2GISdI;sC&+P zbpG|}-CnU>jKQOKKPaX^1K~)c;77pL{zDxGck<}nD-kFK8Qk({JlX)#-ud38^PdlR z0WNIB8|s{YKAn$nItMx)jOv~nd%*7T*dxY3tb0x%x#s~$J2l<IaTM&HiX+6kry>Xu zJ^~=^)O62|V_^4a93$R68o>zn8~|yjrh9Ij1iQ!MB=PRKf#jYCAnnw2565}1dn(Qm z@1BkjMEFR6v{TbP7FWUUS#gDU_bdoQxW@scoto}haSiMq&`b!i`RhXh!aW;6+NtTD z8F#_%;kZje_#`6SvjC)>n(pbi4|We|ri<9{VMs=}X97q&HQn>$A=o_`4~Y+-4M^_c zcm!HZOiB418~~f^^6A~)u?tjn%>eDnEs^zTJpN)QwCci`=+b-*uD8HLiAdF!47g$d zi#o#Q58)dyAVZ2EE@TxTxV}QMf5u*r{VVo@>V1&?Klaeq{uKv6_U||Vv!CMteeIud z8f5>9(=hvgoT9J&9oIni&j1~{4T=A&^tHd@A;|uYhcN%&ctBtKGv0vguXqEq|HNzh z+8^;9WPiqYnEgAx(bs+tCMJgF{RvD=pp69{jmK9oGC>CM>6HH+ctQ3D@WSk0z(Y&> zJ&w15)|r5oeR%Zln*mxI)_4qb?gQw|XK>RR)Js8N&{;$R9-W`N{YpH#-LRfA&jLEl zo&oicc^j2(_!;vyDv%@QSv<NYgO-|swwiNzK#%hG=-n&;Uib!H-RRMI9NbIjc2VKz zYz9emyQuJVHj97`$LOur0Ik;mT}*Px@Fdd4Nr7lc*$Q$sXnobbGLW~RcM*8>?glNK zf-RQv>23p^i{aSK(AjnXG;a$!e!1-k*nC)UfbOlt5gZc`;bG&`?Pkz<>fkT=gRi6+ zPjy1?u5;{WF>nOkS_eCF-{bNNkIV0xA22pQWAr%qOu>Wmm`7)c3WrDUW)HBn(^q(l zGk^mH?Dv)fC59mXg95F&0<<mGMTG~<sR1hohXUwS6p#}!LILdma#a6=7pB4P%K_T~ z4HS>w%|BQ`tC_%?FJLkptPBjW;F{3gcLcQavAYel#|6o^*AM<@J@_2yrap^q7Yoo~ z@-LbnF@jt>4YZD|vrPxI-?euiWNq0o0XC3rh9?mTt-H?zv@)-|O#^g|8zM9bn}36i zfuXbQ4#a%O+OJ~=u$aFDVm@eTBuVb?U<aAs1G?d%cONLvcr+d>0Iw}Wbw4<5cDI3U zX(P#e(6X}5HX9BG29Mr-kmZTTAZyD|&2It4dUqQrC6HwP3l5O`-$2Z_02$JF>;ic0 z8mjqUKvLappt~qZGJgi>;=HywprfRE_kpsEN8_;uPR#gC0iEI0-3Ge+jwJIvxIpgr z;R1&b_@0i&V+LH9=3jxBe*$#lA1UeQ2iW{S5c5GdGI=x}dw^j+xV`2GUT^2x3Eqze zK1#25y@n<?gGcB0&TE~gTsjYWLf4fw|6t^A0i9dt+q?XPCO3m)^L_~}ZU%-DDRB9w zdDDgQCHT0PZ}9zU$6Pw!YTgAeT?1{5`2?L71`UV=Hvg6=*8!jP0ovgVUK#MM^A>D< zRqKHge$U3^6|GVXu(KIJP0{CIV;nnQK-!-8SK=Z054?o?jA!RJ@F>c91ubL``XW47 zlGeOeK${!1;&AT)EpCPqX|Ug1G{GZ1?|nMIAqIPnxj+Vc{)2~cJ|VT56+upg3d5Vt z_}vOB-*LOQLkEw0D|8|5)zBSa_nrrz#rW5wcl`%FWcMN^ppr7*-lY@tL5XMY1AS29 zfd%kS#+#7D<I?#HTv~f}9&^$B4eFIVhYVLC1+al<<57(^P||>0<OvFIs4A+2_X~Yw z_oJnc8wQZ@?l2hO@J6KP03$r^bufmw_k+;@yBCo@c9<Z$7b$)4w=^&?F!=T^jW7kL zkrk$7rx7d1hP?{rQVfix{GN?R7no6+T44Lzz~v>lJVE%Uz>JW81kAzy$uOtLKPN0< z{_(J+zJCzu$-n~HKWOP+!xEC7E?5k3dP2DOgC!pKzOaJ0cY@WRaqkOTJnp?=2XSwQ z-Jo%AfCC=)Iygey`@vz*xc7kz9`{~wg}ArDb<nt%!2^$bKX^dgyTD`6xVOO{k9!M1 z2a@{q?o|jFVD}=*=LJE??nSC^!0i!-U~t(yA(-s48Pp#6F_F>|8NL07aQ}>8eD1dh z0lU8=WRSTZQ9e%yL3Te{ebNvLN#6#c1DswE?(GQ0<KBueh<i1{2H3rb^wAK8>|Uhw z4R22=goD#aK{(lI1k|1~uz<BcHkebI+9yo#INrwL4;rllcY{IwQ;)`DAN<g}!Jw`Y z7zcQCw@HXFFmyw%D+Uk6@Th?Hgn>pGj6r9%JOmy14(>ig9G-xp9&#tyA*6e`cvLz= zR9HNE_c54YXa(B`8xQm7o+bf0%(u5jg#&zP1K0x4I96x#i2w!$$T;4a0FXDpBUl>( zKz`UM%fJBHt_wdF5_vQabpB!U8_@WpNB6WFpi^YQ<_j?}KxXS9=2ry5%&!Rqo1fs( zcsL;tY`!1?^F6xT6eK~x)!C;J#J~U^4b%x@U}%28$a$i(4J3vbClv?+nF-pCd;m6r zh2;Mek|4`L{s--SLGu3%kip<_%sW9KCA&e_#&|RyJ^(fje3%P($1=7c@aS$^APR9` zM=;cVJ;8AIfy6v|_kl<F8jlqOW4O<wyDdN(qTeC}s^2CAt{)`k(Yp^cLh8|YOd$kA zKa&5zrvi39-)8_1eHN9@<`*DST~t^)o8N>mFz|18QQ=7IZ2kac^Q3h)e+dD58ZrcU z_yX9Do%%T9g`j;4Lcx*E(%HNu6k*>AC>vzonozKP(CwNXp&&ouw9livZH71`d?Lc2 z;S&=E4<C@2NAEu9@S;N)$Sjoj_vmiB0M*YC4%N>S4%ZJ7^XT0N+4_3y16KVV(D>N_ z)4vCyA0*}hAAVdAj$yw~ciRgt1_tnWc18q<;la|`mlMIj(EN~*^I&HmNYJBqpN296 zgGb}BfCz{Qu;e=-z~eY%{NAIx?}R!711LXmGca^B7&CUVsCaZwg9!F1fCN2RxV>09 zeN<RVSUFF0vZ!>ksC2fiC}Cje>|0X;3iN#z@?dKwlrS)Oc0Pb!U=7X+5s)!@WcwI2 z!1hIejE36>5$ppCVzp190%V^`1=zj<h<zLtQ2U_wSU@eCFagDX9@=30CZN~{5$ppC zVzsZJ31nYM6WG2TN?`v*G!bLp4v2jxQ0#*U_JIYl+IOKDWZ#u$uzd-TXxq^YwGVuV z6Rz-_0Es^a9_09g2=;*mvD#<Q3bN0n6>J{^Bs?WrVfKMG#39=UI#1p)Bsc(aF4w*a z@U1-1^FN#SdzdpYFz~lnb1*PC9&29!;(2zr8z?g{bbGLTzv$b${D%+&Ln{Y=iw-;Z zbTP2e9^DQc9<2vT*pE9DfT}u(VCP|v;~*Xb{8AOrEpMP}U176Cpn42Es^tkiwa2%2 zxdT6W=7M%nBFu$e`RCL59LeYaA@Ym{?fgSB8Wf`FuJ#Zi&uCCZgJd+w6X-^R?h5ef zd=3dy5&{~0YASR(&hXnVP(6)q^bH>JTup@034G)k4Qd%61qi72M|brM+8GTx02WtR z?+0B-1BnGt%60&4ykx+Z!a>z5k{3V~CAt?tN4nC(o(KWlu|Twcw84{+ka=ChZ@aV@ z7#Ps~lOcuM9z>9lUKlK(k-0Z$WUhcTZNlM!1Z~Vs5T%W|FUTy!K}T*NWgXC*8G2Gn zkRi{>L>S$`OP<l7?d(Xd29@b3u6_wR3mcZ*J$v_qsyJ}2@a)|Ws*_-BP$dFmgGzlE z8&pig*r0M1#s(FHFgB><g0VrR3ycja0bp!UE`_l{ISa-H<pvlVl!9SwP^yEmK`8~s z2E{In4T=sJ8x(#JcDI`bs68Oy(e2;>Vz3`~kN}^c0BKV&di1i+{r>;IXJ<R8!2z4* zjB|`XJOR``f%PHwRf3D2`(Q(TdN;2yXJGJX-hBboEGbFy=x+WY!oc7Gp1cG%E0j4r zntw8u@`7%E5@BFyu1H}h(eh|K{6U0)0e%&?DX16%ExkZokO^IB;?oH_iAVr(F_uT~ z?i~oNK9Iv!P_+7V{sTJ>v@Zyu5=Dte=Y5!}Py7O)w8G%i%WD7s|Nng;M}w9vGWc|U z_v!rT(R>8Sa&Yf_!UWiPQJw$xRUw6jNAvCg3((0b8ONGefEb`7j#Qz|v{sH1anO<P zyy)J3t?JR;9H4|yrUg~z(Y-lC8Lry!61bTP4<N7?J#j@U!~vi<E&Tugzei^?$YGE; zjXR9&e$ef89?-D%Xx@DT<m{4|W6cJZAdhwL245!BJsIQ>!*Ae&OTiYm9stKE=$aj9 zjP~vZaVT>$$WoA-Yawn1IR@@#XwZWxr0}l+ITPXj1dy{q?%x4ocy#Z!-~n|{CO613 zFc^LVUoV5~eghtOitODD;&^m#1}TLmOv6jyp%kLS9Aqhy`$3K&<o;Sz_rCx+8{~cm zE09F@WQhBB7l3Y~!gPNIvim_CkM7MN9b~#6WGRySL5?Bh{yLB|5#e6|ayH2QCqN93 z?#UpBdvxyx4P#*me*s>k@CR``x;KM#km-JqrAY1vIR>lyLqUa^2Iw65?lw>Y2aoG@ zp1Sy|^XSF5%}*IY*Ac(yeAoPqvGrOBALu4paKrND69(`YMCYOAPmHanN;tu#oZ*4j zOq~ZiPjMb9Q2{9ho%_V#(LH$u4+F!#|Ns9pc3UZaS1w}dtWi<uEKyNuJy6Qhda^VI zq!v_}g4BZijHXtivqVLv^+1UUXc3!$M{kIVf={oDio`xprMVMCID$z?a(-K?>e20@ zA^^ILhU2w;>&X&!5MSXnD~OT+2@ABoEx8Tt_JPdg02wEM)i~$~U@v%3h^Mo;rWe$Y z-Ms@e7TI_>p%=7D#YKg~@DljKC8XtY8qu+!5ecOHS&y1Net?n%D18Kgjt2DT-VM4I z%cFbp2T1+|^)w(Jf|M^Gkkbc<<I%ktq!f`pK%Ehy^C!qsr1SxD4A%64<bKeq0HpG< z0px6u`)`049^I26?%!>|2QFXG-LJuil)gb6kM7MN9b~#6WGRySL5?Bh{zlaB=dc0Q zPcg@u6F>})?#UpBdvxyx4Fel~+llV}3&`#VaXh*=gLIJTevqX|?gu#rtNTIO6EZ#x z%bo!&2Vb&uUO4!IrTGD4>m`1dL$FLJ=F#1p!3Q2}^ictg&v&}0@VwRm9fal6-3)T9 zNB3lq0&tq&2l64<n~q=-oY)}AU84_{+;#fE$^C*1Xjtm7Kp!HxgHjt(au<j`>;bXk zbpyC!f)YsSrwKLuCxC*zB;#1~3lIa;CQ?CE{Gj#$sLgnsMFrHag<fn6ZXZ}EB9wvJ z2Owo0-J37Sf!hZl)rObA!?8r?1&{+kaRF@~fE)&o3nce9qq<)LbhaHR{TF~39^JcV zfNl}$o(yt`;kTWjZZ<ebq3weS0!Zl}#G%a1AWK1RhPDqtj)A)w8uVZa$^9*;?q2|M zHYogmfEb`Fd(hfvpmsDgbt8xW3bgPCDFfwYC2;tIY6oaxNObsv902kQH2gshgZl-^ z{ou=X5%sHv9W?(ofEXU#yLW)D1?!&t0d(!&H>3&yQhsjWM+$!s2ULFYlkH}Zr64y$ z!yn`rxSPQN4<$k!!$4Ws0HuZnWnpGe7G_4z!d$L~-#|Nc7+!N5zHL3=z(4h<W9K`U z&JUa~_*;&FA`m{DKKTHs4(fc4sJ6h#4b+0>1(gBaAX}I~wlJaF0xBp#q1DaN>7yb6 znv-%-k$G+2dZ0whqkA$)273A;sGz`J&A#SDRNBW~R5U=nmTn&vnH`{Np4&%-WhaPH z%Gd3pBEig}q6*>}p6ql{(dhJ1(P@2K!s*)jjlYEzy!g8r+Va43F>Lk#R>YYcX8|3c z0NFs{(c27iYjceX3qz@S>q-6=A+T`_V1Eff{3Y_*5p?sy2T<67)|fMZdNm%Pxd(`D zk8S}Z&th)`fV>KA%XEW6y*orj1me*^7SQF1jHSGw76&sU#G98oT~ri0LsV2;Tfdd4 zfx?gj)M(KFwK5bC(S_7#c`e%d7Sc@7fHYGSK+O~mNNIcnUK-zll*S*V!KLv5q|zAF zdO|9V4Wb?6Vh?xosJy)K@Be?0^Fiez!%O4;=oi2t<*znS5Fzr{22i#Fl@A>DAc^kg z1hn!2)VIb`J`|vp4<Kcr@<E#HyasXrD6c`w2av<yc@4?^?I33&+;0JLHmH1_0Ahe@ zK2Rmry?cQSxP1a@Hi9z@w0xc+gH%3%IH3NU4B2i5SqgG9w0r<L2JU8P(Ez3p>rFhG z-*|X-e(~u1yRQR0>j4>4_iWyyascE5{+16+plOd56$uB>G0EL6Dhfj2jPAhFd8+vl zf9J90XZ+2dSUErOw;W{xP0%qnKVWYD$k=)4`z2Syx2+c)`KKIfJ-|Qpu#3WH!vn1s z`M2HXZv~x)3`!xOlAFP^dkff9AJ9BFc$NZsfe2&@29zMXeL&kqm^)omIGP_acY=5y z7&}jWztnmG(GB1<JkWZQf9iqGgRUK4;if}!@x@ZX)&nIXAS-x|yQqNXmKhw6vxD+w zcZdoH$o$R=E{ZQWPnYm=-r&6GqWDtrwBm`^Ooq2XM}dC73hry{2i-X7*m{(|)fANJ zJ9AVtK-W})gG8X)gQfWqbMr&y=1+{BC%#_*7pbm>|633302Nf=L5)(l8xM6JZ2rjD zda9JwvGX|S1h#jsod5V+=7Z8xZ;gro$o=5_0}CBQz<7YVGT>wcDge5DR75~Q{*bvD z79{*r4uJzg;R4(ggF~faEeA?uJ$hYKL_qmm;kb*60Lc5G4vNBYa0%7zqax7lq9USr z){*mg3BL>HU(ORQiXR*mk1HO1&FpIUAMAgZ&L7_|D85+1Si%YRli`8aT!sf+TmOTC z{*Xs^j*3R-Mb4X@$2jkm2y%XO;e4(5`THf#3yL?sU+6sE`jfu}baOb!WuO9t0Um&$ z#$)qC{^ke#pbDbXMMVZwidn!0h|>5qPJlhV50nzYp#d9U{KOvz9z*)XFX*CT;L#hS zV&Kyoq9WnZ3pxjoVL!;5kW2PJ5#hK4G^GTN6W`8<Sq{NjMOiG4L0M&B(><Dx7<hEM z;u@=iWCTzqd;SMBSl0s1Cmzj5JV2*6K#!<{<gXA=9h?BEgA?SSnWp(6d-F%8<_GMc z{Ly-mf675fh3lYj*1_OqiL6IA*e9SE5<p^eyaXL?3J)_-t5=4B0bJd63n-pa_F(A@ zQIY8MQIYB9IQUYc`5|MckBSDUGCBz1g1XcvK((Mp^BWFmxPUT)gHNxIiUGJ;4vtL7 zfw6`Md^-PUF~R~w034b=y(KCf`#>`vyFdguk3w4U;IQ=R{O;TNBTLdT2(Arul)4Pa z;|E^~LY$-kbrOgRbCL?0lPo|^(g0Ukc%77@5`f_vPyq{d&2x}zg#K^7Ap>1k0~$&M zr6>omuALx1ID$!^&QHFb55TT=Xn6~&JVin21f&+?U$82OTF=e{V1=&*!S}{Ga6n?J z6V&$s@9(pi0PDusOkiNx!SLh%|L$&w2@DL12Y3BvXJF_qQ4vtQ#(A!DGe}Um*<}I) zgK~(92<M^B$sjgpd=*mFDoj9hd_WUSNL6hDD6z#k#)EtNDC41>pz;GzA9;ZC3aCC^ z0Ahen>qo0kL1W=q`a2zH<DnpB9^IP{K<ZOaxny{0C&*Dmx3@tK0A(9!eF}0Iylz6O zPrFdve*xs|66a&h8jc`|?q&ggP<rZiV1Z{O&^6-%3=EtnN;sh|e9aE+IzZhBjxan! zwIH)WPMZc9_5|4pcN)091dYEtg0nMd(8{y(-@a~;YY@R40dgIGOEeP$!?ETKAdW|O zGq_gZ=ni1%yvBJBR4qILRa_jMAu2o$-;Oc!w}6hJ?mXB0jgj*je+%gLNDzk!#Mury zd-WqT=SBWjcF;~gP>lsjlHh?dkWmjHM!Bf)fKsUhsbwTMLksxy#(;Kg5-1}*J8xz= zI0j`EWidGhBNdV_b3s*L_wEYt-Id@RgVqBT^yqFb5QMaF_*?8i%6oT%1Rxn6Jn8U~ z6C~W-tRVpEU~?RN$ptAW1iBq~IuAm)u!2Gaq7Up|P}wB_DkvoOfeK6l1%(87eb+8f z_ZV7ifixi(6x#ncgPZ_PD&T?w;xVX?e4q#YK$~qKKY)kbb3KqfRHCBr+Sa3cGI&iH zihi)M=$b(%pMp&C=!V=)+x&)O7bucZ#}Tpwz?wmB!jptSX%3Wx>;8gg8$jt1b{REz z1__*u;RK|6C;`5h{@=bH)U^BnlzjPHA{jwx*}@4V32G{UT;|ce8I-6&NlpM<0fPe* z?7r?0NYkP7BBXsLz<H?o0jRwu^6e0FNk!)=P@^bBMWE9KRMeHEg9Mm5;R5_EQ$S<8 zA3@0)qUIof>ot(w-IF0h9J@DwZbd~M;s7PE74QVM9HhK=H%P#vdoxJa>uQf~us-Op zD>OF24u-}CNHsVrb3g}#3WA&7pyCZ$g1mNsnb18sLJ!=A2PGn`CV<9;K*o7=Zw4ua zma3$V3xPr$6q600K2&EjC^kHrk4Qv2#(_q5AyJ4Zk367p*bDMIqCCm~MH_#MFt|KA z0OBAu9l&u2%0CjjK-03|rJAs<mY|+9s7DQIptz_=G(ThqwJE?|OCJ>#XfT4}Utu4p z5e3!@4h+!FPEU{S-Qde@dvTQp58#mnUO3si8zcY?C}@bk^aK?M5EF1&<OH**d-4o9 zj7R~c3Xr*=XytF=2O9^r2$U-17#LnY00kCa8~9tm<8FA>l-hg1(<aE*Xo(Be72s+a z;$4s{K)0C3;tx&!)_#yP;iU-{D?oF)FprjiQXhx|Elr?-N^0r@r4>->I|eC7K<Nn9 zwgr_V_?=v8ik!+oF|!LaV7n7^T{kR&HKeFW*Egi7$k&4=XXO|eUWem1l)u#%R4pHO zQ33ZBpxz^3FsKCX_TV`9k{?o=Xh3Td5EoXP=s-tx;EmxFP_My9MPnbx(P$M4q+9IM zX`=$FioqW0tx>VSs8&E)!Hs8FgA$rjp^3u>y2Z8iKq+ea$OPqfNcw=roJVhoip5Kt zf1t4wP<{t>CO~8Ipw>qpC}kjW`v*`4=WjX904lLPoI#S^%^FAzy#UbB2#8@v_@q6! z_=dOOU^DU_y}LmxFrcF>hL^xMUm~k|9q-ZIEP>QQ41t>O(Y+be(Lv}0uWtYimod0P z7qLPO2SuYixUdC{0HE6eE>ZB*b|623QYjxOWpp-!{12)h!HX0?r6f{&5}b(;K~(_? z9nkp91rWoddpD>(>CrtI<ZQ!lh~f-dJ8&S6--0+q_z;h~L6(Bt4IQ5WIR>?%2`Szo z=k0+a9M;^N0E$zDFBn|FD^kC+fFe8s#PPt^GUhzN-+Gyafx)M{d4VzmgX1>_#u9eN zZw@SsrMe&uvY;jx3uqKYS>W3t#u9Gu7>D5j&V#Q-;6o{#2Vb*8#S!D8-!FltU!fW3 zwNUGU5<U-<an5cL6&KLGIjxsU1YKKCmhv<|Vl;f)dEq5^d;{7xw&>1aX?|Yc{N1DZ zX?^Fr@7G)nFSULLb&22dPd%V;!tibDcm8cZN?2VDFTFN*>^KGT!A?*^q=elOG}`iz z@wkf$=oSG6(14SV3WuZOsn-7`T#lT-6wf;<o_ft>_#ZS_0d~Jj>xEL5&cB^MJ5O+4 z=zQ<OdA>xL^T+o~j+_@A6@Pxe$a&U9@$73R!?&F;zh6{52p%+W1P!$Q|8|g(zZG<4 zkE`MB*0+xQQx7_VIv@`iJ-WL=0o%>_{UWG4uXqX+ikx7b;92S8j-CHOBPq@v-Q64H z85p`Xy9-&GpD}*F+WG1GCB;`@cYQm==m>6!f<}TqbbeGk@4|VYgpc#M3+F)>#h;2N z6wkkAGCbhgak}&1_lvDR5#wE;Y47tcocB8qxp3Ypk>I@U(s}RuH5bl%ir1PyGIE|! z{P6vPW9Omo*Ig8^JA6CN2-y<^s#HnuFhH8#IjCbQptZ`7TVlW-0QVF^vx>mk*Q5Ce z=)imqS<qY_xT$Y=2_gYWjiAKH22P8?h`ti2TL&5dYW~Oy3eeVzC7j>|L57!Ja~fU( zjUpcEyw-WW`8(qVM$q8L|JHw{(uUt!FY!-3P$J~eag5`@_iLRWU$aA{O&IyN9cVpR zl0}B6S)k(*IjDUkf__H#9w@7Mkeby%z6bl<5j5ogzx7mU9W3{CZ?-UHVCe3)F=b$A z{>IpO{`>XTe<f_7-1b_c^&~V?mb^diqQU|i_yQ-g&U4?dDVwM`d^^Ti!UYy_H9Yy6 z$JOv;>q$_8cIiCXd5-g#OXqpc<0ZnJ|2PjR{%Zcn=)!qY@#6Q3j-4mIUvp7B2GUMJ zBI1B0A^~Wf3&|<p3@;H#L=G5<2u~pkDi1-0?4w`ct`MlKgmi_lj+abCEm=E2g(;|h zcmQH}bngcDce*EY$b*}ipjGeSL=3GTB!nQnTX5?O#G$NS16c}kI<$TOIR<O}fK<Ot zLUq4@D`<W)<5+VBh~d%Q?147F4O&kLt)HO{Zt(o}1O;$i@cm*d2iPwlWggv|9Z>3M zP>Ubl4gd!Oo@f9$0OS|wcn`>7aK9kAe=@53XMmgy3jYrv258|DXf<Q^WROD)zkyfa zfh~rHzW`!IWAAPdhcY*VECsn48vY>1z}*b3n!yxgd>3>cgh%rm3vd_g|2|L$7QRxY zyIH}Ffx!bb37E&u!0`XU%V>56hL>R=A`nFQfCzUG;RGUVL4*Z}Fa{BNAVL#FsDKE0 z5FrI3L_vfAJE-2T09}>|D%UhHMt`~sSUO8o1dh9?h=6L#UH|_7{}0ae+yy+P;>{Ht z45d7c77N-K8Ti{IIT#qgwOs2d{+2^*pdrW2KU^6Ykel+Ly_X=HBrrSu65TE;GO$j+ z1gz69^X(8be+#JL2<qoEBKr9y!p*3C`~c8VSKZy9Y}U=#>7pXh?Frh9B?DT|#oq!7 zs?I~rpCBUzJ}M%dr}$gWgU+xz)clDVY%Emp6;x0FSug-}TGXNDPeRCoTcLu&$bz6V zq(BydW>5t>p=KI_4ud+>{7DH}<pQXnGP2-qsGtF|pd#ojrbEr2jF1J}pn}H8f(xO7 z0my>v+zbpLCj}u37D5Gskp+98f(giiZ=r%o$b#WK5HpjJ1&g49ppi+q-|s>NxsX*l z@j|q7BMZht1tpLL_dx}vkOfuwAljvo1#O{%8pwjXp@Le-f@=H_?b^tKQ=x(u$bz7i z7NDTBLKYMgfM~Zy7HohDMj#7Lg9=6=3x0<RMk5QR2|~=wKo+cl3T7b-J_3z=e8}!} zQGo>U3H}y;A<)v;<_^%tX3$15&<-+3W^e{<0F`=>h1Nd3s-Qe<c*zHPxFCr29mWCW zO3eIEV1`V<qc=wdr4GhAIR<OaWwHMU4;dkk{mx`!V0hU9BAP)&9f+s|5hWlZA4Ft< zh*S`f03twR<1fQNtUwUq10vi(gcFFc1rZh?!Wcy8fe1|yp#mc0L4*{D5CsteAc7l2 zuz?6h5b=kRf#D_SxXqWJK&-bQ0<^*P<zo=*9*DRJBCddl^C03Bh&T!&K&QmM+zn!F z0}&fR#2OH>97HSv5pzMr3=lCHMD&4(P7u)oBI-dz6^JMW5d|P38$_gmh(r((10upf zL=cGZ1rZ(~!Wl$>#>QV-f><UX0(9K}ODzx!bn(SY1rQ5#1=33~5K9n5@PG)=^z}<7 z5bG}k1H((u6vE5TAl5q&0oo1=UNZagK8Sk@M1by1ehJ#C{Sq`<@bVZ)>>!BP10q1z zdcNEQVyy)cph^0di$Sb;AYvwn0M&9YL7nQCpqZMNpyg37L2EQ$*S)TJUG=)+b=m8Z z*F~=jUgy2ed7bq-<8|8Wl-EhG6JE!?j(HvRI^uQM>yXz$uLEBDz4m$S_1fdL+iREC zPOlwa+r74VZS~sXwb^Tv*G8`mUhBQqd9C$Y<F(prmDftI6<*7|mU%7pTH>|XYmwJN zuLWN7z2<q%^_t@~+iRBBOs^R{x_5iHK_~h=yWO!*-yUlQRhpn0e(w)(;}Bd)Hy^P8 zO{YQUZeMSGz4`UV*Xw;Com8LR6cvSipsV?Ife6Tm3HW45aF@rY^LZBY|IMIL1aP|q zGz<ZrPzOy5av+Utae&R(2{Hq$5Hwz@?a{kgVgm!i{)|2147(11_mF`lJ-VTD9K4{l zJue-=#}R_ZR6+S2n^9SWjbixs|NqOc;FA*x*&6rf|Nob#U=0JqldY%tTc3Xa|NrG8 z@GuoVm+-fOr^b3Wdw@LlVlOU_dHwzWe;-KtWje%31k}I$22*biQBNS?%t5|o2P?(r z+|p>!iKY0Ry7v#v>OJ6dGYN&B^zZ-wUqX)9#Ah{sD|qb_!6fhwWE`k{NZ6%yzhEvE zBFd$wfBpae@)4*7h8XF_mbyTJL?FDcfLu8pY!soWcZP>&4p=?@Kmrea5ll{bzhOR? z0Gmn34N`D7ykG?lP!Ll83~v4ouzGxME)64`8S_9Pp8+<CP;x2+g{&=DDLz|?O-{ie zo8N(s7bO^|;h$lFdKjXfKpNot@&EtJ$zY}UTuMxyllcj=+5>DJp+GthDxJXRsp3t^ z#FkE)aO+Qk4w5ApQs3aE(@cnZ0wEO+a&9JADZ!8;VAO7qQF36T2qogUAFx3E3|dq` zTu2eHJ`-g9e6VqZtoMXl4{9V5F3mQ7hdI{;Y(Bw|B2b06!p#F+noBs3W`n#2J}8<% zND)_#zxw+B|4UH&kg(5RyodSR3p{&CDD}?-84bGQlR$%mh=S=L$f)z6K^cM-Q{|Wc z|6eWvE5#Qz{H>7l(FrAw-Cv-dejPzLgxFyj?llpOs6Frg|KA5{V}Ulab{_KVyphF_ z1vzU8)Lwh}>+Apj1VS#Bu#>jH-9Lk{;_q*vo_(1Cn#01Iz)BMcn^z38{G|lgJVJpz z6>k3vsx|4P;cl1$Hj|JW9O3FyAnFMeHM2kd|NqhitQ22*BPO_H;5FMz&_p-E^1bv8 zEV_1r)#J0DzZJaai(vNI`04-um#JXm2<2~1kWwq6lzsv!<p(Rp=SXlJOdz~%K}H?< z1IinOqO$4}EPQ5y)#J08*gE*iN0^zeU^58?()?Hd|GyN4C?!x6?1GoCuYQBPhtIjh zgjB_c|Nmdk0vkmrq&Qx|e3cJYkJoA<GX4*^SLDIw5wgGJCCvW!p!pH}1&;yYg2&<m z%)I$jF>fKLtOoZB{Rx{#MA<*#{r~?j|NkTy%S0IE4l-&BRgAju?*IRnnN%^#0%VjN zRgAI$8Fd*nGJ-!@5D`{PZ~y;)*+CVfro8$8|D`QejM4@f_31mo#6U#4fBG7h?w3== zydt=HpuPct)(8>qn+LD4*a^FjhzPX-1>N><1cQzUqm*9%|Nk<FDn@yMj8deEQHda< zt`Tkt65+wDm;e92?52uQ8$d=mP{pVuQ2qGz3&9v9B5{SoE3s8nF|XwXEHgz>#k@Ya zd0bR6FAZ+quFup6Khx(h|7H<3kB9_!`NjYLFQuqrR29gm^PdR%k_cBVdG`PR%ND{$ z5s~1ozyr*ZD(3aU&3pNgpjU}---)NN2%Sk4^DaGundeCr^V;F=`}u(y{xyTUZzWaC zlZ3l3k}Bpo!p-BPig^rh^LD<cM);*ZfyHkoRm{8h7-pU%Rm|gtyYJ*XYWTPH5zKv+ zR55P~+&oRHm^TX^emCDz!@t)a!u;Dw74x1wfSG4W74!bX&3pNV8veC`$Hz>nnD+x7 zex6h@ZynscpRcLm-@Er=@v)LB=C#A)Ba$lS9lZy0A177JD}%dl=PPQ29~0btnN%@P z3vQkyVe^P+x)j{||NrI5mjt~^gi&H3qv{A7MMNuO^Bq{M8dJqQSGakPUl8;v5$@xH z$HHW)n78jP%)ic5F>e{%ywA@G`j?2Pt-byK|I5WxF-rCB|Nk!|2pdI2EZl&{DlcL4 zi14M;t^faD?tMm$0BZsTSP@l>dVBN#|Cj1iF^U1?syj~!dXR{)54#OZu@k9c-jf?J z^FS?H%14Ec+=7|+@d-8jyX_{-yoH3#BO<NofYMqZRg5|dN+4`hF{%n=)b7XB2(Xar zumCF{Y#tE-cKgQv|1T8?8%0Fg?SZGYtB(kJl?bQlUi<(5C8*&~g=Bjb7HU?6okoOL z`LDsud-af@SBWrh4%~gS2%ASl(CxnR|Nl!bsu*?ZJZS#o0YP69;i_Ozgl?gVQLnCo z=0B)nlqx8!<fvlQ>C6BBzr1{(8ezo>@?Zy5jJgE!pe<peh)Ac^mtpDt(>;Q|B*HvU z*h3a^E~1Kg7vb&;B5WQJDR#ld|Nmct`Wgg^AR>&4y!8M7%k6gwh8ht@9k~FS|DcLd zCr|(X|5A}EM%9Bnc<l}~JlF&Z_HM#P5s|_+UxcMt2dbF2`U1?n54Q<=l?eBV!rixk zD&{>t4|87tRm@9-n+Iy;Ql1ThPyYY^a>FfxK}SS3kO3K$N)@9%gDN>G!bTAhp)=qC zcK#+oUlL*7?X$25t*4547tX=V)2E7gp=V&`-M>K%|HdDOnb%Jh^P1r9v!{xASK#sS z{yH`Mn+G><K2^+PfScz}74!a_g2l)GYt-<s0z5v}Q^mYLr(y1kr;2$G;O2oE`2-3) zBJywR5t#e-U!_L)Z9fS!FP|#rvB3Q+PZjg_z}<KL3N`#&bOPqzda9WB0bai7Q^maF zaQEH6Ob!1A!NaegD&~DW1`9uXka^vx+eL*={{QdOyBTG>s4i@~=sWOUGQ&&Yi*z6y z_@=fJ6#?*uG#s1SzGH4`gB`%M<3BS41L&Yw#e>ZsnUq~rL_lX%v|cQgZan}}13Ge| zg#WmUiVTPaJ{0CP3uu!#XhS*ZWHkoRF(%4BDiYrgGL~?Gj+O%{d@bC1poAa!5E&O0 z0niaL0xqC~uU$JYxN@H0ZvmZE*WC?10An)v0E~}}o!7o!YyHSS<pAgukb?>bLH2;p z%{DkuD%f(eL=?1z4|Hr3Xd5MiBlLWX?iv+^?hqA~&TE|iN}OG~XWublU~uWY`~9ln z0p-;<Oc)sWryOu?z0E)Mpu$1^Z6_6vbDk<uHayV!mVe3t&g+WD6hA3`e=XwJ{E*SH z`4Qu5i{|HyAezbWQs;&57r<wC7@lnX0XpN6p_HZbdgnDq#gC4hze)sMIWKblb5T6z zsQAfI@uN#8=zxvZlO?tuy<mGGhh%^^0D{lQK;D}RI!_6_?+~;%S0Oa392~`vqgHBE z$k+&sI1CoUF3{O<aJw8F!?KECi6W~Eb4zf*%eG^nqpHB?Bf!q90v$jPI<IOfXp;cq z_@Wh{lMDD;ShzsP7%;elB)gkIXAFSv(^Tf*4?n=K3A(4X8GN>6_hit~f89dL0-Yi% z9^I26g3Vw-2NrH8mTw0^CkL?bw`^u%U}!y1;>XRR0y=BGyBnmmJCKE2P&q^ebV4o* z=pY_J28QlJ7H&huYn>%3AQ8AK#Y12lAVzzzaC0bL?Br4L=!UwZ8*HT~hq4Fg2zw3> zi1{Af5cLi`+>Sh;%P&g8xIrhux~RZZbO-Wq2XZKfsPKT!{)9V$hr5si>;@jFNf334 zhdM(*S|O%-@Nj#8oZ$l!nhZJw4zvYS0DSNm;<^WLh`FdJz}&*14BBUoB;dfp4a%u5 zDk>!|+@OQvT~ri62S;;*PJ9kgQBgbvIy&B?do$RJbu8R=iq|`9R8$lXcKRTU4PfCG z0IP&s<iMfqq9Or0VIO9-0}nUoL<koZof0>0(8>EQDjJ{@1-U_o{)edOfc5LZZQ|js z;{aQw1GY*7W=sGNcK`=itp+GVgU_)56)K>@t=mUMq1#18g_}pE(?>;s8+1{#i;75z zBBzUrKsSrZ_X{8)P|*ZZ`TZid57Z+f-JnB}T~u_qeN;eED8UW7z}iJcrbLMobo7La z3I|v(kFtx33`i|lFT`as9^H`Ohh7$qE$|`fO+=;JMTJFKurmY{a$qrTN0v@d*jvKF z9v<V6w5b9*y@#6z9u43$-d)7PZKQZm@gpP(zy@`L&MJ3N;ZY6*oz|cMH3pLKbV_Vs z0S*<1Bq-$&6&=v|<{(dlLsSQviMoq;xQjTTHh_Z}l;;^>XKH~04;;)sDgvE6D$oSP zQliMo0`j2%IH*LFT~t^=D#1YoNiQJ2MmHpwL1$8PKvM({IG8y=XH0_i$|$?2@Sp`V zk4NwB1A3r~dY?njE`%RT2MK>f%7+~(2u=I~(0tm>V9Wx#8y?OFr+rZPi<Gc{QV2|) z1BWprpGv?*Kxr40hh@MjCBP<w3nFl~U@!*dDg+;Fun6cH`VwYNBw>)bpgh3=OU&>* z!2>pz!=rci4?WP;^Uq#>9@a$`H~>M{VnNDCkM3?z3D(V^3@Wfe5d;cXn5Y8_B%6qo z1aX4`8mteZ0a}EMbcU#iz{^iq5e^ao8w*keE<Ir;cSFl?9~GDg+%67fM-I?&Gtel4 zi$e=>8E_#EibM&R6s!=J=?qbkfja_Th=YV6t^gJGU}u2R7083#h(cTfRG6uN3bErZ zDmow<)RY39xeqDK6hI{xxTpXXW(vrK8K{g90Ov9ha8U{3gHPW9<!jJs@6f_b2b{}5 z`43T;f%3luSg#CNuMRk3z)2QV`N6!%smuYc@4!WkM|U&WKd@+FAyBV^72~g0!AhWU zf?ThH#j(_@U~v-aRj~R(c$DC%SHY?fQNjU=64d&I2UNbXaQmnzK<gJ!c>=3nTvQam zrI!l0g5gmC2P+FWSV8p*sCeVy_5oix1FBziKo=Q+qXZOL8epwD(E5b~td|F@R|Z<} zfzJIDfEIn=)*be!f?X{SYnp&Pg@`I>d4wFf4jjhdBo8W;P{g509$GemtpjI0NCO0^ zGzF(+aG{4<nnJ`ur5<vY>IRp3NTn&P)axx#LGhp`?n^X5jYH6J9aljs;5(Z^4M))Z z4Df?K!L7{+6F^60g3d<u=xzfyBSD9*AAE`Z8X``x2U%2J+q53=>25xu$G}jc2pcCr zuG<|EHT-J<&u#|KZVwI*&^iC0dwjZCR63hKK#uxn>1_Tofq{X4yNe1(T4(bQD4Qp( zv-!^i(BT5R4fMfx=iHb8s@EPsBNyp7e}QPwsijcQOu*$IX3(`t7?(FmdO$pZbR7YQ zM<<WUYpvD;CFLI7lR-Z8>278KxdDg&z`j{A5qxn0OK0<%i3s0pfU-fp*)kFAn+%Xi zjfW>p#O)goh<z{9Ay@xE&l~sb{N~Z!cEK2Q?9o0B69$HE@$PaKWr6Mp7FWXqogX=G zmhf?&;+EhxSG=eAQSsAjCc~4Ui&PXZfKTIH!C1=U3Obk6k$>s|m(D|-7eEJP8i2Ad zxL@hn-PU2izyQ8s0&?uHh-Y^nScvocMb3i|F#*@s10@>15VfGTGzaLKBmr=T4SYtL zBbWqdz1J$Omr8g*=ea;Hb`*ix0ct&h?kfSc_d)m5G(TW;>}*Sz1P($T2rp$41A}Mp zz6JUW44#e0JSKrob^_np2)YRQ8`uJjlf5BnfNtTHVTci4U?FVb1(F~qyg>TEcVl6O zm%wD?@DiDf99}<Q;RTL+{6R*y@bWOi2rsY@w(tT;kP}`YeK^AF07`frK?$!FlcDJg zd`90O2`>#}jPL>rVGA#i1Ucaa(uX6w3Z@{ZuaYUq=__IiEWAL+TH+5c$a#+;!5)oo zKxbV+uJnP|G#6iXUby%I{kl6YSHu6{8=#Oc)qC&K`2%#R9_VN;P`Tv+Y5{t5cLx|S zFzomby4plg@ldxXs5KFy0;+6$K&n7@pfGrJcN>6mE0O|-P9GH)kOI)9(I5o^pyY|9 zz@pPf#RjARbY}rb!3U@UWk=9xh(V`|iV5gy9}mz?AP%5$G7HcpKLwyW;|#zZEy$G_ zpl+e#PS8Qi-~l0@&hJ?~jzL*Gj=@<xjv=s;%m5U731DsDs?(?Q6Icpz@7{mN6(=Cq zfbZ?Y<!kU&eIQlfF+4232DQn+7yUxdgYQQ7HK@xe12O?zD|mEwqxu?ry#&bD8X#XQ zfIKV#a(MvA*N}k~tiDF_av0cOpq7Yh>;DoJkIv_yIwS#PKmfx3$PEbP*0+#~B*CNG zM<wGm7pPJR0I?%L(aZsFQz%RYCs~%xW|gUkT37?h2GznkQ^B>chA6mA!7&wUE!=nn zlu$v(njucTh14w|65d`q&I0a>cyw<A9l+_)`5bX01lWt+kd`p$8VDX0$ej=@%|BU6 z#aa)P3VA?II)>-H*V-QF_qgz=bO-Q&nk`)L>;W3A0gb+Zy8fW<cXP)yu#b5<n|r2# zeRcujvw~^R3<|nA9<{Lq8(&oL?ELQ0-3D?y^ky8C!=phbiGwblQ#fsKvxEy=kGuq( zWCuzcpkwD5JiE7paxo|gaDa<V@bPXwo!=pzcY)js2f4=tcJCbMxOC8^b712P54=WP z=*GY8G~DFQW1!2cKb1l+ohwm6x^#{WG~V4^q5|$IbbjJI#d)xVi}Td?Yn&gz7tS33 z4Uj8d1PzZ1dVmMeK^i~@$_cy<^z80mq0hk3EqL%1bMqt6$*SNJQ%^y-tta_iF18*3 z^-xRlV2xVPZE~QbDS@?(1G+*E++Jz7m=2Bz5eUy_I;gbVe*@flIj%4r5*Y%!V5Q|h za2SDxo*}JqP=c0t83u0fLGK?`@aX(Xbo78*T`#AB27}?Law{lyJUgFZi5pnLWbOnd zOwdI$ERcB!*rjwQUvnCs1f3Xuu=5oEw!^T~vq4uZF}8jx6=*$KA`FUn7U+b4<8es5 zh^TbOsIYWi<UGiEqlAm|;P*?MmlY3#?g8w)@%@70i4}|`BB-&#@$x+b1H&#O!=O;( zsVhKdbN8+>Wncguxovo$^%M9`AMhZ4ss1j1HwFgdsT)9w_HF?w`uF`BiV_~fOPuHV zryc^w75JocaKN<wD`n}t(0LJbEN+RqNB2~4A}~7mj;Z+>V`qtqM0XHN=QRiybUpJY z$nE9)Eucdik*^vB6;#+0LhEh*7VtGPNSpsb$p(AV7~1IVY<&SqHJ~<cXX~5kpd7px zlxRE}k6wT#8c5zUJn7L1nT3KTn%KjzYtkiNbD;*QK<jP()?&~}HlXDBavJy+3{c4h z!DOT_9>d$<fP6Wf3MtI+64)#pDGW3pb*@yP^%C_GST`dBL-$@#+H&cJB(5K(CPx0@ zE}%pPx;5bg_*w*T?ObZm-3m%zF5Ru56xRG9#08u)E+8r4H9QHLPMdlVT)cqKXog(; z*LndgQd-ma9h9CyMt=vJ3Yo_;*a1$!VDr1Tf{X`UWYBs6NeQpv0T<45{8JBrCzL>^ z@G^qaa_e=_{RW3Rk9B_FJmJ!Lo%4K&2<Hz_Y07y@@z?ijj+~zy6)%BqnY;v^5>q^A zc;MSX#!_z3)smp|{T(|GxO5)mJXoUY(cKG9{(=`@As2yQ9=HSqm4Be4w@FL<;9FHl zOZ+otfQw8>;-51EIq^5lfRvaVkct8`@q_xI?BG%pYw9n8r~aRR;S+0+<UfIo^ba}o ze~6Z^j~Eyjc7oEQaW5zjbWa7Buh+g`Gd$4x5uB`{<?Bvx^6UjA|87M2imZgk@FeGT z{;3DSX|nTt^LIvY{D8~X6P>3(<$j42EP)xq3Rh1S$l!qtC{=;#DB_D$&@s74H8BSf zMXJY4q*UcI6FF5G%!H;YNTUe7JiP?D&l!7pS_V&1%h1YGr1SVeo`aua-@MxZG&@_8 zdaStv#PH~D-hp<Xzz-q#Ek4Ni2|Pf$k69fw@CQEYdUFNxeFBCjiM~t#WIJdaumt3V z&SsFSkPqfZazD6uM@%*E06DuP?pU(`sH+7UDg!&D8{`m=ZcYzl4y1~w^<XLJO05I1 zOC3NC2XQ>Q$#yu%T9Cu5Ar1#Q2if7!{0tqx0AD2VZ{G}14TbQ61IXq4E!^Py_-24O zkd_x}TsVU6S`=nr@Br6L;09wW2l$ey3}MI}75ptHKnC~j1__{E0r3)iA#Zmx(ygET zEwezXyPFNb7g%?LZc*jm7QhS|T|0L0oka69M$koCAG#U4Jy{OE5bQjE@V#L3b4F14 z`_u5k_Y0ign;$T8{s13%UIUu7X)XXc4>a9@HPk__YOY9OC{gu5b0T=Sn1sXv3L{XM zp938g*VzmTKeTZ+)bIw?5=cq@1Sp94TNZ+E(^BxHb|_fj4Fv;eWPw8g#DPZ?si6RJ zIVcn?ASn;zD|jfN`X3z8i1Zc!@-lx*9{BFS6(EjBcQ@#OYj9M98laHd@xWC(=st$M z43I<cnLwO-P!2PQBf$ufVF7VK=c9x4u!1;ip)zbB&Kl5drytls*H!%hop;&YjDCL` z=r&ML(aX=oz;N&dfAa&zm!PBHL08CuN0YlxfU`Abeg@sLHo+K{0cL?r@7)a&@aWzQ z((^J8B-Gsux~#6-gQMGl=ip0z&}~i!U-36T0w?hcph?H(hm4#TL6bqR^AVPUu5`jy zh@e^uI>OxpZYfC5%b$=$1+^4@OC89UpgT{IZ>bZ3TL+rNL@%yTZQ2bo7Ge`}vUv%< z<EFcL1|+ByBthfvm_Y?9`amHA&V<DX7lYKl_VR#4%FFAZOa(U!l9&mY1u8~C277dG z2C0WS4OD><UH*fTAt*6tgAO+AYz8G!SYkFj;L+=&BGSqD@)EpOLrveaLBWDZ-#0)> zn!m*qT%H<ufh3`;k3cuLg9a;@JI@__#|$drPV&2a?EK#Row4;eqF)(qc%bzg|I`Bq zUonA7JtkPG*ZHsc8zZC{ZFsWv8l*|WZTPMAzXSi&V~(94TsjYN9_swgdAvk~^B1U- z&v{Vsr{nhvE}X{{&vkx;Om(baEa3(XBY+35UOTm(EYb3ThBu;ZioH+v8kShVLox!O zq8fDBdw25+yk*V;<T3}ufyNMYCX$4b7ZjSHXj%eFB%RHmkcLGQw5Eel0kGb<$MLow zpyC%43BCJPNPvd4jy;$O9nykc85nUGHr@l8;{&ZH16Nmw7)=00B7e&XaE$H%aX=T3 zD>E>F?!o!^{h~6Y4Gy_V3)H6wHT>WD&4GXFagXlF8zdMQx}8`$kA1(UYy`UCs)P$N z17!H^H7N29KqBv>OXn%hU!5N~f0T%G9_j?$7xz=~pyT(8E}Z8S&walJir&^kupad8 z07-bW2yxpYXy^o<<l)O&dUrpNfGbAH6-Y`FL<uUfz_`5jC8!PqP2+>Eo`c;mvIrd3 zU<Z11Z+@VUWG?vnL%0JWtqh3kKrJ8~j)W-#xzM9~^9>vhg((BM(xZE`0Yn)nkwd3L zQSHSuCk%>UP$CnABr;Isdo&*rfQ&*x(ic*Da4yKZh@|oYlsZ87OF4LhB)WG`0GEc7 zLE(VqeyI*gNYw$p6AQ#4BFOMK9b_rU>CpS7K#sxE8brCz9Xwu%aDM^F*(G|%noocj z9=*FI!0z8%fiHIOxCmqn$VICmtpt$qSX_h@p5Xf%5$^c`at5e<9pD2F&*lZ7!Q1X` zP%^+)HG(Rc8KTfY1yu|n4xwa&$NeB{LGFjPuR+ei?|$%E)Cl)CfSg?tf2{cih~d$_ z8`Sdf=$;HppU^v7!6_Gd-xvev79UU}RoA0;H;98LDMLyTux>ma0ND%jKoukcK<>fx zK!8Vg8z`Z6a~yoh4C;yUsDKLhL(oCr1N<(aMhZ)3--=lb44u=~%mQ^8_Z<KwjmBdW zW`T=S=)f)5JrStO4JLrfSIGVLpgX~RdcoH<f^LWw*aup13?6<3-)igA`O&xY1K14E zY!L_e5@@i=;E{7jFbN(E^67l;+xa7l(GfmZA^;gOWbbT_m<{XSvUfJe%mz7jH^i}r z9cD8y7+wM!<I#B!eu*yl0_+$^&=tg>9PZKG=3ok1Ki9@F2Q*32=3&afkk;8I0O9#S zcp`H^ePxKs-hB+9%B6SP2Z#mwpu229lW!p7CLpD6aFK~f9~{1*`}7QtH79@=9=*FQ zAQ=r*a6$XKq?TVGlRz=P0utjO8_;7s6f{8ty4u^LyX^qzdMJ+W43_4njNdPIzJQKF zBQ2GG8NkTE0AAJNqGAAQr-6Lh-3>~@-JHgtl?nzP-Q65u(PpqHY@Lt^csU}v9#0Nq z@B(KGbY0MeLN;Js7N9vZ1<-IZWTp^2<p)j~V5flBmN|gxRtE5zR|FrtfC#jpilsyo zvXT_Bo(i<C2(%&_tWog$CGJ2L@Jc!skM3r$m;-1nsYWMwZ8cN`v}O~u$cm>#n-er+ z<D!CCWCdD%1X=|K)*HwHGLQ#sAP>m(4j!G4z~ev&x3|761+VvT08O_@yjDXRxsd>k z+&DnggZkG<`5UyNwX^xa9B{Ih>1;kS2b`vVfHUCX72tH}q9OsR+>s`-JfdTv`4Al6 zpnC*~i*IvSe1iiO60wNr1}&_^8rv+eRjSB3V37@4s}2!|CJ|_4W3CDVhb04Oi9KYM z7?=-^R8Z`RlxTw1*di}n1g($-t<eN4M2b{UYZWX8j#SvvF^C8#QbCa?1CCS)P|*Qi zIR{>u4O&s>(cKMJi5#giphz_UB}r)e7&Pq-PLi-_H2_7c21>MQfTGm^SF~z$HW$nV zN2^X}bIDwAv>Hf(nyH5)=7NeB7ZnY(XtjVxE4XX{5uoxFl%-+qS8y8)QOHaHl`Z@& z=fLgP7a-2SwO_&aarW-ckb<`h;q6zXjsQ~2bOAyoC?Md?I3y)E2rChghTDg3%FB(A zmc<M7R;&-inI65nL2mHq-dupg9&i%y=-$kLLmsw}+^2Up$f+K^yFtzYHT7_4fEj3k zLmp<JC+?MvplAlw0^E>REGP*eTCqOeZ4M?3436Cloox>mfEW%eoo!DRfRf+72V9`! zckILhP)%ug610?r0hGv)ut#^>4-;_xIAI|ukwSRA`y{wQCTy!%2+|5}?L%~Xbhj}; z>QjeBVD(^L@4f(tdW}UO^+;BEbhmLp)PI1e2lIOObwJeLz@lCNqJF_*u=!wK@4f>N z^&N{r=7TSHg}X-rqCQ{=SUs56yYB}?y~Pr+dNz0+4xJA%04*>)?xF&o+lQ@b=&e!V zKws4WswQGocpwWJIGP``cY=5ySU}sq6pxmOdUUgb+6JJ)LITQuUEtf@2fFMVI$aFC z!5CEHD1euIfTt0lz5fH?1k!rDM5py_sRsI70Yp8x&Ou%xA_AHVQUEOp(Eu$8kpS5a zYBLEqcD6Ar1(!i0j-72ROF=Cj7Zr&#$IdnmC`Sgw;aLirvELT}+C9;D>;*XFT;NM> zeLCSw4h^Cm<6u<}IMsmKm!O6(Yy^x8G6KehGy(>i0O17fhU)Cwu@uzE+_MyvmiBdk z_AxXbTL7}V^8qODg8h!#!UUCl3ZPX}pxu7pknL`>&}U%S`JbJEp<6)ll(GkCJrek4 zOi+k|t6Blj9ij}oK&y_x?Lo(#+zbp1;KpSZ<NwW|F%7U)plsvO-CY1$6~VX*w1`SM zkOee?0!{`y|I0HlaEGYK>;f@Lg;YTbm|avPR6+dCgNBztOL{^3vO2pnmN77NPR>~d z^4M+<15h?Q9Iy<O0Kv;P!3&^}>Td=3s$Ed{f&Amq-3MC9<<bpWgz9+k1$XE1gYUUP z<3k7dU4C>kb_cQ?e8JOs@!(6I=7)@+dHPc>j0ZsD<Uhg52h@%i0qtpG>6|99oPnXU zPi8sDG5Z?8jycA#9Nd)02xXA{Ad5l$1CYs`@csc0aujy<od6j+?F_`w9S}n|U>XWC z59A+^dE6-d4Q^EPDpr7!Ld^=WBR@dQOIU&7NW^#?C|*EqX9kb%Z6F&!D=-8gaSV!G z*wUNE6cqtb+GtEs5dqO2ozHQn2H(yPpak*50DAo+I6)wafz6<l0ZLUI5PLug3Y>6u zf|3GgLlt;a6o;~p3Qwnx3eOHu!r=B%VF4$deIQ;bFSCeB<0BBW^P=H5_~=#l?gIu4 z4BX``ki^Bq%-{GBq{#46=ZQ`hl}^y6!)A?@pj4%^5)?+eH4MS2N?;{4jL_4RM6_ev z;R&$t_vr2et@(qeCvi}E5+^S`-2geG?+(}*`x3y;ICcQ$4ERVJxHtgY53(2(|9I0= z$0|_#_pAc>ZQl%tp#`g;enS`v^AE^8ag_8Vj+&k<R)fs5Sq(Pt0>nIp)fnaxN>8Ab zf#A}DlJs=LkYIWO+e6j#w7`&HdU^p0qsec;VYHjW2%MfSz`_WBdUEM@08Mc?9(=*v zi9C4V(cK1KL}}6O!E*3DPv?n)FL*!;_D=G<9CTqk-u#@A^8$EYk>BNfCl9#q*4Z~> z4Ff}G+nhDvxN-oy<5<HQjJN`&C{X-^E#QEZd^pP|kF}uq_gM=zvjSqK!CDM6LFR!< zk8Tg-0TCV)KY=q}-w&{Xe;@{KfEf4y!$3mm3A9KO9I`0siJyT1Tt4xGT3XNd@qreB zfwpZ!Yb#K?0M}N~@@a<=!Sn>T2Y>lw&%gjFpX?bJ_WieKV1SiR_6!VY<x_(ZG(CY- zLCPn4P~!=yd|I&%R6ea)2MY7uFTg=`c)~hpnC}F62&v9PluzJAwS?j`Vm&B6W7dPr z-lqVXe`-ADupVkQYWV;&j~AtU;6*JTI5vRH<JkZ<F9KrT2Tb#z<2m~lgL)3Iepc`9 z4<ewt96Y!MIu!xh9)gVNpbqAM!{4J9JTi}>6g1q5A^;lbH@pOHOT$}Oy}LPNL4k}U z;D9dh!IyynI_v{!^MdjT41@cNo}Je~O{U&`JHXDi1+|+%RznwF_U;Cq`hXB{Jk|!9 zNntcRfIJcoF%e`QbbJqFYIhsTo#Wsu{5n7T^zH+h=mE13%eCYGeV})cgR9d|`~u)+ zavHzJ32=bny>^^M#k2DfwABn=8U~&p122wr+yR;yf##KuSq_dtSw)y93>YAM2$@tu zj0b|+m!Q6Nx1Wtqx0^xdse`}d55AISJk@#a;6K)b&zK#%SqvPzOAJ^VA3b1TV9>ni zaruSE<#){w7@MCldK`SF;K6y!qq9VX!=rbz0r;}X=^Fmx3}A19z1DJ|#1J$H(fok1 zvpIqZ+!_ZJk)6#kVCB^s9*u_`m_V@(TIYBVtO?W*0k;u@Jvu+{g9P(^h)nNp&?VgP zL<bY(0L@1CZf0Nx83ayLFc|@628L)@O6l(F0V(WmYXD87BYb=P;D6SG&zWJK>=v=; zcClc&{Oa<H=0}Vmr%y9r0TtRNEZ_{}05YWU80gwmP?Hj7`h*GHeW2^qJ-XXIkZS$| zu=!6Q=5K(Qe}V<%8>H^zgzml^P^fjcfo_IJiY>zKpTG)o{}k|Txcd}9hBO|lV8t~5 z4oIrI?E(`614-sPuz}2X0pB6KZvx1W#$y_6=;lNAgM@;zRO6crMo@Y7&7<@8zNHKd z46rJ~vl;9@2L2Xl&|S=(b5uYp@*R)0Re*-nUAz5CJiE&>Tslu2{2_nvg|rLfiO%DY z=mWKpL2FPLx<%}oA3Si>Jm}JS^75<B5ETzl8ZGeXtWk+@>2|SWalHJZ^8zSdT~s_= zIzb(YP8Ss)$L_v03=9mO-TOe39WV<RPdIiSay<Bp)$!mXW{?%&{S{8#CgRNx9%vrC z{I0V^MFC`Vf>XDPI7_FGO2*|EogYD^F=!twboZQ!YxlY*V8hqlU|?Wy>3n(cr~JW} z(k_fI9XroB9{j`Vc<>3>cu?Dj!Ku4Om7)3J14qrXE}btgzwfM3k?8!{{E*S3bB#&| z0|SFocaAE^E(wtI+s>B|>ttNJ=Yfuoap}AXGybMy=XtO}rwy+=9{kVhc<?#1XZJh- zP!fanDxJDTtX(>9f`dxH@OtwjMvu-pDnG#1#8`uSm@b@0K`LHz-fe!&*cqcDV)&i& zX!CQ%&Kebt=BJFEIVwCQY@8r>g0}@jqQOOl1Jp-*QOa@LMa2U&_UqHRMFmulz{Bwy zNW!DLMkS&IqzHV}j7P6Ki$`aR3MdPE_Bw&tA3$N(o1?<w*&EN|((R(6;L=^9qT<?m zphVoY^;@ZsWAg(>7tr{&WAih{mj|KV0|zE(e4wD)gdLO`K(Qs^(cJ?!yqks5rL#xn z3^+ngB4SOV6B=vCyJ1395_~$RsDPH{ICk3zfp(*S<3#`zR-icb=$@kja%Q)T@a5Ow z$O5f<>AVSv8WE6}!98oA?jCRydUU>W?$+UfL|~2zheziW6;QM|b&GIaeg%tCj?Rk^ z19(6NfOi1-bWZ_Cj(azcxJ&0v$ICBWK-<_2zk>V)a*0#7iJ*(&S<o3fU>VLsptyU- z`KcMa=3U3|FXt^tq!~cg0h^StabE4rQ33A>1&3MdrBcCe7Zro%2aMo|0ePDN<N|Of z^qY%{1fxqgB<R4g2XYfg4JZ&mPVnen1NID9GssuqqmMxQ^*hhGa2^9y8J&k*I6r{~ z5K5#uK_|*^IDWt2%6ZID@!I!`oF^O=kGXV~sPJ^VsPKHh(CVVX!QbKnjnx29mG03U zqEgV!A`Xhx7o9FD0U+Oll1#UVAS7!CfTW-+okKuwuYl=GaO`G*#2`p#g-7=mNX&_d zL3CDJhH9$;X@hPfn*xqC$8Hu8P?Ui*I&_DKGC*`Vz;qz*D}zLnBiNBQFN1Vgbc+Z> zG+4ki*m(4U91l8or?*DM0JJE_0yMPl;M41)Qn3%Tg$z6lX9yV_Ypzi-VesjOWTR4E za2);M=Wmk-g$;NOIw+rk#=tZ{aRDkjJ$v_oYn0v+6^)mmCIrY+;8F}`j%W8YP>tBl z1zpgQ*7~u8ALK3tm>I7nTMxk4CBh&n_{mVm89+9Ijxqt|$j%QC|M`5s*m?Z>b;a|X z(4x=-WGgsrIea_LSi%l6L*g}PpAXnL8Q2+EaN{IEhhKqqo3tJ%1+DA?rE$;#w&S42 zDXi$~E@J7n;{0xSsqz2+{|pSJKCRzCQXm(V*c^9J5dpElV??hFI}d%o)p~&6^#aHx z;GE>yy$$4VP;*5C+)o3gABLBQ|NZ|DIy?)c4xD8>uYJF!T%sZXUgp9MnkeCTEeP7D z0Aj*-C>>`4S=ODS!qE*nvgjS>xy}+5jn3<w$2)6ObV|fH|AF|R#I5+_`&G_YiWfRv zRCGY+y?_(AMoFG0q-E000m<?P-3}~}&@@4cLj%K0U}t)CJ8O8f9w-s;=yq@bG1!kg zNPy}{Xk7^!CExqw|9{WUHb{kk1XSaKwzkEBCWK=T`}VE_EgSUd%uz}3?cEo_!oaX! zVTm}yt`*?w_#ecU&K7XGN0IUAtWhZdOM7-60fiDcHNjmEa`r>ejxx{zJfK549Kp4= zTLw~-0@}a?X$BW5-8Ke|&^9KdSnYN(V7dGPQpE^BLO}#xL$`rzXhZ`J$uw|;p_|5m zY#OLi0_{Kd=*>})0OdF6+Hz3XL8t6JI^RRQ*Sqfp=!*Ex8kG#tvMvQ^mjx!N0BW#- znibHUEwG(B@SRxTYidE+S;G~yRrbA4=QE$qk6`T}uY)Gq7(BbDfg19lRA^xM4K!N> zN=INdKAq3OG)m}$MLar>f(!r`h0tUST3HYPE-S!O0x-SJDJlW{%_%A&{P?2*T+E>d zoC9*eIY6Spg_vjnZ>>c)%>vmp3y5hpp!E_JplE;;duS^sz@CMMx=(kGN`_A-C=g-7 zppb%8K%J2E3JrOm-WHVvMg|5@sCTD;s_y3=o$oxlA@Ko^fff}|&jC~|z$0M~SO_g1 zVCex74<6km@GNlL<9Le-s9ypx2;95q_F(LGU~PtEgC4MKXN$@e2GFDws0oWbGqk82 z0hz(Tz<?Tbd%)s|(1Y+n0obAfTAfZfMp{(%;4m2^j%+fB4>9=wsHE*(qY?qCTY7uI zCV&DK<VNIZf`yJp?-sB}VbKN6M9{neioFP*&i80}1Y{s+AqFU>I-mP={)0{&d2}8^ z<dhZ_a3uq31i~`Q9<UHvJi#Uq@x;>>XfQxCjYsbu6_5~Qj2l$jf|FMB60j(L^AZ(M z{~B-BX;A@n8$iaQM;b^RIcPzANYHKoCj@AJ17~U0q5|stVlx>ej%+fB4>1{3czg7& z0k^k3dV9bofWjDLH?~NFMOJqTC~rLn#UUs>z@Y$(IjoTe>IH)$tvd&lz24)DISo)A zMUJ^ODj*?5%)ycmEb>4t0|U^;ZcxeKf!qQD`2&;?d^-PobiVZI?E&Xiuu~no`yl<R zHc;~uTSe2N0$ToxEiggi$bkvsLjn_2%{X@Vf%;UQ-EE+DEOwKpfQ-eGYeC}3CWH78 zlfj!+de^8}K=T$z2IM`E-T0yjoJGJshDHv!^uv;;44|c7=X+3F9MosTUI2o-T!`rL z>D{9O5<)}|JX)aH8QK&9kD$FQ`i{KE4m@773{+|$1`k2W1Y^9W0_^L}FBm~11UvZ| zU?aS}yBnC0#%oZ<3qW4*?EL1^-3J<m@#${UU;%ZcPr`b-CmlOaJ0ASa>Ui)mGq}fl z(z)A4!nr#{f(6tOcz^koWAjhBI#b79XAZ}16Na6jMvIH)$;;0;|2g(L^Eh_PFkF7j zdAaijXyf|Li=aL=XsgbN&abb<JFhiAWA3~N5&XvQa<21V=jG17V71MU!TTL<aGov| zz4*@XYx7e^&ez}*TEUge>wK`i3J`l)5cWRcJPxs!h2io8&O@LP3Ahyp_+3tP{=4`N zEC?IRHT(zZz;SSbPMrjGxWWA<==d7vh2{^S<KZ|wd!t!kXAwGfPy53R8r_D3663|r z^9TR4y7a321*O>L8Wj!(-|lrEm>C%OTR>Y-Ky3<ed(5Ttfn#?GE5pI(teU?%FJ1<9 zoCQ3ZPp~+4=ddzde%qO&BGUXzrcTST*F}ZHvD<|e;xWyOmmhF`0PS9KY(B!{-0h+Y z@*Jq|Bhs9rA^>WLi134K0XM*%yG@uukpnVa!>L<@84^jIAu2j`8dw4hWUz)~^I;z6 zZWf8l4>(~hXbn)5xu|F~r>N*K^S3aA2B1(nLl!SZL1LXTDg{2BB`OYJ@8z(9##l5@ zbzZ#u7Sv;^0QnCz@&M{Jxps%JGB{p-)%;7wrSo#<yE+x9PfHL!ea86?B*^2~9mC3S z`LPS<WuMOD&5!xPJKjHldlZJ>R`5IS0|hqd5T<Xfw;lPX9&_pZ*!+>vwey4H_Y02O z8C_Zrmgc&)eskoXdK?s{Fq=@q^eEIO7gmPL4;(oUxo}=>e#+ncm!E&i0mpBL_`wJ6 zI&vKEJnh2qxkS$JTk~Ij2mUF?__rPA-*(cK<GU;8hws-o&nX^rQ9S0;U83UP+4;i< z)QNWF-*)`_wbl>(EuNrk3F<$A+zT2usc`Bx(SXN(cMEtd&Z(P4<MNB<UoxF8Dk7i+ z-~&nkHN5Zy@SO8|XN`&gBmsn|GF*Pd2|Alq1hgj|ba-m#q2@>YogpgVX8oz=M~sHw znji9a`lx_bym9cmf;Qpvcy_mK0G*iZ5zt)2$icwhlEeZYn}al!LsU2nzkvf7To!`% zIGy4I%Y!t5n(7>{lTkW+;07tEjcoyv6+q}kv#7+@vwI)R5({)oQc*3jfEL1FN4(VH z1Pu?ns93yI;ACKM?$!aP?YGX|HcXe_g9?Gpf1R)Epap>pB)C8Y&|}Wmkb=O30aO@) zdYPr%&5s!!L7jDmQgg@VpYk<OZ7Ai(QHVBh`Ei`{ee-ih{#I9J28QPEjE<fELAeav z8-m894k#u;8JEKY9+M^-m*0V6vP6Xk6qA@)8I*H5ATbHa%AhRB)10Eh0m{le{O}y? z+%3Wc$-OWiqB!*!Qm%y*b<N<xC=FQQ2Fml$X%28KfjdpeH6b{Rn`=~T7{J3G7R^7H z`P=kCW5g&qUBI!s4^-rNc25HpYlvao&SQ`{4N$OvihhP}8zaaNE@;?Np*zQj1zfDD zH2;*TQ|)!*=$2vH0aB=WqVwS8$DF@AV^kEHkMVSi7+rqE2^v;bX--j500n~zzaykp z^6cIRs+Eu|J$mpj>%phYV2ip<^t(g!SuVf3{0dYP$@aQ(bjz?K+i|b?C{MSI{^jSK zzaS+)IE)gI!YBnPj50uBlz=Uaav))p0S+TG(5O607=ac`c^Lbs2tbYs0F5H~7`v#5 zd<PARyR`n`Z}|^tpArm6@PH0VKuW*^QU*^zN}vR!Omm8g1SlY7Xd93LNC6pw6p#_1 zfDCvEn$Q6?J~>_{u!8z&ZJ>sRZ+9O!N)JM7(1WPW49yeH-7=ES-64`Jod++!zWl2B zhg|2i&bxK;j=kO-j@>$(;C6=Q!OKrM?>hDt^Eh^!7+!wHdClS5F{V=P=BG@K;5D?R zHqAfeYoMA;;F_Lsen!&t30!V--U6T4z~2fQnrQyS=-7G9x7V2kG$88G-35v=pYBQE zw2v4dxe7^!ptKJz5*)itw3;71(7Xt)*f|`#W3*T<zXmVo`zceW-W$pR8sy#uPX3_! z5mKacG#>@IU+eNS&U>9DDk#N(3^&OC&fOv$;4pysAJr?6np+ay+`0G~GM%6S_7SMH z1=^6IgS7I`0yHw9fh~=rlmibzqckXKTmV$+Idpe`!o#C`0ysRNb4H9OXj&FPEe0hX zkM14d#DlPyvhv{KJ4mUSfD&FQNa2+M3NONC0(hPeCA<if2_L{okH4ja5tK6sm#{;n zV1R{a07{64AcbfIC`1FWrN9_SDH{PUWq*UZJt!e+fZnn&L~2<WptmfzLDQk#`(Vu% zgO>}xgYMOEQ89RV3EVwHYYuG#3qo5e;9)Iv6F~=jc*0Bsuikj+`~ClalvWYAtq-bi z1wgGL4n(Wy<<=i)O2Kn_Af+B4r3y$&*Zo9O3Le%3DK!8ol|WMZ60~f)7rgudJjx9n zcI)H<jTt{+^z7~fHN83=Squ+&G#&wU`H%*ziF7&hA2jFSb~y*?5iuzJ%^Tnj!)MRV zKl_%0;uhAWZQeZtG*ZmpQo#wDwO#@qfIHUw0VLS%UE<LloPntS9XpT1W?eypgcCpw zYX$}ew{96LaFf9C@@tpQIVvD=(EJ~0@W!p%#fqh~M+H1Yboqq~WCpPZti}a0Gufj8 z8ZPMW294=>bZ-WYQXq{>AeHqAAp2apMfAXBz2oIqE}bDN2_BtWRBkXbFt~L4=z(kk z4PAm~5usC!2`-%{Au|vu-QA#370|W{(D?+2`UJY-092oVhoHMnEWlQPtCN7vPvAM^ z7L^mA4n^l46_5*Ex^pZ*bIAdnkdZ{k%Wqv^vz#H_-JmfRM7VVx2M3Rf;k|?ZK}$0{ zx|>0xFChECvDqzR0iLe*FuVq~YL5zdK&7)q<pAi&ry8(L9<H26JA1$bc$eQha{h%( zCiu8?Zw>+ZzVicY3Bd<P&?0~bj+)0D5B^|vJotdwqkA%F!Wv{BxZ~i|&BEl;`4KXS zXLzjn0V627K+|eY-62e%4LlZ?UpaDKh0LegxOC43tu%4zyaBV~hGXXu@S=pn@X7w} z$>7PS<1Q-Tp?9Zl7A4R;EvUuq0Jj2EqBwPjD1oeSK(fNc#qgRd=UGT~5aHPTl+mR# zM<u3&-Gvj>nT|kCZQU*^5uoXD&KITJ$6LS&2{b0>(HR4o@dc-nZ%_%)^fV~CK(l?I zFa^)xf`-x=d^-22JOGta(E0fl;K*I0asfp5*0Ol^7P5HsX0!PA2C(>o=IUL#Yg7`t zT~tzBTmOU7oCi2Z?NI@(_bCx=Jy0s>*!-N)rL#r_G#BtP8zg<)MFpIoJi4c-fN}$9 zRu^O`XzqOh$fC|QDl<S)tRvVB$s^!Kdw@^p8WjsB1_qz*H7X#7IfCY9FTd)Xq5=wJ zSUL-U&8CCrgTW~YWDzJcfGh&}#HAZ-G9*vD1qG@{w}?2{q!w_h0gVfF`lxuoi~=7u z3Qi;-qd+O%vD*gZIM6^kcrMGLTSV;gE7+0%3z$Y5Q0f9ly=Uhw&>S=<>AG}_7<WU` zEHt}17=lOez@9EKWpFXP4!Sr8l#v}SzvG7Z4>q+Ao_&r0WqQz{A1HY`cAIE`5-ucB zboZ!$0<l|16D0Q5@H;g9aK3`92Z#YVsQ{)Ql=d9EO>{u15~RNX6h@#x_Ut^>t)mIk zT>z4Q3p1j`#qd4%6ctcdLGoJ$Bv0g&uyI}o&!fSjxD~X<z(*yc`5|PD0caKgyv6_= zFW+2L0vOQ~qi^R`aC!vgO@{6g6;Pwar}L8w=daEX6^qXE;Hg#{&|(CTH$h<l3bqmn zh`i(X>n@x>6n}ib#`#h4M5l|2O}C4R4S4d^g1-fHMhcSmVacxroaVb(1YpSxls^kV z(FICo-LNGC1+e-25|9}gkRY4_E@nZ!ZBWXBm;p*y;9?{LWCkcJz|F{jnUMp^y`b3_ zm@$x4#R5-NFF*wys8Z_Qq5{fQP$ODYK$;+?OaV_BfeZrGqA-I%NvxYi7@EQ^gUkU* zgE9+bTpGlK834L83S<CiI1FY0Bu%jhfYJ|0H%QL0TSN$=8^nX@ZUMRPxQhyO)x{JQ zNOA%#pMWfer~v0tkVY33q!kyvE-D3}(KrE6S1bd>;_&E&_zW~D0BTl%n`9NB;c}3L zKE03yHTystn|6T+#99eN6V0B#jTcmYfLsBZLtp@z?BLNIqhjFG4XMOEdUu0o%6m&x z99~WaDF(@UbWa8?=L6ZB0Pd>o1m#)qXhgS<N<w#tN(!j2&e+)ku9d%E1RaT?c#acX znL?^<P?7+bYM`MV$jXWUn5CeV6)-krWkm=mgMbQX_)>^~){`aT-7YF2h@}vqx!9MW z79NuGJkXp6vKCx0b-SqefX+=(_EGTw9gk`W3R;WT&L|BkkgpiP;o;G}8RlaLq_*4D zfB*lt9)P$Jw6+2?b-@62V~I*Yw~tClcaDk$C{K010x#`x=seDOzOzQfr9=#z10BFQ z@8|ccoG-xn&!yW%#RZ)I97^&$x|>0^f}4e%J}MEA7GbB0N(@rGL_ilmcy_y}U|;<J zX^DXrKO}tn|KFpt8Pr<yXgmUH&LOoWJ$h#sfa)920veCr6&JvZ9|E9@A7Ildps`?3 ze%-HtB;yG?jT15h53W2xT|NVk?go$t__uNJZ*u@G&P(WYQAq(yb$gV6X^(CP9E%{p zWghDMVU3ChV(zF$#RHO4e82{EcR<>H;GOFYp!yoA0f@930uudbYb&r$!$XaQH1{CJ z;+jc=HdxSIi*>DlPj8M&0H_D$02*Vl04-|rK%9O6IvfGA(gJL~Pw$Qd(29!Q5|sqQ zOFP-Y>rnoK+8rPtg0mc0ok#DE86b6?kY*qB-~%6M#6Xt-z$W{9T~s1m4NrP@-UY4H z1GTC>I`4z$!hBQ=AZ8wSQGr%^V2@7#t@Z`w_X5N$7(^j-3Jj4Rd^$ga)--}zDzH`S zo}I@)84p?ofDMGE3lB#AZ4RuU6{4LkDmh@E5K0)3#W$eB7d5o^fEVQ;k_UtjN+B&O z;9?t;u8B(|kU@QHR)7juWGg^?h!x<n9byG>2?ksVpn9Q3r2=7ijY<W`WEYhh(A+hs z76YXj%pxAN;2nH!hT#F&v@xiQnSn?x;D~~yh;B#%@d3>jL(Y7JCJs>D0b1k?n!pAv zDEWq1bpUEpz|uvF3TRb3C~Uy7<<SjI8hgOvXsH9Xf*FxIU>iWdNuot%0;o_2)rz2y zgO?T_O#Is%*gAW_(x6HNT=BT57!XbyplMW)<4^;3j|xZ}5w;LMD0o{`YT$+wRe-dp z6hPgKZUsmj*$NOJVg+b~2pn479mJ%K78TI4G;F>Ai6dJ9;zO*+0r{eLjmim73EtZS zHUSi)AV+{&10eq+7cz*XvIQK<(9{Cz;(!Vk*dd0nRMYw2xAQNo4nRsU2biD>iuQmz z=RTePeLy#}h=LrCnrbvag8_(`hNl{kIN?;&y#?I$0jFeW(&>Ok12|w2Nr#z#n*)1i zj|xb-vqc5e=LD-DoOHlFIxH&|K;p=O4&p-sJp~l#pp;8&u>x8)1PU7TqyrL1wgSY5 zSP_G;g1Dpu?lNHU1xOs(3J@P+1*qo_Dq?m(lMYA*lypFj03{uee{m%pP$<Ka4s<jK zo@B5sYuW%!FSyeQsJRIWB5<T3l`bH0g6Ra@)dg3PkfP1A^ElKTSbYO(i12jwsDPG* zcDAU<fcy-OK?2Q@7VzpJki*d94%G2N4p<N$60kmqxFe=%(xL)d7=q0TkT|jxAU?zj z@cM7C`-v=Bz%5iP$pa*gYz2r9vBC!Ai{3RVD?mZj+XG&n14<qsM}U$C$iKLf2Pl-W zCl63T0~$+#G(5oh8mU-W08J*{TcE|tca*hMs3nU4j*<l=j*(*igRMf;N3a%>XXjD0 zl)}ls&4IVGM}-5l@ux+F2jqQlNE1vcpmj#rq7fvH9L69%B#cc!VGK5$$T|qLTnw8P zAaP_XKzxW5ItVL>D@Z^~p0HT~5=XWI#D`d+0<xlajS9GX(c1%FGzCg2AV+{w3dp~> zQVJ-Pp((|udk=VY6t>C=TA*N0DWHv2;1<hwANXQc)a1c{BYA+tF_H(Q<&Bg)pcN0S zCF{|77%iD_@o#hB>+DehtsC!bQGx7sBdVza+8lx{fI;HO0Sw|p0vI$P47Q%w`UW&W zjLiy=II<NWKEw*p?f|G2#3d8(f-Wot3P>E;3J@P+1rIctbbxXcbipDhnSdMtN+uxx z;z}l<P=+OwPDoycCJ#`m0Tn3N8@8Y|bf9F?y#`vKy!Yt52kj1_CKphD6%=IPKtQU0 zK;mf01=dJHN-m(rPYkpV1!|{2I`I74Jh=I{Iq-M(sDRe@b+)Ly0gcy!W=5Q`c773y zd(mqf&^Qfpu!8uIU}XUr37!9F2DRa^b$?sH+oVALLpK<-w+-1~5FcXjA5iS#nDl`6 zt6Nk+8@;d@3=&5+7{rGd`~}n;>|LV*+QtZ4mkW{sB?OSwpo9SO8?J-^3P)%{@PL*V z;F2Ad8nAY6K*JlLQ~>SXfLp1ao!31&Z-VuL2KGQ3azVZT9oz`&PlMKagZARWHzB|p z&akcxXxs=qIHv#_k^}XPK%;09AP%T$3*rcXIH2|mhyxzE1656+kvpH>E#SF_eV`Qx zyFdh}`~MxhlD9<#l;ptSzyw_k3YijuPW)hxUa&_%5r#6ru?Mob559i{!iU7d8<6U5 za3iq08C02LHyE@>5fm`!=>{Z@9K9eu#9&bQ(cKMcfH#Au91xRbNa+SNq=L<0kT|lz zAU?$4JJ58K0WCm4GN5z=vKka#pfJFeZqU*TtW1X{8Bj6;6(Cr8IiS78kaPnYKL-te zd33(>>HLM9a01}f%w*752`FYkLWm**o?xIOCeR@~(4ygQFRk7{7Y&2g3xmc^V1<iE z?{3flGsb#hPzLbm-3&^vhL?8QBdr(yfpfhuD0ac?h3Bnd0nH2TTL4}!j65TE05;tR znVEC%7GZbp4q;~j@2Y$STFv%bw$9$M*8#L(m>s;3$3^qz<!3IO*BpBtKr4pXVJn8& zJ8vF*$po4;y?+t35D&DL<pjUWkJpNz!C`jL*+pR4>nO4=o!2@q`}QWVfEE(7BNh^K z{w|g8{BZCEli`Pp?+lMMKV#(lT*3?6BKOiCv<Rd5w``pv#BD4Hw}F<1Lfpo}4qIl! ze()6&Y~>T|3?Aoh8w=39&HGLp73Xdfo6GMUn}5r8mZ-4Qsbd<-`J<CX1<6>@B0v_$ zgRhvnWx!Ydg662%J9$(tzV18*UQyv{coK3-7>gsn%SX_77<9ca3)oiB9Eyf>w~Y;G zUF2_B(Ed2kdS4IFf+>D@I6vh)+L@!Gfn+b}S_IIJIgM@~6`juG&Cl68Yg9B`3@;lV z2IZFX&CeM@cdmgXT~s6-`CWc?)~Lup)))nFUIXvI3n^ja{M;F%62M)e67c;RWCl#) zxQmJmC}TQyPb&fK#lLV6yuF<9Lg&GQKUsTq&VnSHYg8ma>!mYTK<lMJx2u4B1|He( zd;wW5{g4&Bo)|P;764jK?ATqy$^f1P3~Bx)QK#PPifyrU0C-`Qi!Q_E2jHt8Lio42 zs04s^wSpKSoh~Zi2}@9!$>7|L2ty9%ZV?+uItA^dg@vIBA`G8FHrqNj9{{bH1|<p5 znrYCsS`J7AaWto>@UTOtzfdMeJYFh-dIRqqyJJilK-2MuIxk*+4VuUW^_M(4KY;uP z8X^aEj$FHaK+C0HHvf`v={(nYzfJ+{(;CEL>F1pHdtG_Jp#;i7=R7)dR3dyjm#BbR z%AiHr84mp0j)5jmz;h0UZyj2`JA%)-0Ii(9)Ox^?f9fHZ&Wqrc(^nk7Uvb>V=+gSI zw8XXb5@=C1D0o#px=U0ddfm{&_;9Zq4|t6_XzBDp7tYiC+fFzB1TAvppK{po+rj_* zQx7QIaOAkxdDw;Ha*3khTZpU!|CFQr+fMUuJK)N3&6V@Y_p6*o70<dTKJ@`@mvQ9Z zcI^Ar)))LOprI*HQUI@d0?qe<LddBbzIIvyBnAp5*xG5(4e2r--8m`|b?Uvo9FE-> z%cmv4Ng_lSwt89ybXaP)kBSWcHqaV52~c{6tetLr2=Yj$kBUquiwb1*G>d0<iwbDv zSvP$7v?OTezI%@fsJ!;*e1yJO=p7$u_4FRFCXdc%FE{aluAo5LFbkfb01b+Rm;VHS z;|NsuFnB_CV!&)FvGVNR2eza)M8yN$ns%@?;6<{aaa#}M_0z9eLCp&f@C1-&H{{3- z#JV7mkuP2O7#Q5UO+aOc<K=gtKm{cpr*0XG%dbJ@SDhWC>@q<Vh|gR&zd3>nYa8~< z&pGdR{s5)>pOBp#;B6LPUn_caK6rVCmw^FX40j^!{c!30)_LEh^D9^v>;N&&^QDro zjUMky_+Td+f@1S^DcBiU%jIJbXMoG)!`N)P_zo-zs|H*Qzkv!T6BW+WoiQpb;M7vW z%6YHTM5UWWrTH_X;mH+@{4S?Ec|d!yL0i|rv*+M6*v+D{0=~&wcmre0fl_SStUY^M zR6w;OC>MkFx%u{PQ2|wxAaPJJ2x`McfH<HM55&pn2HlwlN@^!KKk&DxftFn!cToWs z?x5&^q<b6CN^{VvY7LMWB;7++noD$+sOVrT1wkdd1~|z>N<mN!qXR1ZK!+A<fEb{& zigiGl46_sjtxJF;1QAP6rS=LG38WW@-Jp}~4mCew?+j7l=sX2s@H9VQZhpwz37ID8 z>`?*Fee|vYZ-qcwCWthv1wF_LG-L}3RE8|3P;gEGoomxvqvFE=+6tKgUd8Uw{DYmp zO^1hp0qH&^P`MB4;ej-RdS(&b9G=~w3`nb^ke0H8=O0m4U?;#=V5c<yl)$x=Jpp9} zb_ytjLGzUfAVvyk;?l93-?Li`yQR?S9HjO06>v*ynt#gTva|xl(wc4;m5Od3l^W27 z5Ky33zyiGn9_XO?y3QW(W=znqEr^R0=njaMFM&XJfvnYZ0EhQ;&{42RbzKX12nLk4 zz|(-;5WcaCO27)nQt@ucHcVp|m5>#T;Im9X^9&52tuxJ!7`uH`Boskc+$eOHsK|7d zsHn7lE71p)WD1-g6+s)cR1`11mH`Q<fU<LmibC^4Mp*QMQ$i=`MpG5=Jh%dX3+S{0 zg0T*r*F}l|3wQ+B;E8n$lnAf^MYct!i;4w^VbkfNVuLT%!HY#vl9~YAQV~3{E`VaG z2=X={P^=5UVqF9p>n<t=ojxiip#Av<@W|c+US~;UeD47t>cUVe&<)ui4UYAx9H_ah z1w6}wSyO`c(t5&b%3jDiAoO}{KRZ%&*$bJkd0EE}S{u#o+sy`QG=h%zVLa&Ac?x!T zQ1inFnkU@5c?6uhLj+hl4?13c30f*ITj%W9>ji50a)4XDpsfNfoM#<-i$H7b4M2MZ zI=_Qjx*s7OjMo34(*)nYRtI&oL7k_ULhK9-pbd)fUPdcuhoVd8+0JV&o##70H$P?v zUCMKt-{mvsxl+M{Z<!21T@=oL;7YtSr}>90XwMGF4JL3mJagpyh~$P(*ep1A@Eud< z_2%d7px(uQewXVmhUY+O+(ZSuLy@I~mGfGsiAwV)M#GceSX3DKT~2jksjNUtQb4Ew zfX2c=*-8S`%;`MGd5yotkcEN4x7UjWG;9^o&FR}6i+nB>ykiZmfgHPaj3LWVLED@( z9J_0bS-@>?9Z;4~?+xQ{?3NKm>}q(-3EJ|Y(R>UP(#D{@SD@;Nf18gA=r(N)5JRWa zM@0uTRsx#*2K51)yG@unLB~FA0oMS|-6D+OSnQkvt{LidA(3eV4@l6~i9KMoNWpon za|>8`cMn*m8^Y@Z*Ev(bLZGhX_vYt}hL@V3u|rjZj@;#U`PEsY!UJ*=Xxt0pBpZ;E z-a{AKI(M5eUVhj7L$-4Zc=tEVNjh*RJ>~q{xdvS3IW`{x^$P^Rn>Hck9JKW7hHM@0 zJO;5IWY@tLOrTaLDAt>wfje4IH7+U%{4Stfd&oNxJU}z}37`cZNY`B;R%ZD?3S>wH z_?ZtiYl2S10j*laSMh<@E1{G|4)D^*1r*;n_Ha3%ltwO~lFy;jMa2Qc0G%M^;@Hg& zs`%g=emc)VHjaVwZ?}vQ$QICE7oT1?mdkHJnMb<UkE7d$3zmJKbN=qF<LS1sy8N8; zFKFp0sAmt_dfNOHe9zy@&Kwo+GC@cwt|QiY5WI-h!m-;%;PQJ=%+;va)G32Zm4TZI zNvz-koW%&*PXsMswCMIxv4Pc~7SK341?lpGHdeBOF0=1+QBmM`xz-t?qJmVSf@)Jx zEGd9usQ^*7!eR+>Gy<d;1*ruWqeZ;vaa93IjG&STlo&yEI=tp|QK^Aeo1lfqASIwi z5lA`c)C!RDZ;(=$u>_<5BnVQ_U85oas!hAsfD3)-K~tTt6hX^81v*cI`|lzp8lXy5 zfb$mTKhBqm9~D8ZWD&)SufZo5i9lAJ3V^Z^sCpFvSFZy6Es~&dG(u?$ynz8FZ5e=K z9UMz0c+!>uO4>33B`JeW7Zn2#!=%$i#e_y_YYuoo8lJq?sC)s9b3zgpJW<twlPPk7 zx(jkHI58aucW@5AWdf}OUIQLLJ@_7cLmTJ>?#?|bpn+4Qlmi;I2908a+O?oj$PWw* z4A{~SXgD2`en2|F=_i&OHT^)Ac4Ah;#mF7j-YMXvt*F&-i4CZl26b~mr&fR#4Iy_Y zq5a4y;2tkxgGmpB58Yt`8YDzo73kV6@7v9WdVC&o{q3lE+PPZ>Qh|dy8m}Q^FZ_L% zLHi|poj|oXY+vt9$c{fJP~E}+uEjrqdY-Ua9NhJM|8f&(Uj^b|DoAbsWem{4B%llf z>Ly!&D{4?!GraUc-@*ePegbvO13*fV&#~I^8D%33q*V(u8&sf!G$bII{p-vB|DaX{ zC@5d<`S<@nW~JYGxAR)(eb6ai?BIbu&^YG@&U2;02j4PXd=F_?d<Pp@nh)xQff^Q| zV1aK2zIpip=V8ZQP_TgaErT}$BU&!tV7YPd1rylni?1QuvcB=VTr)fj8po4CG%YT7 z%8=Q#IKg?rxAQB1OCU3>b>X5C(aiyBb!T{V8zA+04j=r@dhjv$U`B8sw_8UJG!h0W zuQj@B<dE7Is=dw}-7IW~=EVa}@Hl7lVV-UlIcSNEzfWtz1WHw)!9&o<9wQ`m!8)=S z!3gRjb0F2=;E_Gh)@*k0m>#H@g|%8hBlI9PD8?bT`hojPuwnY<CEzv(bMq2#tAyF3 zR~M2_YE&@$wV<(oP}h_Jd_Z$3I9bBGwn)9&r5Jm&1AIGQfC3p2)&fXjO}9=h4oj)j zsclYCsQ^Vl4Lc|TK-DHFC_xbbVuK=}0@TH0K#u^BEGPm%r|%#~0A?Q-PXwTJbN$ga zenScX?A=`7&Ua+>bd^ALFmg{9T>Gj(YF|)K7qxTi3z`mx)V`pEkm1pdBQhXOBjg?W zL#KlaI_LzH0z}wBVGV9efbMGmZ-x}W689-82F%SVDkg|n$I;nEjrf<`AOHV%>}L1v z=0ompA|HZ-Wp}zPvAfe5OIe$rGM4H$|BxfNFP-yN^CL$7R(^1A4YU;<w0@rxv?D#k zr&|bVjupN)kp*;SI|K4IbQ2ZCE_4=98%quAE_53e@F<}PY!f;Q|27_#P97CdXAQLI z9(;ufc(B^J+XS>H9aNfn!1krfc7~|<fM&Qrd-HAJ+w4Jov>Fu;=ouBD{pp~x)Ti48 zy2A)MC<tDH4;gfaOf&g_hUy^gYUJ|-G(hd?0Oa<NXD8&S@8%j69Z1JV1Kb$O!|3=( zfbs>w0unq1iqb&kfHzQiK!Jy&^TPpZ@xc$U-~qKuK^KT|fEb{A!+7wG{}AYL;T-it z>v46vs5o@{sJOuTETF9{pq?-I7#L7*#ibKcQh@??3b+dkJ*&Z|7rb*A)YwS?wK_p< z801g}S4GV=Dk+d4P5=k730i*zGLQ?(nUDe>!Z&tNk$?{Nfd+VuT~uVCgMFaI#0<zq z`8OAp3P^tiRAhtuD=pxKHr<eMN|3)8J3~|oI(<}1T2Jz~uro3+aGq#>$OsYu^`Ko; z3SNFfZTP{qJ@(dswo8<#Ah+W_en4r*!M0TO)~Hl~suScrIz^vQltS9pp!x^YoCG!N zKpG6d?K5y-y=?h{q5+>X>``}wL*fW#HYh4UW+OKzC;j~Yzxfk5D2}_Rlz^0WyQq{v zcOn&lhJCml!F!fm4gbI9aW(u8p5#03+WF6=^MecL5B?Tz&;$c9^#$mp3iK0QVvHbX zTByJ?gbvb)E}%h>9HbLnZh<<-pnRYJ?ksO}Q2|}pp#qx00JV1T4MkuZ7=>Am7#PL0 z95FBovK(_@6qHtwiyM(n$Z#ekV}Q=BhGq;<?1DNApiBYkL?dSkc+s;5a!w(5P!yyX zoIBjnhQeJ`EQl(Az_l7m0c6k(^D?4`jOk@W4;kcTEInl8@UBIk^ME$R!Oib(6Z!5C zc^1e?I<j@Lpq94|(n&f`Ip2X=-8S;DlXO4>pq-FYo<U=k;G18kfP2Z%5QG;kd%%mv zKmkcYpH_zxTb~wGXn=DWs51`9ipDM~7AqJ_rMe*}eHy!{*sNd#@5%-#gq9^BrlaC9 z*Vg|f+^(Ge92Ji_Djt8$>}vSmrSr%43ofl6N|5pmGg5W|U4TGD^tFI@%Y*YHqJ;(7 zDg%wgIpD(*LHR}-bP^JJJgxy70Iy4Kbxr}R=ide~xf4QxxS;b4J0V9e(llnl3v(ZV z58}JfIYkAsIu~>a3j-)`XfT3qFzEp=(#4TCKud-pBNZUU;Jnd})`4k(oLx`2ID%}Y z#|U50DG%`Q1)bo4CwxI_QNkCb9u%M;iB5<GATCH4v}p*mO02sDeAWS|q@sGx0j*a> z$~oYbv%T=}1&!Q;vJ6NSj_?I7=Y@nXNHI8kqcQp?pv7(7Eh-?&7!ukm7(s<EL#c3g ziwbBdoN<c^XsI3eq$-p=a@<kz7&wnOe!uS8`j5ZGl(?=2@(w%rbQ)wk9kfNY2E3!L z`KN>rG=S^Cc>?`(usPr@dGI&`9X$Zri3f@#(57nWIocpDNEozR2Q+4c5m`772m%#z zgboaY7IUDrq)3qkS}Y4K=0KSNv^W_QSs+ztk@d1p3ba&i4>%oxx)z=9e7k)aXwki3 z0(CE#N)3tYUVw*z_*-L#dk5p<3vite_AYGj9(O0hMFp*saS~K@Frarbj*WIQR2;B{ zES`=Av}mhQNdPUj1+{LGvkEMjQ92qO)b41w8h!)!HNYiciO_LKF9X_001XpCkE8&1 zp1>Ur7nKswQJA1nZO((Bl;omP0_k@YICdU;30fnAvgaSXRtVIclJMw!hSV#l_=wUg zfOL^S^%<!AfR7_0X;_Qe?{HB8kKcey1|PSHd|K4puV`k2x+O5PL2OVr0=bK_^&6T7 z(9&m^1`r!G`VU&njO3nh^u7wHN`dJCu|ax3RVk95X3Xx2tKm17&JWEW7+YOb3QD*k z2XeX^etXUA+WFn3^M?y)5tJ|`eH5fqCvh&-K|6JldVLg_<%m8CdZiYlg6N}wj=aR| zqhQNdMD$Z29U0_2<=F`>y|DIEVyM_pfq5IzPr>vyqMritHYxoS$|`X1qzbgB0<Hx> z6D*(>Eoep$T8aB08eX7THBbRXLSMy}27Q&|uC3odeU<Nyim-MVDC2<oDnD>#8xG>K z4Wugp%DPCsO=w>Q5|L}beGhnB8a*!Op!QWj?J`iO1k?}%6&fV=Rj3oYpxz2f>|*V& zv|#D4!1D-}{>l_u^jBbE4C-j$31d)MiqiH4sRxBINP@)v3U$I5)E7YsW2}9a7VtGy zILaX`eU=o8`z%O#1=g?s=J@@ZYwLIZ7R!;?XMq)Yp#BI-gkkNsw1DsZK#Q=K$9U=0 zZ(#xTTUbhsi0ijNmf`TXCJy(G3-)zK$Xyrs2wRPc258X{O4r4=^B}BEjWR!S1GFs> zX{D}<iUbkkTP`ZYYJLQJ*kX2Gpdk!z9@MBLfY#^`)p?l#s*RBX8q(yaV3uUyIxh;O zc3vWh@4R%7+Id+*M(4$gjLu6HnVpwIpm--dqUoZ7ZGr{THpo#yOt7FgzA%o=A6%Um z>^Tdw`vT2bpx!TN<^+_h(5G48B^a#x!qW{|%+>sZy(|xPcZbDGP#>D8o;crcw9YR` zC#W?HatnwJ>MbLm+w6&c`Z3O)I8g;Dws{^{v=7Gq)4_L4pcUwlsUAq}i`;{PMkKt5 zgv|DU;uC)l3KW^3z7{AlMUW#CGOhDk2PrZkLa;c(GNM7*ybx0Rk?6iw8gaRNSoO8w zDFM{kLQM&v-WD_^fU*&2!UvQDKnjr?mPlC|(%S;10+3=XsQ@$%^;#b#6@Y|bsQ|<V z4LN~&oQNSOB61*PrUzsUO7|DktAbBYfVx^kqH6|^5>Vd^HA+C8Go&a1%@Kj31f&p0 zlt4OXpeO+;#u6o<VLr4d0SSS^5)|toHYiF!L5YYGQf8l!aw0}g?j6;8a#Wmk!Z{O5 zS<f3Dy`Y{PYV?A-a!An&nt}pFFGwMd=mi~|!%zx}UXWr?^k$)!yP%UP&{vI@Sb|oB zgSHBL^iF}?MTvGx6x*f$|3PYCtHwd5ygYglvhEqoIdT!L#s!JO5)FtAN;IGuJw&2; zS@IubD(aT&5_6C}5VwF2UqM~G&fijf5u^#a<+>MgL4!5eC9ELpUV_*l1`I!NhOo$` zKY-57U|?W?(hmLL^;~d1!vUy#095<|l&=8cH<UqWh7G9VFnuudvVK6+DL~DEiNow= zcwql$<;P3DNdfzzG}ycaFnus}==#X!Lqd&I5;V<%O%E>d@Wi6L%)E3fh3L?{%;L;k z1=V6*Bhy%gkc?D?wA9p;q{QTGg_P8s#7c$45`~h?+*AeC5{1lS1=Tc#ykb2CPcSFH zEVW3XBqLQJH?bl!w=`FwEHS4v6|N?)xFj(zIaNV57u*~NorVf>BWPVHh=%dOd%+nP z7#6faTnjoA8zc{&?qOhHkOFZS7#P4y+8G!a!0C;FfdLY63=EP`dDoyI{~#-cl+u#Q z_~gpuoK!0X)fAAMGxN$4b23vv$^=0KNN*j)6sVqpjKtzph5R%H4b>D)ke0ms5{0DH zlJeBlJOy22GXnzy1BJxA6a@%VPr(!6HwJL;9cnI!a)6o*VuP?Mh+tq~z!v7l2C)jN z#ULAtQ%e*|@<Cw-vL~}x0px_tJaF(P=H%p;r=}<rCFZ4rgBPq2#T5$JjW@(=d}5vg z%xG}T=_xouZ31(P6%vb5tr%3(7?Ay|z~EX`lwX9Bbc~H4Nyo9Iq$o3~v?LYHQz?21 z3O=dni8+uIQml}c4@x+h#R`dV9f?J$p!foLEd|YMU<(ycZ8XMjV;ZqGra?j-%|-@B zQE2iKgVN$q8d6@s(kz3pBv>4hniv=un6<!s24+n#4LTGW9Q}-+z<h@KpAee)7nFvU zSBy#!KBpptex?eg)xb39(owKE%<5o1cnu#&fKdR<XJF6((?TFI5Pqf%<}*BlT-U+C z$OYlEazkiF9th3K3!$0$pfo>(R+NFz46<MveA*1iTt_)DpMgOhLNk7b&<tN7G(RL; z)-o`G`HYG@AYBaBV3L91KrDoztE&s%vjL+)q7I>uoc;ky2ZTfT3=t4|1C&mPgzyET zAoKw!T@Vf7E5tzP3sAZt7Q#1(gU}D4^n`c_-ys1)2P8r0j1&khkqV(tK<SD!2wx)| zLf?SW9T^b5MJ9xP0i|bTLHG%0A)y!X8A2Bb%7VPhpdbXHB`hGc#~v}Tc*PM2t#J%O zdz^&OE3QCjj=K>0$2|z0@eo39cn_f^K0;`YFA$pH2ZZ(y@b!0bRq)I!C@oO{7kmm< z-~t~a5p8S*DvXjq`N1VPz{JAUP$3gh%z?@%Xh9095>)k!AvFO5!+#iHXJGix&cFam zgAik(_CX6jR2vP6wy``THBX_mI5RIDQDPJ;ga*6D`-b|2DA+21D9-?&NEEjP<fMYi zbx_d?S6Y&<keXSNky@nS=kMpLkYA+W66xpY>*=fq3Vcw01=T_@>=NXxP!6dp6|5AT z9fN`*ogIUnJ^d7t6Y~`E^KvQ`l2R2)i&Ik+pk;M(X;D#XUWo$MAWQ=n=LJQnWtsV< z#RxUU3I(Y}Y57IDU=J#kl;<mCf}_dN#RcTV;83TKAV=pAh5UllqQsKS{5&0yFsSOq zu&-DlIX^EgGrhD3;V556XNA;?lGMBuh5Ult{FGD$bM+)c0|P^Fy;h<Ci%kYB{`K?= zcJ%?pJ}4MV@)ZmW4HU{VOEMIo@e38sEzK#(EXc`B20KqdqoSh1z|g=@Q%@lzBNJT1 zCFUt)=H!5D0k9K5^;~XZr9yE=ez`(QYFQ>kC#>2n&de>%fe00)7MJFf6oYHvjKrdp za!_57l$w!PmYH9qhX_Wb)S5_<r-EGF6`=kyfO;S~v9vg~SfMm8J1@UH4_1#Qrj?`? zDHNrG6z3-=mlna>M#ZJ+>8ZsfsVSiRR9c)04K9$$whD#{-~^qVSe%@g0*dpT#G>?6 zg~XJURFDbS(hjnR7#LPDGcYtTBicaVZIuiR3_+ko%)r0^zEy{TfguXYkAc$Q^H&%c z7?PlTaHEKUfdPDy2m=E{5mdYsN|!@v@a6*s28JpqzXnQ!#`Hi;P`4CBPX!SS3=Gqu zG-w0?#GDBt7#J94Lut^sB#5~ZL@+QgtcKF-pfqS43d98UU_kU$5W&E}a2-m6y2Bvm zO%TDrz;GK%gGMeu%!eR?fq~&Mlm@NB1TjJ5W+3`2h+tq~_ztB%Lg~*?8Z>$aV*UgX z3=9mQ`|`j{P{F{!z`z8hK|OwuxDb>N>fD3)Qc%7ul$M9mpz#=xye^cl52Zn;4uQm- zp!@(R4Lax>BpwUp$3tn*!QUY9Bq%=>N~c3<@R=_R3=E}EemRr|Zx3N$V5o)i>!CF0 z3`mf9O;A4QLL?9$b_u{FsQ6SUJsnDeE-V76n+4_1fzqJchCt%$pnT9xJ|O-fDE|nQ z2Hi9S62A)NUx(75JFY<Dpv$yC^dqP^=-f>ZA9UC(h<1W@CP0VCg7}~_he7mIsQ7d! z4LbK2Bt8?$pADr!Cuf7iS3>!#q4YW^4LU?0BoDfM0YqPgieHD)pnF<C;y0oE+fe!e zlzs@MA46&Iy?YD{3}2!A?@;<9l>Q8*K?g;G%>4=F|Ax|_CG#M00cht*0!qt5X?ZBE z0i|`Jv_6z}fYJd_Is!_^Lg{!YodBg%p>#TwE`ZXdP`VsSS3v1nC|wVwQR@>>?-J4A z2iK<H+67c7=I3E8$1{sz1+glqR{-vI7Nr)JW`b(Bl6-}X#IjUKy$m-gDHW#05LEW& zCYB__Dytw@cO6hgonMp!>bd4Cq~;Zu7NshbWPp0P8L0|Ll@6$m%26oK%*j!J7y+tn zF=`)>X^A;G3ZN1`Ik7mkSdRf(FGAW`*xEe`s`wgN(AEj8tp)BVA$t*|8`6DBEKw*f zNKMX6%S?vWE}&`}rV**afK<V-b_}S_O-fZrDNO|hPohF@W--Kr&=w5D{h&Aj)zGN1 zgowT3)RL0SymWYdSCpEZpPQSSmjbHsK*5REn4I#&%3@H{2~=^G<b#_<#fiD8ka|^5 zfzm*P1p+9beEi)#ofSZB-(cj{A-LTN%1i%22NE(cFn}kI7#JwB8WHFU&{T@t#SH)j zD%8h@;PxiSei0DCz`(#*6~w?;A;2ij!_EOJcR_njAw32L2GIUH1}8oNM?MKhK80rH zJ|?DDAf|v59|uUC3)BpJ>OlU>fvP(I%AZbr0!|=96kPZWnpygo`<a+GGV>`o@=1VY zK;s&qt*Hy3da^;CUPrJVCq4xyJ`E>614lj!7rumM_8zug);^Yg<~AngAQnCgM?M3v zyn++hEJr?=S)hYCK*mwSEYRtF)G`ZJ-%-O|usZEBapARs5nFgU@+E-69&`x?wfqP= zlbKp(ZGqNDl!g~L?Ss-H0|Uc$kmDTr1emt+ae%~ObsM_40S@&ZIK*Lf8M-;JItyKV z0uFOlU=at6NrLkd0|Nti)(w(JK^Y2^M<;-?7buT9@)>}~FW*4T0JpPH)H0Vd!W2Wt zIzbn_gMx$@wJxBv#=yWpjM@Sc)XssbCB|<jNKpHQNVT9rBn9Z;8u*4aaLFVADSsUK z3_yhsTMuh5r1bjF0xFR-z_QRX%#kkvWJU^wW`LF@tbm#UZhOJq;mD`q!spP;+5>UI zbtXOyM^Nbqx8DJz_X!1h6`%v+<k%ZSf!-Mu=)FRwUQk^o02+j0U|;}O%aA+*tMk6Y zvIt0>1(E6!h*Z}>q`D1Ib(2Av6V-j)$nLvAq&nCH0wMD>K$R#F;SvB<2fA||t<A6w z*?kpIb)XG?P<60!s}Wh<0;oFB9v-MVSbVI3sROkwPC(V|0rk}&`NNS<!G$jX+O}BB zh}yOQ#o-^Qo-WL=Y=W5$YO|<tf)p??JO!DJ+y()c0RhcyJ+S6S9V1_aBVU3OUxpK3 zffHYa6JG<P6JH0T6W;_zC%zesPJ9a(9r;!;I`M5_^yCXDMJ+KvEfvsY)fA|Gkx=_U z0mH-`#0YAEft(CdvjeII+-G;>6L8^EXl7!zhN}Vj?+#SWHIVaB)5<xR|3K<kxIhXR z81ShBg|QA)T`s8pMG9kR`;F-bQV@gc9FU#_s2*_N6H^cKLnb6mpq;r43=C7Cdce0A zB8NAu%@=^&=3}bH-h>GN*Le@1X7z!>5+w|n+tBMg9&SiDFhTu?Xzw!rLRJf!|FD3n zHH2sZPdxZQ#b$xZABbPUwSfjWoj8Ef3AC<gW<!m6CwLom0@RE}Aa`T)`vgX0&og&& zVe>r$gAW4(!yTwuOrXh3Y-Tl}n)O`_n^}&0pz#?{dk}Q?GRV8hp!yS=c_{J1?1ir_ zUICe!VGsw^O@z(*hs!Kb{dxpy7I@qP*(^l3L%Rp3nNY(Wl;ao}Kz`!@?_y+N0M~QK zW?)To%-@-?1r;>?hCt2w4ssVZvrzjiM|rTB1#N>bfST2hD?Kbgjl(h==>g=&H&C;{ z=^LB7P~&eaj=n(vX#CZJ50nxZ7$iY7VY6%)`6ANz5*)z=qbFYg^EoEIh*-V^5VMd8 zwb1n7YXB9FOm*0UC4d2RJjxD`y$lQt%R%)VVf+2j?dNBP+po{W7vTXev_1F=Joy5c zT5wnlT0CX|oea&um2L}=vnSJSzKSTYcM6y%GxAl$LfA}R*lj3ap1{ag0m^Xbm4*}F z3Pv}+4UA5FI~X1L4lp|LonUn0yTItlSHQpky6o=+)Lq>2psn&4{bYB(52)#679-ye z#x%YLCP%&wCMUiLOrCrn7@7Il`F=1u@-={YOrd-~7@eRT=2%X?AB-L_o&hT|uNh=m z6ijXgh?fB4)iCk>V07neU~+<5<it0F$%$_PlM~+xCMUiPOip||n4I_yFgfv^U~=NS zz~sbtgUONa0h1Ho3nq8I4@^#cKbRc(8kn8<I+#8ACNMkk&0u!oTfpqZw}RQ7Zv(Rv z-wtL^z5~oYd><H@0-#QYS>}jjnIqo@h`*w-g+2oV18BTo0J=z{0+hb6r7N`jc9@-S z1!FlhW}J{>#)<C+qZ8i)Mkl@(j81$X7+rC=%!h9QBl9n2z7>qQNT#?#;}>R%Bh(a6 zkZMLoz7>pdNUA}iOlPtB3Uor#6R4k5K=m4?pB(udkkc%)AtRqh248?9UxX7lS70_} zT%k=FP^ttqWgPi-FoOK<!{@-%gVh-fpfV!|Iyrk6S{H-IXFy{);Bp$=j)K&WOt<(V zN^#f?Yeu<3n^B+~0kRvGBYgM*n0io4c~I>N>eIi0+FuDOx<GyXay|}FUCts55@2A^ zflv$}F$pv=&>WTyRBSUy9l>y9>cSQhu6zzI*u+8R_t4n<H#9ci2NcK*3=H6tYzc?Y zWo+SqFMQU}*nA%F+C$p8KL=|5bK=s^K2pL5RKK5rny&@QUj)OSSpaMO4jqe@fGpc! zcm{GSO1qiq60Gmw3hH1<fGy$xwe@|VdO#=qg8FKZ@m)~a-wYm~U^<A})(7cnAVbd< zs2=eADXQD{!rbP_r;r6>n}9AsgX#e-o(8!M(Y9w=4pZmKr@#~o<1jEVfSSz;;-CP4 z&RIM12{bb?#ef>?AUPYT9C*GOB9{-61NkcgDhHlBMs?#sm=);Z*8|n_3S=d!o)s`X zj(iG5FgD0v2cUZ1Vd|LzQwQ>w7mUNezyO-B{{huEA5<qm`YPc5vI8Wlm^~T!Je>Ff zoWPZUC!YgTI#S#@@;NXaN8&@n$_ErE3=9m}Ah$rmBNY@LAmI!U!N9=a1edD;NrA(- z1uEwUmt*n(NrJ+00aR{2NDehj;Nu<0;RsTG1w=3~Fn|{UgWXXJGL9hxw4xU(*N>h@ z9Qh2~`7SVmI%&|(f<7Z^HR8y};mCJ^u^K}Z6b~NIg`m?w=AyWhxe4BPM0P0XHfjb2 zh6bp<iP-xJ?tBf*D3!A+H?~p%)IMTh$N;rrpyr(c_5HzF6FOcA>BqS9Enq@1?;k5R z^IZ8BFfkiq?c+G|fi7VN$D0jgi5WvAC~m;v%oGd?XiyMCm&;+ufy${8s6Io`U<M@Z zIe}6W%2;L*J2(M)@;Q|9c|`FAfYP8R>hLDWo&!*`0ugTThPmMmRL&bA=L(Vojfwt% z%4tI53(^*G1X*AJ8&73=2I4}ek(@xWZ@>W3Ya$IYkbwbo^)sj}!KRn_4<o8(Xy32^ zs#gG4`x3QpcpO*X5af<MP_tC=_<>mvyE}eB^(uqfhM4IDG_;L8ujY*-EhK>4;R2l~ zbOOyUAjhX8A3Q9X^_Vfj1C(zXpn8`h`~Vu?gVYy$z!P}jkXK;30pozjHg-Vuf!B0E z>;#uz1(5QKX#prfL5IOz`3ji!Bk>*i3YZ*__@H#e0a*sgum}{jkhDAplvY4;DtP1^ zpmNyCwHQ2d6;QcqgngwT`#|G1Q=oFEP{PE850~GNi(+hkdjd5N-7b*d800_>z$}+R zeQX)1oFJ~gE^1mW#M+*4<x5}|WCHj5ogjT%Prd{ue{7|WBVPizT$uv37gU}xK;j|^ z6gHspdjnK10yGAN6z{Nl%N==~*OkwK`6PNp=7kjVj^KsIpm5*-^_v+O7}7w&0kJay zWG6^Y1&17{EOUU$f!CTL=Oa+shYt)hRf8t1p(TkUABQVO9Rf0|hl*z1prToj4b2P; z;IVq#e#|Gvj|HH?YX<6=wTFskaVUZ!o;vOdp`uv}sALvs?d2P&S$v?pgB*{LwgLJ$ zz*A;ynG{zC4O+hiC_%#UD2PI~12sRaASSKMp`uwYsA!gnGRSib4Ak&r0~O6WLq)SB zKodg@3=BI#Ud0`cbBKw@6e^ho>JMyynzbC{E|hjQ(_~l|2f3LInjd%q)dRZA9MoPz z^!=Eb;catAJ_TPS19D(&Xx~Nyx{(T7JJSV^Tml}s8a#3{@W^e!BX<FZ9B6#$4OH$3 zDD05q6l?xxnoUaf2bu4q1~Qm|fmY*VYiMXbsC?v6hqzw>`<wz=`N(t-TlhHgIWSwX zVUuBC0EJNj)DF-=_n@{7r0)P;uLfDqhB61kv;><;j(h=F=R85?Ux1oVt2i(KEjnVL zT^vt<n!g_u6@=61G;HC6tBL}J&j+aav<ja9O_0NB7d{(kY`%aN#Qn4i{{pD_v<m+V zG&bKr8xlUWa{mOV`LqiE4^Z=I<^BNZBnqv}-#}yY1;9H)X_tQsXlOpD4|xG<K6p<Q za`}nc{w^k_-6jKFCEgE8^0>_^BF3x?Dw?&0ie@qBgFMH;Kn*|oP|>UzR5a@e70ogL zHNqLF6J9k`H0uNv%@Tnw>Y_%PNPwCJ8+(Ja_h949keLRiIHaLb$moy@st9C_(i$q7 z^@ECLxfnt65H<Wbfr@6`p`uwD#?<p;2^GycKt;27Km+m&3=EXUBWV3Z1k^0(unPld zxIPCe2JXAV)~qru0559=jkESZ<-tR|;4#<;&=4$mJ;n;C95mU2&D#SNn+u8>xOvmy z=G}qH`$FZLnV8%`27=u811c8{l4D>1+reWB;UJ4CU=cIHBIbcbEC!2M0T!_qG%-*= zWd>C29>__^buae5`c_i=K|i48uOiO;MpDg>FasIPz(6bWcR<bG1+s>4_)H|#{SxLN zgK6jf3L2Y#18P3#>`g4=4CrGS^GOXK3(&+L0|NuC%%1@@pH}JT2aU~-u%vDJ*+FCT zC9G)c{t6nKe}l&6TR;yLqE-1bgU06nfSOOM{1stC+w`*oYCbK>A5i;1!WQCwSo;OE z^iT&X2JTKd@(JYfaWH_yU7+INB<IK{P{GFmZlA<J#X~_x!P_T(;PwfqJye579^{5O zP<bc?Hgf}%13qH~Ze}3ZOpu-nP<bc?Zr414a-bC0oF7n5E{faIz~+G5CSeDXU|_%| z53<JsDi1XVY>y8Xu>>q)B~USF6oSLB11bhvJI0g=*@wFTDh^u<z?23N-+@E?0#qE` zoEKQc!BqhGL`4RM`RHqrK;tE_eU<$jNZm2eYGMWkklSsbdO6Y7X~6bFRU)l4aOE># zDn{airle}1`oUv;sB>A{Vbf0_bxWY?z+-Bt>Q*DGJ42+pFGQ+?ox+CCUeIv53uuy! zfq?;hPK+Zc2}yu<rGob3hd}1nAp2}Uekp*e#b+i+-3%ht9Uwv-XqmtZs5<aILU_Je z0&*;eBcB2T$W9(dNSY@UA0|+Bg!~W#RY%CY7N|OW=7EMc)<D%w0<GDEw0Xg6p1^w? z+nAX1;d|-8OBDk^etH1aL&yyr-~~+3aZem+40K+T0aP7$UpvGNkUegYeu)bo+MY57 zX1)$aN2KkKuss8wd<~4H*jk;C^Ppxx?a4;?6=Dx~ufPPPb(&05_+~I>@GW5U<eR{l z1KpVbVrL_Xr}NEVbc3p4I)SnJh5-`);02=$41~hU1gdTpD7m19)g)Mc1g)QnfvP(Q z@&jb85^SAg0DO(%ckl{ZlpUj<d;#@*5k7nYIeZZr;5}05d=YM7MiF0xJ6}L8UqmRB zi?ZJvx_AEy)ZXpTa><cT0<?vcxsQo?4J1E8$}n*G2R*#w9s1fm&~h_a`Im;Vue<=1 z9&Mm{!RJXq{0B~t(DIL24tXsW10;+KpnCA7bx>J91F9|>W$hVwzk5J4Y`bkQ6JJCs z(ylV5!>D{_1x8c>CU>NQ99$@Y@(7D7C?fHveULgGs5(OFBLu1rpC3VU@HJ3%lAt__ zEia+$C1bwJ0$D!?+Z*NvPwNHD7jUf4EGXuyaD!|*V_wP$*^unXSHLW$ixAIa<f};I zYhZMQZdCT<E2x5qz-$8TxdG)KSl)Gm?)UNJ1E&KQH%R!Uf%YgOr2}xe)X&5m&V^L* zF))DJ9~DqNhe2B5<?$YHc?>GAXF%o8qR8(8%Y({mP`$GUszwnror@u-bCB8>P_>}* z?4fHwV0l6kU9E^a$WjIdV$?bisTOpGT@F+&xI93PZ}8gl4M=4gGb<xBh+*5BU>m_a z`8F^zJ-{b952JJgwdY<y?FOH12M_O^;P3?HWe(8f5d#CmJZM-#_HKZxaqv=H=2qmq z?8xT;(qjYF69OvVApQa8fACt&HYR3i)cOdlrvR$w6AnE)u;^I=)#HLg4>y_~P+q+O z)w2f_m8fO(7FZb#Qpey4Qoz8l6-1$`TMJVMN<SJ<b(zrcK`K+@kkb#y%n+zrq%$O- zX^rU%vY9ndb+<tNg4hjJ$9#|prqGcOyyRj9RPAI;`#X^B2lYp;K-Gfc2sHMS$HxIa zU+N81TnlO+ERJF2H6p$s^#jN+3SOXqAzU_kK-IN^ltcUiTE`7Kho%<h6_9xaP<8mi z8>DUqR2{x^BtU*W096M*dlIy#FMy8&)YQ8H6<-5&4}9JQl%%2c8dCsli$NVywE*!u zsE;E9-Z;R(0Hwff1Oq4sbgDhH9D(_>0p?FodWnFldj*OwSerPZ8Pq0z2#GIn^#eYm zparV-BC^^5u-X&IYC-Y20jkyjM;t1`^8&&jPoR2WW9J~h{eX&r`?9d{Z_wg%&{;yD zFoTLIU=cHciXrRuKobMGH3ljMx?UWV4q@pHTradSF>Aof6i8Tr;&TF24`{77NDosG z9|tHrS3t$VXKsSaFN0<#CSN`aH$DeXJ_DvQa7o4h?n_>Qss*1H3Q7|dkUrxZsJK7K ze;{#Rh&T&)f`EYmSsdhk8K^k;oJ>fXhUQPE7`VkdVX+ReI{>N&-R=yiII<le|2AL| z2iZLXDo%>sXP|mu^EXVD5dS@ailf{82PzKQ4+aivKR)n2J`wOnECvQr?Dl}_LAN6T zi#RBMS3t$Fw2|QZ@j&su0ICk$9E7(mAe%g@;5$Mf=UtqEs=Wh>Ux->*dmDa664MfJ zo`>vfa|3Tn@Z@vw!^r=jI#UMh83qPi^Gh~Rd2ld-=c^F&OA$~x<hi6AEMg5<#HOH$ zfs*YCsMs~oK7UAE3+WF*`d#jP3sCoo6|rOMTRHMAV212#`~fu&-R&T|M8FAxfgu(9 z9wm3a1E^;SeCEY&7wFtoka;Ok^RhwvP_Vgu0vpPnwDX+U&6~gmar+jidFXBjofUWi zDt8Igf5c`N+J3qY4!#a%N4^Qno_r0=%-M{{yk{spJr3-yYG7tIW=7Ulj-u^7i08@& zIxiWNEOB8*Rt5$ZhL7MT1G1VE;C&n{;C*Mv;u=tK*qOsHxfG~4_=-rFAOiz~E+|1W zurR>RAcjfpg{p_0ISdmIhpGo%I|CB~(M?eGpqpkud>FQXs)wCP3=<cDs)wCT3=?OD zss~*p1rr0&0Z{d@vx-4tAglyc54x8f#E0PpQ1viAjGhJ+2ek!YVjwynDh_QPf`q`> z7%C1;K438r!3VV$Jl+ZtfZ|@LdeE>TR0vE}Ld8LKELZ?aY=(-1))_;Ez?3i4d{Cbk zEC3~B!TUH_;Adk)g&82n>a#F_`V%lQ5PcR@nJ}<0z|O=5iGi>i)Lw9V1tb8)5>R`= z>%^d9Ac_-eFL*x_NC1i-fcH<cFo5zHR0vG%gxU)`QyVPEz`zg<wHGw64-*5?E1}{W zKpZ6O40X>ABry<E80sEyzXc=!#jl|50gwMf#X!_ysCz(Xd4TvZoCrSqfrSBfb~jAi z7U~{QpA#kqqUE9DpgIV|hhgyfDhw>(_B2ckMl&;j8f7pZf@X%_8iNpfq6oUHfQi8% z5h4aYe~p2G!AlMzegRF~Q5qs%06jb%R#04*fQlzW%mKHT85kJWi9y5-K!bL${Z<SN z3<)9-@dHqC@OUT#1B1R0M7$vtVh;EmH3kL-Z2^e5Lpnqpd{!FhyjVVn_ywpq_-r%= z28KP{5b*<<5cS|Q%orFL_Cx)v03MiUU;v+6#=yWJ0`+eJ=%6(Q=ow_7aV)5R1Hcmy z2=V<;{~F{$%m<%E#=yYP3ia;-=wb5U^Tt4XlA!*bPz+HIK2wZ=fnl;N#GMA<#u5Vq z_{=T_28Kdjh<Jb(s4>pK0N$evI-6b`B7Q*$A`U(m0hG=~A>s#=A>!b(4;UC2c!eS2 z3&4X23=A;wSJ3cm0B_nwoB{t38lDYm5Ocui6fiI_EaickqYe>=Mn6Lg7erhDT2O+| zAYfo%kW+w&Pk@Sp&mUl5U|25$5ibC5cxGS#pE1C|z>q8n5l;Xg8o|H-K1TrLFQ|V5 zv?2C_&k0~)U{Hqo_XBv)54zVMv<?88eiHN`>Os{nD8#ox{ks7w4sD__tcCg)(#T|B z0PpVyrB9fD!HrMo9)3`Ifcp0XR2;m2pMinlmpsJ%4TcbV!Taw)cLhNGt6&Tf2k)<E zU|_I^`uBi6L>#<No`Hcu4C>zro)B^Ho^}QXhWF6)^8rn~8R}n$V2FBXuricF{aXOu zYy{mS4hm1Ge;dLe>cRWJ85kJkq5hqa0uhHM3x*re{Bi(#xITEFHUk5L9W=juD1)d6 z@404RU^orU7Y<braqxa>1_p*j(0uWs8X^wfFU`Qf5CZkr0q9};;C;`a_=WnbpbnxQ zCN2;4mjd+Aepvi|h31z6H1Q3vasVn0&1MXnq5g6J9V*AbzyRLk%)r3F0ri&v_z+p> z8Z^*YA+#Kr02PPXyBX@=2T*bF9%TjwhDxY^89E^Dht;b#Q2!P{#licBLFq>jl724q zK-7cx|AMYkg8J8?7ggLFYHz|^h&XsH8>l>l+A9DaKx1HlMnA(5XgN?YAEF+-e+*P! z!R$p7pUn?(kHG>|^{LQso`5FK1r6s9XyUJ+<v_qfRC8jX<=zG~@ng{ZVsI3q9=ryR zfq_9BnjQ>}LBzprZUzR1moWF-gNTFYPZ<~(mO$NO@Ejrzo*M<7(*}$87Z7pq7&<6_ zLEXdf5+V-n4}!)Xpz(eHO?){t{2RanE6{N%1_p*)X!tw4Mm5I(8t(~jQN<rZ!(Rb( z`y^~X6X;G3X!t)s6F&>}ZvylZ2$=iJq5f6)h-!Wc)V~j);xP4Vp#EL(303`BDM-9C ze1nL?)R#d0djKj93m+}0e;d9-)Pv{a7#JA-LepEoUx+x&oOMwD3jBkJgXgXo7#NN~ z{ks7w4j!9kU|^U9^=|_sq)h{!OJZPPFoF8lff*wH4m58Bs>h-JHDHH`L#qddDyV-G zI3VIM_fLlUSAi2E4xZ;>U|=wU`nQ1(A`Vmk6<Y5&@I%C5{#p(7FM|L?9Hu@J>iz>z zaqt`q0|Uc9Xg%^E5TYJDXTiY0a1WYaCgelJ!Q;jZ3=Dx#_XiX}#KGg13=9lEq4kJB zAw(QJF3iBdzzK7I5kwr;PP++pe?u`u96aXBz`)QCb$>z`L>xT6%fP^p35_p<3Wzv( z%obE`L*px<3L*~kFC)~y3e^yC@Hi~!Tyv;@H$cT<?w=0zZ$S-2J*?gM7g`QXsDp^Z z`VC8={{7Gd5eJVyGB7Y~h1Q!1(92I??lFS8{{wiknt=gUZuCOkb6^U@9PoGssNRRV zXTcJPI81#V%>2y|aqt)d0|P@kG(0arFGqo?SAeEN*giS%`RWV|4D+G!2-|-K7l)=p z*uE~9csMj3Vf&?E;=Is&2iu1P6TbkBN7()znD|6!I2b_ptiZ&VL*wxSns_ZV9s{74 zAA!%U1+`D0`R)O<;|D&&lYxQZ8#LTLfCn5I7+_<(qEPowfL;a!KJ$)&fnftwy?`{N z9Dr`8Vo-*Lj{}<cX=uDp2!g1G)nB)v@$vyG4joQrXoS|A0nkf!VC$#SVeKENIIP~( zhPHnKp!E*S{khQanE(|BkHLZN<AjD!1GGMZjjvsRrmF{N;)|jFbvO=jKTN$C)V~YR z#P>n{%Wwi!{W++A6VSw0L(|~_H1R@cdQdotYK}KFJupBo8G?n68PvZ9P;uDU`eSJL zBtXT%Ys?rJ7-mBKy8tQ<USkGo|3LlAa1&xLO#D7HUnfAtVe7Wqq5fR}6^D&;Oo#fH z;TFUknED2&e;v@o&7l5mfQm!AZ44q%|9(Id=Z5+>;Wn!IC!zjbfF|Am_3r~T@nEQb z74AUHu>o~DKzC+A<2L~+4()a_yoI{w0#qE<K5T=kcK{zw2{}s(WRx8=A8mk&!_3(Z z^{)c7{R0zEfclH!4a7a*HBq4cAT-@Bcn=YW^}7#4{gv<;A`UGs891TtdGHG&4qgYt zz`(E(8eai1kn#{bf6l<ba1a__3b7Dz@Vq%_J{KBaAE4skxpD>uh7_3l<00yy-BboU zX!ryqpo(ik{ne0&Dt-+bUmKDj;;{BZ4m4gGQX%57@taG~bm))<5r;OH8LFWEdXNqg z2hS-pFfepM{pFAa5eLs3GcYg|L;d9d9RL8&4Kpw>XhQwP0IfGcb19&BWQY38pcY~d zc)k$S9)Olh6QJVY`9jb*2Gm~;(0UM7z7<2`OQ9ZO4zwA{;0E=tKqEvPJeLQu80sE{ zR){#Pe7g%RCljFJu>5`n<{qdxtbCpUb<Y7baet_LK0w7`<_JRFqtFJi7bd<48vX%L zaq!$60|P@CH2f#DL)3%k-xwGe{Gj3g0V)oje*@J=(D1*|0Z|XFei(K``wIb`5OL@< z1H($Fe;1&MFM#^@15_N^y=Mr9`d6VFVh+rFX{dh}K*eF^zlDwid_WV=h5FZ_2VxG) zd?ToT3wj~qu>L70%)d}^nE8*O{RoFXh<eyKaT(OV6QJTS^E06SJ<tzP4>QLP>R$n9 zdkH2k1odwKv;ctBcb_>S{Q`%{5OZMl*J)@wX91eHA+#UO0Bv8v)Pv5f6JlUuNI(<c z2(=fKR6!VghZ6$>Lk2XQVdMWWacgM0f(>=T?w{EW4d(-(^;)1aAVFsgF)%RXL&Mns zv^EGyJRKU&p!f&b3%<(-bT>9MT?s(Xs)dO^g@$tj^xR7D{YDH742PiMoB$p_W?+D+ z-w6%p3($dGn0PJJzYfr|P+;OFQ2%a#W)$$9GYkw2Y*7C`fEFas;Rc53Q2!P{_ZY&& zy`lbPfbK7Yi5o-xI{~`y3MT#=nywU}`*mRUE`a(s0K7;KdcO|?14AFwzYWm+A29W; zQ2%a#HW0w~)i5wHSU~+-03A4ii8Da`YXDs*0Y3Mhfq~%?G#@!Y8`LoIB&dG_pa~x) zt`7BYLNF*6q37p=%2jAPxgZQx{5sUX4bTBLm^s-{|4smHeuLdJ0$NiH_3s4e-OaH6 zlrhx56XGD|!^GL4{+$qyD*ghRk0wATU|{3z$E6{A{~)RnWUUBx@r_K_#k<+Ci%(?6 zF7C;SU0er;_#qbT>Su9c7r)AlUHlmi_k&s)=yO(}&^XG0-5gMLfKB~O9PUrUAzp>U z{C;-q_Rhi)o`N|16^$ca5^#j47LM=?lf&+wJ{;*M4u?2soEuxX{lVd1&@>b_^_`$G zGEfAeVFMiD>4ro6Gmh}Qjze4#M>tpEP!DRiVsn2M4)=rZT*amybO$LmaU&e@TPB4) zzQk~d2jd9;hd9!SEe`ebaH!A5k*<nyxPKiE@pCxBUm8dFi{P+V7>7IEaftuKk^Vu$ zjM(C(9)~$^aHK<j9PaPLVebhX<q2rI0h@amaD=BZj`ZNch&}y)`cK%*2c1QZO`Hcu zIsx5LhE4rm9RA&n!#$ih!eKQodvWADe;nxw)L+5o&O<ojYXy$@-G?LmBXFcoTO8(h z;V{1xhkv7RsJF*qFQ`3^&A)~?!sj*)dr#mH-;Kjxp!F!&?7a(}2f5G)Dd@rXLV@l~ zhqnJWKs);2dw3Wa7<NF%4K6@0B?sS+!@$6B6FSb>0KJqPe18q-{Bh{`#s}zvA;|g$ z1_m=|`;7s*&<A`U3TS*A)_xNMwHO!}z;}u;Ffi<b_OCZU7y3YlOBfzQ&2Io79?QT0 zzKaT^9%}xCB@lms@00?~%R|j)fL_iHz8{K#fx#NuK2(5S(hk1siGhK^9NIo)*a$HP z)}G{rwhslM11;dYm>3us9zw@a1r9>ggYQ)W^&_C|!v&`y;;`}63(#>7g^7^<7kC{a z0|Ub{=y*;6R2;nK5Y&Hxn*RYhjtyQz2U>pxjW30Z5Ocum(-;^SCPKsE0(61}Hh$g= zHD|&ONI1jR=~ThovlAi?KBETI&WE~Z1Dg0<Xgl9w7pnTb(0<JYG;srH`bhv4IH36n zNN_ORfVT5LpozbL_G=oT3r1k>*#Yav?M1b>4muvP08KmsntlxSp{jR=`u6~u_y=e^ zKVUyZJ*@w}4C>ztXyR>9{|0~-CBn`)VPIf*1MSybKog$=^>4sIRP&cW{d)mTycg=< z0O$le%stLf|6V{7SA_aE;4rHB5>WqMKokE4P3H~J@nrD1APfu)Z=vZt0XmTm-p|dz zz@P>7ufS=D`7m*Ps5=ip#lid685kI@K=W6_8C3N-&~P?5iz?m*4YvnSao9R6C206; zI0sP=6Yqzae;y(Zb59A>{RYsBi(%rv&~onqns^She3$?oV1ubwfR1}OTtYRU4QlTP zH1Rdi@^HarRQ1Zxd>3#9A`S~5W@!EuxQZ&C3C%ATpyDw1utLpmxCT)V6IX}kQ-kXe zaaj29L*usqI{yMI2X4aD%Rtn_#HT>r`2kIQI@FyTWFhLockwVVFx-c_bAueJI0H1C zH^`%k$3n}c4bTOe;5%zT=?$8m7l0Z<pmYY&#*hwm&j#pv3E28U&{^1^ai;+2L>5eZ zFEo5UpoynI>x&7{1wPPfgFy=F9tF_l<Vfq;?n2$O0Zn`!G=Divgg6jp&K6kyLKE+T zhI7IsRP{<w_k4hggU`-pU|@I(%|{H=A?m?rK{GHg%!az>0-AU!)IAGkpsMeNx~E_! zs<<cAJr1)_#os~8H-Xux;^(0G{Q*=Qd~P%7DmZAoFPH;S4-<b0E#CwdLBv7(5kW=$ zPiX#q02PO&x06u+E?5jv4-*f8`Zr+-L>zo}E&~IDBvia%HAEbI-Yo+IgE!Qi32PwY zFmpaY^J&0ZRPnRWd?Bz7A`YAPKLRZ`E<nX$=5#>Is|o8N>S5yc&~OXbfGTbW^{>E2 zh&arAHmEx<K*eF^tcJRC!X}7%nD|VnI|DYOicf&LQ(y~39A>^V)SVZg;xKc#q3)cp z6`~#{eiu3}7qAUg+zw_wTKfSye98bChRtJSVEFSN0$}TFLE?!>;=&MPG1Vi9BdeEy zG-EK-uYih!D9}-?(BVsl9UuV)2Jo;kNF25<`xI0h<ep?C_dG!oN4A#{y50n&9yGTG z^OpsZIB3ZnOgt1x99ex2k~p&Zl}O^q>K`D9Bdh<5B#x}!1mr*l(3*Y*24wYtNaD!q zJCMYY)h|U7M^=9aNgP@IPb6_<^#;&!d5|=+dS4`QWc4jb;>hanB8elXt5={qZ?VKL zBj_w`XgDMx#ji1R;XKGa{z&51P;pT7gSMZ*;;|e_969}TL&ZVnAg7=8NaEf|=HEaP zN4EC?R2<#jV9-5EQ1>INkB5q*t8YUR2e}iL{%1hN(cQTONgUby4N!4(^RFX`gUpBJ zqnA)|bn|_o^DZE9<oJq$-3<(?!$JKPP~i_+{|presYi~l#i0ACu!O^Es5r=-pfU#* zU(b=mk=^+lDh@IqIlknfchiEzk>kq)NgO$R0-)mP_8x|cgUmrze;z81uKpX6ILMu_ z_~HO1KB#|@-6?`3j%>aHR2<!WcO-F;`LOtkgo=aQiERE}Byr^UItAMIg(ZJIgo=aA zL5?qB(7jGj_anPg8Y&KQCupk#EWW~##F5>Z4HXBOkL=EVByr^U+JPjFZ0`Z6IJ&*Y z;C&g8auQj+JyaZBeHxND$epnGs(^~4yR!vJ9NGK{P;qqgw<C#z%!kF-NvJrw`MRJ) z4-IGJ__6{8E|&NTgo=aAL5{Cx=-rJVab$OPL&ZVv1kDA&;_En)II=r$L&ZVnAjj8# zByr^U(g5$H!3=)`s5rX4i=pBm^O4=T9x9Hm{u+`v$epnGdI1#&nS<<3$o?iw_b@=` zebLQVM-m5_4~s8Ls5rX$bCJZ6<7+*1qY}t`WOM#Q#X;sE$5%h}{y300ayU$fii6An zT~`5%uggf{$mTzXii6BS4hL@N{cIp{<oE*3<AaK7kT|lvHc<8G_O?UCLF$ps--0BL zY|a6wIJ!B{k;FmnhsEPhs5rX$tkC&*kT|mWf>3dE^C9~OG24e;Q1u{lklkO4B#s=9 zU7$UtSn}OMs5r<R<aoRcUFZ%HM|S6Js5r=-per?C@hA?;gjmeihKhsCL3XD<k~nfa zRv?KZ+uHyYN4NJgR2*bJviko}adh=+&~*<Wapd^2fQp06L3XDLk~p&Y0Z?&}ImqEq zjwB8;AC~Vrq2lP~zeN&9j;~+PJE=hCBby@#T^I>62RXi+p?4gC#F5?U4HXBO1KP6( zi?4Phab)vnL&ZVnAcw<#Byr^UdVwU4Z0`rCIJ&*bpiS=3dI4E|K2#iC{WK(TkUL@d zYXwvs-JM&I#F5QE02N0!|2dL4$b49Q{e+66n;#2Z9|ICcj;}0Gp@t>>H$ufh>XGAX zGbqtu35VTKagaMf7#3gOk;IYR$qkBBs5!{-rH>?z9A6Pg;>h8X02K$>3BoXYFGIya z?nhRCA1V$~3&Jq<Y|wjHKw=<w!s1H;Dh@IS*_|p#;>hM3K*d4kfH2Jba3pb%`LOuP zgo>k^p9oR}4WDeJ{*EH_z7LRkWbubM#1}#r9HOgFgx)KGF0Ke&zm6{c5Qq3e==v&j z^~aFJk<;5Bs5mHWKwgA}gCKN07RVgraQ=oQj%<zrXd)9!y48TL-$FO14M`l?oC8pC zbocClp2LoAju><u7)Tu1oB*gex;e0O#6jwj{k06bZVV)jY|aCyIJ!AEpyy+Q)FYc? z23=<c5=S;C2P%$kP9sztq#oIvG-!eci6fgc2P%$k&PJ#>NIkMS)1d3vK;p>eoPmm? zoAVGV4pNV7&Kc;sH;_28IiI28Fmpijtf2POFQ_<3J#u+v4LuhZB#xX<L!sg@bD+!M z7-FE}Aoa-REJ6}T9>2N=6^EGvbI((#IJ!9^&;{)vab)-C;t-EQ5=XYT8He~0BynW( zgP;rk(e2H~AwCsJ9NFFjIK&yD=dq%jFNQ<h2uU2YH5FD)@<Gosg@q@qd{BmpgUm-x zCx%dQn0i?Fw?V~0>XGAdJ=8#$dMKCS2$TU*k8HjK=qx{^at4-8xS;DVVdlWZMWEs! zbCB&dMG{92PiLq&%p92e{h{I@bCA{dAc-UAi_K7RbaQq=#X;sEyXQBOIC44>g`PDD zGY94`8K^kAIY~(3$nKm66^EGv^Vd?SIJ!BoGcG~m$nJTIq#jvZ3OaEQQjct}GY;_< zBynVOgrH|Og3Lh{*Tx|piX@I~ZvzhTgGl1Y=3m1h{s~DOIbWQEo_7cfPiS&wcnlQ> zxgR;*euRp{)WgEx4SH@MNIi0T$cHL`sfU^00u=|TM>hWkR2-%rmQGGV&!j^ahn-;u zG6&h-uTb?Mapdr1hOT3VnFF<sfgdW4uHFkt964Xyf{Me;f%)q_R2*auvcLX8#bN4U z_NIXjK0+!7VCGaq#nH{V1Qmyw0}G#<P;roY<Zy_BuFnREBfI|<R2*gw%-;V{agcgs z_wzvyWPquMxxWmw5DJU?yP@Lf<~)Lm!_0xX|0Pr$q#oJ*Sx^Naab)-Zf{Me;fw`X_ zy73UC9@+hJP;r=gnETtH8+>5mFmq-@#nH|A1Qmy=hdP7dCsZ7y9@+g>(Dm*hab)*% zK{w98%z@b}4;2TgM|Qs+R2-%r=Kg8Wje9V0m^rJV;^^iuLD$*C)Wh7*2^9yaM|OV~ zk~p&arNTk2Bg}CaeW*Cd9Ax*~LB(PA!rZ?Mx{(kj4s-u*s5rVgLeTvHF!eC^OG3p# z>XF?)3rQT={aR7j-ER*S2bqKHem|%<%wCxLw?Q|~z{FwhKMfT}H%AG&Zvmzr=6+46 zI7mIR`xhgLBfI}Dk~p$@G3fpWbaO&+h%ZJGM=>8s9L0R-J_-!;afmNQ5=Su~NgTy| z=za_g^KpnTMiNIcA4wd=eCWOo4D)e_FGdnaHvc7(II{W9(DRr;<|C``L=s0<{}@Re zS-mFo03VP!$m*Ms#F5qSMG{9={|$$@DfApD4D*r1k<H%(6^Er;SUP_L6-PJ64SH@A zNF3Rm6sS1J9MF^$tbLvX6$hy=K^m86LJ~)=$1fv^Bb)ycNjw+HoPSVpkiDSs0ci2U zAPhan3S=*Ge%FAC!_>p_yA@O%UHuFsab)+%LJx`onFCt43-hlUR2*auvVUWs;vn_N z?kt3ggVZCtvmPoAQx9|JU8p#^`qxl#n0i?G!vH;>3tfFMk~p%zGLgiQ!+8RdII=rm zBZ(uMvjDoW8f32@QhaTIii6w<ni7PC+XEzV&{P{t{3TQzWDat8GDFW71BoM>&kYqv zH{Tpd92EXgV;S<H;vjQCdk0|lUPKZ{_E!mL;|g?~4LLu~M-oRKhy08rj@+K-hi(`L z*^At6H$)Ogw)Z@eII_Jq&~wv3<^&*xa|Dt&viZ$O;>hM-fQo~{4HQ1G^z#TR4l*Bk z9msd6I7~e(eeysz{)5ybr;}tPab$ZpK*eF^!0bH)6$hDvZ0~ibI7~gv-ZxNjka}c$ zJ)q~rfy9yRoeUL6H)jP@9HbuEoP9{*$m?XDK*iC``3V&VnS<<pR_OV3AaP`K%#g&9 z)q6w5(amo{6NiP{6sS1Jd}R0UKoUm|hlhoz?Il=veuIjmo5NECQ4bPFaX(ZX-JRZ0 zagcgsf1O7XM>dB6dQKm@dQqr2y7^9M;;?WCfr^97K@NwtNaD!ha0e=mZvJDaILI92 ze0K$U;2uc45Gmiql_A0hS=<qNo(s%;m^%w$=ea=F;lRWz(8OW!G65<MvbO@s-b+a0 z$m9H_(2eux_ST?@!_1!o6-PJ!8j?7&`LfW3?jZHZ;+{z2$l)9g6^FS8*4{{jii6BS z4(B2q;>|e3r{EA@ibH%G4)NnS#Bbmbe~Cl<7Y=dm8bmrl_OA>Maa|nZb~wcSafru4 z#bMzOi}xI;I4Jy)+ha{Q#3v((BfEbI4)Lux#E;<+zm7xv1rG6_IK;VXv4^uX4slJW zI4pd=K(sTMLdDU;#|=pwIUK@qh-cssuf!qVg+qJ>R2=3`Si5%#R2<!%o1o$_^{{;b z2chEV>aXJve}N>9?BAa_#JTDq0SGc5S-mt4aUC4uwm8K7aEQm_5N}2jM^5J}aEKqq zA$}8w_<J1Uto4X+K(<#JhqxgQad#Zzu{gwwafo-~5T6behouwfv>n4js5p8&Zo(mc z7>D>39O6%Lh=0Q&&JI238r?k-IK(w^i2EUlBgab$4)H1+;@wE%$mx6z4)L`(#P{J4 zKaWHF9g;Y*`&pp-MM2?%EG~*9j_gitBynW*jyS}Fki?PANyZ^wf<wF&hxlwHab)+b zK@vx{cL$Pq1X8=`4^$jIJ##c6@*{HlQwl1Mu3il)4hm1u5x%f~qCQj{U41l~IJ};P zii6BS_E$Aj9A*xzU9<}-j;`JidcY${9NGQeNaD!u+=C?Uj1)evaERaNhJ+8yJ+N}% z2~-^2-fvKGboI>8jaM*nn7{a;;^^imLdDV5>q5mr>XH3r1{H^?hq==pO&n$~cQ3?W zAajtze+v%rf{6(A$l?4RdVmbb9At6nso2%KA&Dcq|L-)2dYJoR?&O>f5l1&)0V<BJ zUI!`;QjcuDD^wh&9u_`<P;roYWcO!4#nIK5K*d4ok<D*_io?{y+#d$r=m%1dY<@YC zII=m@q2e%eVD7A(i9H;qA&DcK;{-j}7Gy8-xR4iA9ArLdDKsqqRzt->>XF?!14$g& z{DZTxyQgsucJX;g;>hM)MiNIJ7y5uCj_m$k(7`d#aVKQ)sZeo{zd%c>VgA|z6$kkX zIh`Lv63<5}2hKsoLFRy#NW;uwhF<spG6y+)6p+M`{dERO9NC>_3lZUiES`@fj_l4x zBynVS_Cm!$?gTB(hPiVpk~rwf2$=XOs5rX&pCgGQyMHP4z;lp#WOte^#_oO>s5rWN zilO2lbCCUY14$g&{7mRM2q5*y;*LuZ_9B<tDM;eT=8G>ws7Dq*ibK2tdJrqfUS#z; z&<j1##joKI-v~Xg0i+(;omY^=k<D+1Zioh{M;5nUjotk(afr`>9@vO(PQY61=KR7T zejR$Q1iCq0>#>_-2fe@qUHwxW;v1poQ-IW?gb$K9ayYba!fvl7bVDe*Ii5)3$mV>; zA#Mmg7XxHY0a7^-izJS0&JiSW<oqZAJy05C4zhY#BynW*Nl4<z>PwNtk=6f!9!w1~ zAG!RIg&w2~5=YLzFQMX~{0JJyhMkW$54!Ogq#oH`@!i<N`2+L-aCG&X_d?X8o3FSJ zB95+pCv?Lvy7)!tfvD)>CeU+9K;p>pl8Ypc91fjG;>h8!6-gY~oU2IU$mI;*A%y#p z&DTN_M>f9@Dh>+=SbbfECJt*4v_Zu|{z4A_$w=bJ?wk)52bmArA_FVWmm`UTww}Pm z-$2Dd<|F&-3sf9r4rt2=OuaJn;9QV;WdGVDi6i@W9+Eh+`dvuk$m$uO8|p#kBfG~E zNgUanP$Y3=bDklIgVHk0{fy892SDZ{hZ`?c9OPfnmKT_Md8jx@y)ROJH$W0cHpd1k z4l)O{6$WOGA5<LOoLHzhNIkN>#ZYl{^_@uK$mwA|4)Lux#82Z8zX=rwZHsL~^6wX@ zILJLQNa6~|5a}N|oUM_>k;BIwDvs{oNT@i-eB^M>LJ~(drwl3%G6y-FJD}p|=FCG9 zM-I0wIK)rk5WfKx2Zc{7QaF5uii7M$4j<{`i0}d3-2_Vy%1Gj%J5ONZDM;eT>AV3+ z966o)K@UO!*^691<{^nA`*$G@@xw^s$mTpo5=S;i`Xs_%$l?i5aZtQyA?=UOhl+#T ziLAZ}hxiN};wy28@4+Gd8;7{*DeUgg$05EFhxlnEapZ7&jU<lj-=9!%Sopxoe<tYp zS?J-Tf+UV?z6+8#viS`-#1A5gBj=+VIK;)yAi@V(y%rAfQY3NYbUPVI968;}LC;?U z`4?He6_Plz`k6@L$l-7WNgUao3Fol8|2+=zzff^lyx2g`?@&39Fb8>^gB_B1I8r&_ zjU<ljp3O+&$o{>6B#!LgBhZWZVD5pXlM7IB^l*C)6-QV987dA^k8D2wMTEbQ%kAq( z;>hXh8B`o*K5V}A6I2{zKC=41P;pQ>2|6+eR=!DGLfDI3PP!n8BbSptNaD!lWC>Ip z<{nu196=LzfLO<H0V)o1Cvx~dhKj??fvJBF6$hzD4!0jradh>T(2MF|;xPBPLd8Mm zAln-O6-QT}0u=|TN47T?DvqvxFAnjOP;rns$oAfWildwJ0xAwtk8JNps5rX%g;yW} z3sMg{^Ai@o=a9sa?R|+Pj%<D;^rAhGImqhEki?PIZ$lDCE(cy9i6fiCd=24FWOG`P z#F5o6KoUn*uXr6|4thA~LdDVD?*J7?SMLKA2dPIkKNKpCu6`++I4pf`go=aAL3aNU zs5s0VnEDG)agcgsdv8L;(bdO6FDwA5M>fA2NgUanOHgr`Ik5D94=Rps&PS*?y86FR zagcgs^Vx1;4}W>+d7U8j$nLa35=S;?B2*k^FD!iKLdDU|*#Z?uSAPJ9_!p=+$Q<PI zpB;M8CCr=*X#FAw6$hzDUiY#ANgUZ6rMr-D0I5e7H-L)6%m>MVRE9&vLF$p~uR<ho z<oc@)NgQMbEMFhQA>IK!P!VK4a`-Pr5=RdI>-Ryff}B%<to{p<II?;j=ta#S^O5T* zZzOT#dM64h4hsipFfyb;#X;sEt1pC#qt{bCP;roY<a%lck~nfbbpS~mxt?Nuh~2+R zNaD!;O+pe!F5jjji6e*G79??Ga|9kC>_s-m6-gY~oFpW1WOEik#bMzI%P-fU;-K(B zF8^7f=j4LKk<C{^5=S<F8Im}1{2Dz$xF0zkdLxM=$73Q6@f@f)D1Muf+G#CNagaNa z<99uhICA`MM-oSl-!Dkw$o>_0if})&e<P8^k^TD=NgUa~jL-{nLGDEMuQ(2IC8#*a zzb#1qwS|g<%t7{VDv~&|e{+$<k^OrONgUa~pOD0n{aXk<025>{aymSUL;M>KadzlA z%joIQ87dAkA2}TsAc-Tpa{`h$vOC3JBH{}<{57HCFneL;wjER)WG`~LeHlp{*_;W` zi-SSxk;Ugh#bM@y<UlSt3Ka*bM=rPTBZ(uI+uL7*bYYgOmyyJg{k!Z9cJ&94#F5o& zzQwNI8A%*jeK(Rga`>-A5=U0Q0D6Hk$iK+y_aKQQt5124-8~IR;>hZQKVVm%k0g$) z-tHrI^-)OT$m-WY#bNOXD<|(l#X<f;PM;jmi-ADm$oaw+NgP@ITqJSi@Y#tZj_e+W zZ`j>0gCvfuej4Z?e&{?YviX~k#F5oU{lsp536eOn`VC0ppfnC^SH%9pZcaIpII=kf zzY*$@!)+;496kJ3L&ZVij2xcdq2lQ3#h?rPK<bg#3ELxyBZp5kk~p$E+mXbP{rd|^ zyauU!X8a5B7tB4-<4qZ4pyD9+fUcs3g_|-|9Ht(6JtTuOR2*G>E|NI1``eMkk=@Vu z58@t>Imqgzk;IYJ+e0rB0;xw1w|XRTWOHUCi6fgM4ZS!EWDc@=QzUU@^&64Ik<-I7 zs5mTqVEOS4R2*bJa(YN+gfz%O;>h;aBZ(v1y9G%cxgK|5LYRXb?~zF2$n|(W4)H3e zIH(>+ZnsQ=ii6yVT#xTX5=XAbk0Oa9*W=vG2zMg;R~<<l*}ug|;>iB}jU<ljUlA6B z`N;m&!Xa)76$kkjx!n>B6-W1P9g;Y*f7_76k^L*pif|9If6bA^k^L*jhER{3uKaO` zuZD`l(i^P*dl5|>mJU^+2RnoOg`5r}pyDudVC&!F(Zr$0tTXgN#X;uyAeAS_k;IY1 z=P{Bva=fTP@2dcrgB*|9IK-bIi6gJW`Hm!xY|eiqab)!t(2LGN_9Dki2$DE*yfh$* zBb$E`NgUbyA4uZJ=8JG4;uqO`2PAQ1^F5Hnk<AZ)ii6?{bW|Ixd~Sw{qx)+<k~p%x zpOM6o-6_nC-JOj{;>hafB8elLzXnMh*<T7g2=kH6aX=DBHfIHrII{X9NaD!q-y?}5 zhue3kI4ImeN7=!`O^p}3dz_HOk<HIT5=Zt|8Im}%y>(D=kiDRz@?iFEgo>lb*I6WS zWP4Tku)EU%NgP>yFOoQNxUEDIM^=9aNgUZ<hWrS7k;Qv(h|j?x{un9_3J1_U9rRjX z26X|1`N;haU#K`tJ*-@cgo>kwTQ*c2U41!J9HbuEzYS1vboHyD;vn_N{@siujvT+c zq2eHOKt~M1;`bd?9Apl1_;U#&{EO@!bEr7T98mbc{Obl42bqIheg;9sVd`P?BV|x= zboEV0;>hlwiX@Kg-y=xk$m*qq5bj47*FzFVHpdSt4ss{x2t!!-OoWPq+=*<?5+reC za}GhpLFRytJcOBJEsSsvayW+~i6e(Is|Z3pviYJo#7&^$AbUaaVhv(2Ffimm#X;^z zPPgSyagZ{QdRRQRLB&Dpk>hbXR2-%rdhIL2HmEqd`a?+K$l-PsNgUZ<ETRbiBCC(a zAwC019NAx&pyDuhP6sg<7#Kc7#X;^wRxbp-cM7Bo6b`WVi5yfMq#ilG44~rZ>TRIn zAoa-c=n54_SHB1<4pNUC4m)v(f5RcpC5{L;WOK@Jh_~SopN2zx6%O(JP;r>QmVy|d z^L?QAb%Fea9M1Ac;>hDOc2IGUcI5E)f{KI8K@R^Is5rX%45&CrJ#zRLLdDV5Uxtc< z)FX%62OQ!YlGwvp35U274)Guy;^{cV8*qrPgo?xbB?i4W;tf<B<S*p#=afRYA36Lr zpyDudVC`@ds5r<R<nVWcileI!f{KIGBZq%9R2*GBGxS~}kb2~OzB*8GboCZcadh=D zP;qqi8BlR_^&61Hk==P3NgUa~kCDWY`wIfldy+ur$0PMG3XsH+&F@AMM>c;kk~p&Y z7m&n}%{PGF!vwMy*?ey#ab)w8k;IYBpMWHeZ2ku%ab)wkq4zw2>_s+T3rV~fDIA=U z#5It_!;r+0-BW-hUWBB6CXzUcJCVdu+zGvR3d5a9;wbJ!5=U_-k~oSxk;GBli6oBV zP9$*@cS7&M!f+>&IEp)w#8KRdB#z=vBykjXB8j886G<G!ozQ!^Fx-hGj_giHBynW- zL?ekKyQc+79N9f*ki;91(!&EJaRVgrH#o$9;1Fj~LX?Nd<_O>rm%$;ffkWH`hqwa{ zaUUGw=}6*MNd9d^5^qEjpM)f?jU>JRNgP@IF(h$h^PeM$n<1I=6G<Gj77W(D<xxh2 zC$f4|Byl?=a~zPwk=17+i6g5oM-oR?zY0klS^a$^ab)!>DhPL~Be}-{Nn8y{JPn6< z6OuTxz59{Gk?sA5B#vypzAAS2xFLxnt4~4_N49r5k~p%xE0M&J<7*F+II{Y?IK)5V z5ElYD4mv-AY>pZZaeo}*#Yo~P{z4K*Hh(3OIEufJ#F5oAgCZM?JN1#oZIR;L3P~K< zoID)j8*zyLLlQ^!mm;VT$KswwBynW*%aO#9-Ln%(9621$Ac-TZXV65XH)L@U9O9-p z#3PZ!k=@yjB#vzUOeAq+cdkGZM^=9mhxjiX;#ykR{S}5JjvT+`NaD!$b|Q%*yK@eb zII{W+IK=-Ti6h&~4l0zf#JdI#@q8TOjY#6i?wo=oj_l4mIK)LklNMOqV~!+lfs`*+ zB8gifiJwOjNA~YsBynW-2<Rf>3t7D?k~p&ZP$Y3=^$AGg$m*Mr#F5qaB8elbUx!2d zC=T)0NaD!ppHUCtFJ$w>k;IYRQ-~yvtbQJnII{T%k;IYJ|3VUXM2Z)2eeCYhL=s0% zKNd*h$mU1l5TA@Bj_j|ENaD!m+(!~e_LnHA5r!olsw0UbyVD9u9NGL>9OBJ5#Lps$ z8zY6=HzaX$Bykx-M0g_mR~bnhl=WflB1a@~WOr60i6fgc9Z4Kn{YoTp<Z#%7B#x~9 zDUvvHIDbGAM^-Osgzy)#dKDybWc9vC;>hYFki?PIcO!`-r_X6f;>hYxB8elbzm6o1 ztey)LdC>HO>>hU{ab)#nNaD!q8<E73)o(-+M^?WFNgP@I10-=|^{<h{k=65?U=M#O zBynW*zDVN8=0_ljBdf1L5=U0wjwFt(el?OfvifaE;>hZ6A&Dcae~KiIte)Kz;a_C& zcpTz|NaD!m*C2@_n==82_$nOYdyvGD%|DAIj%@yYBynW*uaLx%)&E5jM^?`PQUp!6 zASSHb&<Am_h!^4zZ$=VFHh&6|IEV=|e;tT}#ry+E;>hNlMiK`xVdmTeaiHcPtAB|k z4r0R8^MMLesCs1aI2__dIK(I65MPQUj_jTdNaD!$Ucw=+1ZwnPai<v$@l+h*6-eU9 z?rBC6M|RIr9O7Sbh;xD(VOZR$i9_55NgUZd?nvUu?#aO+z6Xc+1sviZaELR51|6X8 zM|KY%k~p$^oN<U>K@tZQIk5D`X^p*{kw+3owpSNP9NFGP9OC6j;>hN-B8elLGaE@9 zS^Y{Rab)%Tk;IYJpG6W!R$mHg96-YpIUGbljSMW}YDnV9=GY*KBirkRB#x{;8;5u! zk~p$C{Yc`-<|u#^VR5G^k~p$@ZzORL6Bh5+K^!dRyh0L3HiyF=dpeOr5(jC8nXic? zj%<!Sk~p$@FC=kf^$AGg$m$D_#F5oc!Xdr_NgUan=Sbqn=EyoA{EMt!6G<Fdy*E@G zHqQbJhXklNXnYu1{aPI2hj55%ff{*O;wv0U9NGK=9OAc;#F5j#ENCzqYCf_#fjGoZ zA&G;kZkT@`Ac-TJ^8<&tE@+V)7JH{6i6gu75Ry2u`L}V1ySX6Z5!swVBynVOR^bpo zghO1*6}$Q2NaD!m=im^ZibH%C4)I$^;>hXq8Im}%y?$=k-8l(K99jKx9OC@$*v+v) z5=S;C5Qq3qByr?;xrZc<Y|bYf;w+#=kkIsl91coI;>hMW;t)^6AzqC`d<G8j|48D< z`9jbW5pKxt(Z(U}heJFKhxkGq;!lypL0KP`PZ_<iyT=tt99ew{k~s4D(i4%yk?${9 zh9r*c-yYCnQ)ql4i%*7%!^+PKpaPSDfnfzy9K=OdzaA<MQ{Mrd-#-EsM^}FuDh^XW z0jmB1R2*IXbEr5>{S2u3KTvUW^~|8dM?mX4py!G&fU4(56NlNW0u@I$M;j^*vv&j3 z9CI{rn0tJn;^^iCL&ag{9Dte=k0uUtX9-js-JEKuILw?AP;=VR#9{870~JR%XE9V9 zX3h<$IqT8HVeUKv6-PJcG*ldB&I719*U`jb?tB9kM>pp)R2*i`3#d8&(Zpfy6agJ7 zgeBcdL&ag{e1MvxjwTLsrwvpb-964wahN$jpyv3aiNoBP0u@I$CmSjbGlv1BfPsOb z98DbN&K{^ZNEveenhX_(nZp56z`(#TA59$Q&Mi=JkTPU*c0<Ks<_LfkFfcG2M-zv+ z^A1!Tqzu`d$53&YISL>J3=9nK(ZpfyWC1Ob!xCTIP;r<!22gXv(Zpfy)PahFlp(vv z7%C1k#{#5)fq}suO&sRV5U4mv8L~OiP;r<!9v}q_3=HXL;xKpCK*d4Ikj-g^io?ta z04ZQ#VCY8^hq-eJR2-xX*__o-ahN#~AO#Ez4BOGfVeUKw6$dFpHs>-_9A-`eNC5)_ z!+kVym^;5f#X-uD&G`)#hnbTBQoz8#zz({61*yD+xl;xz4pN3}jxtmnW=;V}0Rsbr zKAJepoi0#ukTPU*yrJSSb1Faz7#JAB(Zpfy%z=u7lp&i_3>Al&(*RPyz`#(CCJuAw z6sR~z8L~OEq2e%eIzS2-7#NnLiNoBv2PzIyhHTDZs5s1=2_OXw3=HSd#9{7y0u=`- zLpJ9%R2*i`0+0d*28Qow;xKpe1Y&Q82t&nT=B$94BabEybEhFx9Hb1{Jr+=Lm^m9j z3K$p|9MQyK?hJ;CgOnkg69pBAnX?0=fPsM_8BHAK&Qhp2NExy@HBfPwIR`)r7#J8@ z(ZpfyoDLNSDML1A9#kA=&IynL1_p+uXyUN-iWi{bAZ4H?Dy$v&8c7^^-I_)aB3_X1 zk#Rs0M|RID9O9>-;xKpK06Bnxf#EJx9K=Ol-^dk=uou~UEvPum9N2nnbEr7FIf+Q( z$nDj3BynW(H{uY#f+P-V(!#>+36gjk()`yCBynW(9YLGQu+&HXP;r>Q9)LW;z`zg* z6$f#V&7X)Qj_j{>NaD!t@WV*r$nH5CianfPB8elX2X)Y*H>mrO(}OjVII{W6k;IYR zc>_rtS-mP~(Jj<`WN|B~I4pdi+w>Uxq2i$MNk$6)L?m%!bMm3$Fmqt(rwl3%G6y-F zJCMYY&6x`oM>l5^R2*auvU{!|i6fiy5-JWe=LJY30|Nsy=rDa~I3S0!D3Um`Ia*M0 zm^m<iIYPxj#v+>&j3kb1P6kvQ-JDveIJ!CgNaD!m%!P`ho3k7$4l)NhoOdFLBbzfj z5_|ew2^EK#58E%Z87hu${!t`xWb^;v5Lb%AZm%Z}@o=a(%snfh?e;vVILJN7_D;be zz8i=5NvJrw`46Dt=;pIUV|TwU4smO!IJ)^kP;qqgOK^zK#v#5EDvoacVW>FBeB}Ic z6-gX9+@wI8ma*guTO@I0_1;i%n7y!lE2&U%bbHH@#F5R}izJSmkIo~BBdfm)6^Gdi zOP^n$;vjpG&0zx-vQU2^n<D}hM>j_kDvoZBACfq-IVCv6XX6lG2^ELg3ro*?q2eHW zk<C916^E&Z9&5w!6e<o<j~u_>k;IYBv5iBdTjcO5K@vw+zYB->38*;CJ+SaU4;2Tw z2f6%th$N0|z6fZsHI{ghhKi$`uL>1MH{Tpd9NGL*9OCP6i0^@l!|c5PEoYuU#X<HW zoBs(a4pR?H|2zqZa7K<7IV5ppb5fARk>h0+k~p&Z6;N@Qz0hM}81_KL(cO6pDh^W* zi?54NagciC_<D>aj%=?CXfqy`_|k%k!_0?;n;ldfWInPvQ;@`w!)F<iII{XJP;r>~ z5+DN^7#L1K#X($T_1B={F!ej2@%RcVj;{U(R2*Hs5a==<sDF{&sf;9!Y;PzI@nont z%zRk*S3t!<?m>?CE+lbe^DiKYBddReB#x~915_N{UUraYp#DNOM;=KW*_=)s;uE0a zF!N#UqFGRJkiU@A)fyymWb-fK5Z6z^o{t=n#F5SMfQqBLCkQHz?w%ARab$CfpyDud zVEwy|P;rns$nEW;NaD!mT!xCn%z?G5??c5w=75qOtiSUGNgUZ6CQu|Hjl;m)BM22o zH%Ajm9N8QXs5s0$Fmpnn;vjQCRR_#HX;5)=^+ix|ka|#81Ezi>k~pZz2@`*gB#s<z z(xAivO^3+tY{DTv11b)44=lZ{g^GjR14>FTd-o%WBb$E}DvoZ>E2ucSIe(DEk<AfG zhonz*bJU^Y=;l}=i6fii4;4o@Cj}~wZcZ7JII=mdP;qo~`k~?=b3k5!h0j7Hab$D0 zL&ed}IRh03nFC_N%y|IfV2MY4=z(k?^~mP`!XX}$2?<Yh^>dNLK~}-+-Gd~KZ2l#v zIJ*0vL&ZVnBd3#}NaD!mbYvmi14_a$duJhuBdgB@4YFVfhtoL3rE(DFBm2t|NgUaH zf2cURzfz#$=>9505=S<N2Q+vAbtkgF<dDRX)qlbv9s<hDP;-#gFGUhZ_SX?4ab)$k zpyKHMdJh#x_ZK7RG8HWL&O{PN_Sb48ab$bzL7T3znDY>axDn_uL8y9Ue+43mBb%QN z6-W114OAT6Uwug8$mXblHY-ByMfR5kk~p$@9?)h;sCs1aJRIUjafn}mile*#9#kCU ze&q7x1Clthy&a%S?xF5McK<3Qab)#OrHFiioKF>z#F5okB8elbpMfNfto{R%II?>F zGK9Uz>eG?Lk=3^$i6g5&gCvd|K7!>4^Fc`)R-ULLi6fii1{H^eKdinEf{KH}AGy9x zg^HuAFNBJN)Fao|RZwws_4}dXAoa-Zc>onhSN{eo4pNWop8rsBboJbzL+GIC0ogqw zP;qqikx+4v`N;06f{LT7Z-a`1)FZoRCR7|<{ZgnnNIkN9)<MP5)qjSHgVZCtN2C%G zzv$`}pyD9)$nG(QileKyhl+#LBfG}~Dvqvx0#qEN9@#w`q2lQ3_d>-%>XF@Z0V<BJ z{ti?eq#oHlPod)I>h-E1;Q&&P+zyOJ5=VAt7Lqt<=_o8;)FO!^s}}$rwg^o>$l`i9 z#C>pxr{fTB!XZ8vhxiU0;*XKUK}$_x{^AE6E{Vnca!BIH;b4d)j_hAg9OBtX;>h+^ zB8elLGapGDd41O^BynW*&v1xy)FR>?*<LXuab$Dski<b-RAJ#0g(Qybo+2c1WOLRb zi6h&45lI|beOw*Foyg`lB8elb=K~#L0*x<Z^@d2|$m-*e#F5oEB8elbUxy@)to|aB zII?<k&|w5n_aLtyi$@YiR-cC?j-0L<k;IYJ&%`0V8%Z2FoKGT&Bb)OcNgUZ<zmUX{ z)ysem1%UbsS=<hXcmk3*vU~E7#F5RJh9r)h-ZmkLBfIkyk~p$CY)y#tgKV!Nk~p&Z zb|i7+_*#f0j;#J1k~p$^SU`u3VhJB%Byr^URYMX-Hs1+{crKDSXo)l|KUN@#Bb&1t zNgT8_1g8Eqk~p$CKas?d&5-~dDhl-%vN>5u;>hNdB8elLvkr&&1svkPk;IYBX9Hax z26Ydz`4&jx$nGph5=S<t6-gY~oFz!&$mZNa5=U15ABVUi=n^=nJCV(4MG^;Xd4a{t z6(n(FcfLasN48h89g)tF)vF+hBdZTX5=VB=R3vd^a~30sBb##wNgUZ6!48CbkkzLk zi6iH)ek5^Z_4AO#k@L$&BynW*XK{$jb|Tz^Tn=a;i6fhng(Qxwz5+=cS^Y62ab)!u zk;IYJb9EuygDkFtLp%^k966k4B8em0yBtXz+5Cq%#M!#ByGH{_9NFGkNaD!p_6d?W zvU`3ai6gs5t_NW+viWI9;>hNdAc-TJ^ALx)Q7^)L<n$JZB#vy(A|!ES^;eO^K~*9w zpFTwr2W<g|i8J>h>;-K#gNchEiG!*vn7B2PIB2UDOuQ6H9NFGhBynVW7bA%y+q(rx z9NFI6NaD!$iuNPik8H0hk~p%x&Pd|O_J$ydBimbzB#vzFRwQv`d#@vjBb)yMNgUaH z{s{>8Bb)DvB#vx;I+8fD`4vdw$mY*S5=S=wDv~&|`QMSmk<I4-UFrs{7m&@@M-oRi zKNU$F+5C1Sab)vnAc-TJzaL2)+5E3a;>hNUPeOzzviTZF;>hOvBZ(uM--;xTZ2od2 zab)v%Ac-TJe;-L4*?gVJ2zMg)e?5@Ik^9kUNaCO&F<8E9M-m5ZwSkFGM-m4ejQ|th zjU<k&{xp&}vic85;>hY5rXbvptX>sK99g|7k~p&ZC?s)Y^;t;b$m;u%#F5p{M-oR? ze*{S!S^X6xab)#Bk;IYJb56zXUp*voWc7AP;>hMFBZ(ucFGdnaRzCwt99jJeBynW* zXOYB_)!#)DM^?`?4dE|j^+HJE$m-3J#F5pzBZ(uc&p{GLR$qf8j;wwmk~p&ZjY#6i z>JKA{gSINd()nv7anO)9Ox$KV!oSG*E(A#&Io}l{i6iH`W+ZWB_e?_)M|RH+BynW- z@XWyO9u*{UWcN5Di6grw7)c!2J!wed$nNPt5=VB=9VBt&@cDuyj_e-cnF#kIyGI#G z9N9f)NaD!ui9ixZcF!6lab))#K@vxH&toKUWcPeV5=V9q+bo3pk=>(#B#!K!8YFRK z_e?<&M|RI<BynW-97YmHcF#2=ab)-WKoUoGkI!s``;py~f+UXYo@OL*WcN%)5=VB= zG9+<i_nbfyM|O|Q9PI8fK@vxHPcV`=vU{?S#F6doK@vx{_YRUcayWcJ5=XXIcrL=7 z$o6U>i6h(VgCve@Z#j}Ua{GKD4)LWp#J3=cBljPVA&DcK{~Jjhv=ts!zNybc_zPLR zCsZ6Zt`0g29(3bREL0pcu8yp}35WPh9OAohh+o7Z{uGBe+kEWq*TErfg+n|6NgO$R z8j-}2{ks=Q99jKCBynW*vI`LIL{{&JB#x}U7D*gg{X!&hWc7EE#F5oAE=1Uitlkz$ z99exLk~p&Zxk%#3>JK7`Bdh<5B#x}!X%WIb$oVb=NgO%fB|ybt>F^II;W02UWI@G2 zT+mTiu=L!FB#xXPr{WNw0~Lpx&k9QA3=9XM;vn-uOA%q_D=fzDerqIgWcLRni6gtG z0ZAORgcD}|10-?e@cE4-j%>d05`=q@&38Z&M>c;Bk~p&YJCMYY&A)~uj@-Wbj3f>^ zLICD|{-p@_Aort{aEK=%i6fieh$N0|{tP5>Wb^kTi6fhH2T2^+oX<$&$mU2aL%1K= z9CIXbWOMwG#F5RZMiNIhryofix!zolB#x~99FjP)y-$(Ek?rMLj@|v5NaD!mI3S55 zo0Ezpj_jTmBynVOW+RCso3kHD9NC<ENaD!md_@vRHb-d%c7K^6i6e(+7?L=$y`@Ov z$oBRii6h&)5lI}`oC`?e$mTpp5=S<NXC-#`Ya@vxo8yEej%-dEk~p$^T9L$&&6$HF zj%?0JBynVO?jngJhv#1;ab)!}s}TM|w$~U*9NFFoBynVO%8|s8&FMoDM>c0Ok~p$C zmypDf&3TC=j%*I+YJ~fd&Cx&-M>fYENgUanKqPVGa<~Rb9Jw4mgd~n!4&Or(M=poI zA&G;w1i{K%(KQJ7BfCcfhj=KGII=sNk;IYRc>zfr*`2SE#F5>}ycXdeWb+M>#F5RP zfFzD={%Ry~Wb+Rri6fi;0ZAO${D5@`_aK{}jU<k2ehrd1a{BK_5=XXo50W^ty#nj8 z+pCQvj%=?pk~p&Y1xVt^=3hV(NA}liBynW(nKvNZgKWM5k~p&Y6OhD_&0mcqj%@y6 zBynW(KOl)Cn;)<d;T~l3vysG+&96ZcM~N3Cab$b<Ac-T}E3gT>z1m3P$o4uTi6fg| zfFzD={skm)WPiOz5=S<lc{9R2$mSa$i6fgo0ZAO${MAU}$mSnL5=S=w1Clth`2o<2 zWkKy;Wb?C;#F5RfK@vxa7bJ0Hd-ouTBik#m6%h`|_G%-EBirkYB#vx;0g^bf`4^DH zk<EXNB#vx8^EQNgkj*zh5=S<F0+Kkg`Kyt{k<CAhB#vzU2PAQ1^8>ac+=Fa>Hj+59 z`OQe;$mVZA5=S;)U<Y>dwUNY;&38r;M>f9zNgUbyGf3jd?tg+Lj%@x9Byr?)CA<^i zPGoyMki?PAk46$lHa`bR9NGMKBynW(7vT`Ufh3M>?`tG+WPAT0i6h%9z6-m*jBto& zAc-T}Ta6@+Y;O;eII_LVk;IYxdkBa44<vD9d%1Tb+>dOp43apqz2->b$o2-|5br<| zN49r1k~p%xYmmf|?LCepj%@EE9O4pt5dK28R~tzj*<KqYab$bLk;IYhEy5wb0!bX% z-rY#z$o8H=5=XZ8Ig&WCy-a%%{z4YFKoUo`*BeP3+1?l=ab$bTk;IYBpM*pF1d=$i zy|<CXk?nnhB#vw^`#$XcQo<n~fh3M>Z#I%Rvb{A(;>h++M-oT&?<O4LFObBM?fs1; zj%+W_euTe}?Nvt-N4D1qhj=TJII_L7k;IYh-Gd~KY(DD&gnN+9kwy|nHpd1@9NC;! zBynVOW+RCso3jT=9N8S!gE-uSB#vy34U#yrIju<IDDFWLM>b~<k~p$CtcP&82T2^+ z92+EYWOG`P#8KRXB#vy(9wc#Ob65}Ka1W9=vN<+L;>hN-B8j882T2^+oIOb5$mXyf z!Qmbxab$CBki?PA$wLwcZJ~qpi|-(bgN{mtiGM;82VJ296PG=Ta1Ur}9ZcL7NgR2< zj2DtP^8SKaByrG|JD54|ki<b-e_-Ou#}M`+yWbW`9NAuPs5orA8onO~Dh_J5gSK45 z%+Em*N8aaKi6oBf{_9BM$nG&ej@_NpafpA%As&7LyE)r&h>M@ZuD%?H_-Z6^&=DiB zaJYjcj+}14B8elXH;GdSdy&V5w2{P-%VBRMapd&bgCvgZo}EbI$nLp_B#!K!??~dv z=G&Y`xD(m@OeAq+^Ba-Gk<DL@B#vzU8zgaL^QF!p>_s-;2uU2-d^aR<<Zw$z5=XXo z4U#yry*H7>k?sA6B#vya_*sNIk<E`m5=S<_6G<G|{Dny3$mSnM5=S<l=N!UbWb^Hi z#F5PpLlQ?ezXVAfd3<jwk~p%xcaX%9?d3a<a1XM*YDnV9_PQX6BioybB#vzF8YFRK zdv79%Bis8CNgUZ;@e2rdBAXwBB#vx;Cz3d_`3sT6k<CAjB#vx8&qaj2$mUxji6fgI zh$N0|emRmjviW<E#F5Q^i6o9}KI0{XdyvgnM-oRiKL<%1+5DME;>hN2L=s0f|2mR5 zviUNX5$-`Y-xEn3+5AK#ab)w`k;IYBKZ7KWZ2nIqab)uauOQrmY`!^?II{UQNaD!m zuR;<>HvbTkII{WIki?P8vF}LY$oAS?MYt2$-Yg_>WP6*C#F6cth9r(`?{*|{WPAT0 zi6h&qc@5znWP2Tv#F6byM-oRie+`m2viUcW#F5Sah$N0|zW8;7dyvhKK@vwczYj?q z+5BZl;>hM7K@vwU$DSgIBik!?1K}Rz_D>{|IOymISi52h4)I+`;x0(%7QRLj2OW(7 zGe_zs!d_(c-bmue>Sy8*--RTOY|c9*ab$BWZz1eO7O%u1J_AV{+5E>y;>hN3+(wv> ztUee?99jKL9O5@|h)do<n2&5u1d=#%JNzgPaihBkbCA_9!XYkt54-wS9OBE7#F5+c z50Jz`M-{@-!&fA6&{csjakl#qd(qqf5>Rna`yV+yYa)q*j(~-kV}T@&Y_BhpII_KQ zP;qp73!viY_O>92BilO}NgUbU6-eU9_U?p=quYBLDvoaNeI#*Yd*306BiqaT01}=c zbCB&7fr_Kss|FPZsYecHD<pAbdp(iFk?oB`5=XW-A1aP+ZzEJ3-QKB4;>h+cK@vx{ zcPElKvc0FE;^_9?fr_Ks`vFND+1~$1;>h-jJj5Q(s!(xsd(ENZ==ORei6h$^g(Qw_ zZ$6SZvVR+(;^_8Hf{LTty9`Mj+1{;4;>h-%M-m5JNeat%FQDQudm|vr%@}?`#nJ8M zdxQu#WP4?i#F6baM-oT2HvlS*Zf_D)9NpeBBynVWTam<(?VXP#j%@D^s5rX4r=a5K z_TEDhN4EDZk~p%xOpg)agB-uYP;r>OuyGPqs5rX4W=P`5_PQd8BikE=B#vxvHdGwl z-dd<Qy1ji!;>h;SMG{B0cN3C0vb~3);^_8Xg^Huw`wU4O+1{^6;>h-LJ;5H%(ok`9 zdv&4W==Rzni6h(VizJS0ZxWI?vVV)A;^_9aLdDVTorWZiZ0}Meab$aUA&Dc~dm1W^ zZtq>FIJ&*>ki?Pg{fi`yY_HH$?BT2o6-T$%6e^BxuP2f?vb_;V;>h;qB8emWw+<?f zZtn!BIJ&({ki?Pg-HarTZ0`vqab$aMLdDVTeGL^yxA#AiII_Jw&k*4WI<g&B4olz= zSHU4}fJ58{hqwn0@emy12{^=aaEMpn5O2XDJ^_dL930{+aENcgA$|ad_!%7HH*knQ z!6E(uhq&u=MEoMRt0zIlVd)2U-sy6vI4J!fp98i7NgR1R{47))-JC~IagaI4=W%ho zK)454TmUK#G6!@NEG!+GLd8MmfR28Fi3cNzBm1ipDh@J7i-CawR<9j}ii6BS_LuNW zgnN+9u|pC^?k`s&i6fhH8A%-3oPS8-$mUqSLfDIJ&SWHUWOMc*i6fiy6G<G|oZ#08 z^O4P|LlQ?eXD5<4vN>npAj|<BsRaxFzff`XaF%(CU40OeICB577)c!2o%4{yk==O{ zNgUao#_tgJBAXM3B#vy(OeAq+b3P-9Bby`l9$`MRIgv=>$mVc=K&VF!H%BCKlyE>2 zN49q*R2&x0u=em%s5p9hHvWjP7ulRRBynW-&qNYOcK>H2ab$BeKS9igxd)cNQlaAL z?%9kaj%?07BynW-NPdQxk8aLcByrG@QLuC<^#!6H-908yagaI4;m`gRyLv^aIJ){K z9OCDZ#F67s_#4E0kU7Zy^@NI}yR#K44pNUC{+E%&k<IysB#s<zmfsQfBAYW8NgUZ6 ztse;WpesXR;pzGlySU*mh&agoAh*KQTS3J^VxXfUVd6eW;-IU^VB#TAagaHntwb>K zY$S0|xgEm>>G;inii6At9km5hFZUba9+3JyQ1x19;#;8N&1m9BpyDf`;vn-uN8rQE ze}E*8d{2<rA5?oyAY29;s5rVgMM&bv=1fBpuR$9BTm%&dg%8MIF!%3=ii6BYPR~|< zAr1hEBd5b;Byr?;p9vL*nGY*Zu0q8@<{+mZ<$nnCk<Cegio?u-xw8N&4l)OMKK&Gu zII=mR{~_js%mGCSEd1l3;vjR7(^UbIIC8qGfQp06VP{}qfSJ>eBn}GCGaySC7#Q|K z#X;sHr>iSaagaG6^|0`H02K$RN6vTb3?P*ZU=mrqJy--Gt^)BULn>4pWDatAn~fxn zoKChPi6h(l35U2oBg8!*^O56m8j?72_@74-M=l2(nGoh6+gkz^2gxG)w*e{+vKLwX zGN?GZ`a@80boGCc#F5<}#SAim0en3oviqkYi6gszH&h&?3*=5%J#`)`4zd??bR{gE zJc5eD)WhQa9aJ2o9@$?KEFhJL_=TxgK@*4FH(>!42bqIxZ#a@TviWULagZ$__rvZJ znFSR`H)j=89Ht(2-^4zsIJ)|~NaD!$a<YO<K==!G?};o_9NioTBynVOs*%Kz<8cpE z9Apc~U$A>0E<nZ6&Hs)hjvT*&Y#<X5?rZ>MdIkmtRj4?+IRQxG$mUFdii1o8xd*hD z6;$(Vfr^97LC&Yok;IYn>0cyq<Z$p{2bqkSZkwRuAX$*Tu=F_#Dh{$2Io!VE5Le^? znE){#S$#2*IC6fOha`^d&YMW$$mUpbBFslNr;QsT4k9^_%Uh^8$o<IW_Ch3a<Z^o@ zR2*auCz3h)k;FmaGXWaE51`^8^O4K#Pf&4?IUx10eD@P74pNW2?yH&yq!N;Tklo(` z6$hzDcK<Xaab)+;gNlPpLw5gWByo^?8bFpXFfd$!ii6BYcK>N!kO`RXxdIgjsYiCt zGbC|j_q>COgG@tq4+|ei1Y$nOJ)s~;P=0}mgUm;Ek2zEvqzj}T7M^xcagciC@SKb! zj+{>RA&DcWx1UJj$muPZA7mpWJtLdb2^9y)g4_ekFGr!`Aon1fBP;+?iD`}<k~p$^ zDv`vI&AE#tj_jUbL5TSvk_#!_#z4hE?m>>PBS_-N<&VB7$OJ^Z!0yYigo=aAK@Mj( z9OA)Hagb>!?tzMf%t3Zf9+Eh6`YD5ogUsPZ@>d6vI4Jx%1wo}G1H(?JILLhD^b;)s zG653)psN&N_3SkyapdyzHB=m=3uL|q$P)|<4F93xAoG#yO&Lj$N{IQoNbP53s5mHj zgN_P=*=q(B2k8Qt4@*B@P;qqg(~-oH&Ci31qnkeoDh@ISS^Z3?IJ)`+P;r=hG(Z|b z<pWe4#6|Y+S0r&{^Z!7_LFR*w7K8a$RSIM>X87np#nIJ=Ld8M$BAXur6$hzD4$pk3 zILH=oc!D%CFfcSj#X($T_b)&aM>c;sR2*bJvimPU#X;sEtG^Bv2dPJP&nu`n%so8N z_Vz!hILI7i_sC0wRAPpY8dMzJd@rat$Q)$%1VY6@>XF@F1r<kE-v|{4sYiDI6sS1J zRiN+`fx3SMR2*auvipxBi6gt`3{)K5{0~rZkU7ZezeB}A>XF^gF9UK3qFkB*(#XKT zpbQlUagp8Qf+UV?zBg1H-TV@$ILI7i_0>>uboEQ1;^^vEL&ee6ABKv9d;tni8EAN3 zhl+#DL3aNaBynW-{Dz8y%twwdd0CLjSkezv99_L7R2-xW<Q@ZP`Q{51M|V#ik~p$^ zN}=ND=FfzRgUmrzzW^!@QjZ+JC!yl#>MuaWLF$qH`xq(?bH4@D{okSDAajtzQ$!A= z5;J_Hq2eI(k=^406$hDv?0#>kI7mIRd*YztAX`A;=>T<45mX#x4zhbDBZ(uMKMN`j zG9TGJhoRyibCA`af{LT7{|psJSN{tt4pNWoeqMQy%Mtm@1L}T7s5r<RWcS-4i6gtm z6)KKyekN2LWDc_W0;o7hJ+gm0pyD7`fx<HY>Yh1JagaI4?%9hZj%@xBs5r=cWdFW^ zii6BSR{tI<4pNWo9tj1IOEA-qJX9QAy*X4IqzmN!2&ntLq2eHWk=>txB#!K!e5g3O z`7@y6Aajt_&xeYG)Fb<M6I2}Lo&>0SjzPsi<{-Q0Ig&WC`R}0O=;jM4f=tE?A4#Y< zNIi0RIzh!j_9C0_2^9yaM|OV<R2*arC_FQu?k|9fgUms8e=m|aviVb>;^^k@fQp06 zK~}#XDvqxH5>y=Ko&u<Qo<YSy<{-O=T?wQTGko}<;vn;p!^Z?F4l)PXJ=RchboGf) zadh<=P;roY<nU~Rii6aHu6&2J)22biL9PXba|P62tDxc_^~nA@jwFuk&T~+4kiE$M z`T`XPnS-qUH&h&5y|6OKC5UpV0qP!gs5r<RWcRori6fiu4HZW>zXU1{G6z|GHB=m3 z{bZ;($W@^5>43UtIaC~E4zhbrAc-TJe;z81ZvGFbILI7i_5Y#b=;}38KrX>dSNc$K zkb2~JcY=z8bb;JI0qXuRs5r=8WcL>%i6gtG3MvjVA31&(L&ZVnAgf;m6-QTp2r3S9 z&kU%0u0h2?<{-Q0JCZoE`TwBeAoG#^tE37t88dt|q2lQ3eWBtYdy&l#fr_K6Plt+w zYypMm0;v0|q2eHOkljB6NgUby`A~6m^AAJCLFOQ<KLr&BsYed~M^JH?dsaZ*^9?Ev zG6&f`;%Xq3nBgM_6-PJU9V!ko2iZM-P;roY<nS+oileKqgo=aIBfEbhR2<|gP<U>D zx_>ED9Apl%`wt<BBfIA$R2*bJvU}b_#X;sEtN#KO2dPJP505&?C5ZB82h=?ZP;rns z$nLR45=S=Q1uBkielAoTWDc_W5~w)3`W~n_$W@^5IRJIf0;o919Ax+GMG{9g{|HnZ z-TXUHahUlhpyq#oildv)s{vApnSMl|;^^k<K*d42K<>W)HQxa$j&6P|k~p&aQ=sA? z^O57X94Zbo{|40jZm2lA`74mbk<DKZ6-PJ!5L6sy{sXA_*P!C)=6^;KM>hW#R2<!W zUQLk6i1hXXYQ7>=9Nm07BynW(U7_OW=0`xqLAHRx{{z(g9H=<D`JG7O$mUOgildvq z7%C1k{|D6k?ND)a^RFO@Bb$F4DvoacJE%C!d<M{<An2ZBEszK#9U`ZHc_eXU^VOi@ z=;m8O#X+Wl!iNKDzAsc9-Thfe;>hL~LdDU|Z-I)#%ol)~KLaX`ZvIvzab)xNK*d4k zBbQfKpyDv|C7|ZNfQqA=&#Dbl2?;l3^Le1+=;o_H#X-72;iCXG-vTO*Zhk0|II{UM zP;qqgOQ7N~^EII6cR<C_&0mTnj%@xKs5r=c<nm!ZR2*i$0o44<P;qqgKOl)CoBtgu zj&44e4#;Fg{<VObF9#JzH{Tjb9NBy)s5rX$p-^#<EuipsfSR8P6-PI}4M`l?{9dRy zy7>#B;xO|)pyqFZildu<5lI}`{2Nelbn{<B#bM?LK+XRT6-PH;Mi-<KGkq#U#nH_- zgNlQ6fx;&OYQ7g#9NqkMBynW-=Rw8M&2NN?!^}^Bnm-jPj&A-YBynW(cS6NM<|F6d zi%@Zx`5932pF+ja&1cdBsl*H)PN+D#`HE0+kS<X86hO^4g^Ht_AA}^1?EXloIJ)_T zP;r>~6;ShAq2lP~FG3PWHh(2l9NqkbP;r>~4N&v1LdDU||AZutZ2nKEIJ)_Q`XG}L z`L_dVzA984-Fzn`ab)v7q2eI(k;|VLs5r<LQ20-PnqL4FM>oG0NgUbyDNu2A^Or-# zVdl?(n!g(=j&A-9BynW(??c7W&Hn@yhnc?sYCf9*NCc7&k?UV&BynW(wV>i4^O4iP z6I2{z8Yp~LK+O+>ile)~7)c!2{3@t8y7`l!;xO|!K+RtU6-PJ!Fp@a3`KO@b=;l9y zio?v`0X6>{R2<!WVMCBgNVp-JF9j7xH{S><4$=h*p94_y-Js&=<|iYGBb%QE6-PI} z2`UaV{{+<hX;5)=^EV@jBb&bqDvoacC8#*e{0mU?pFzdZ&1W_Osl*H)E~q%V`ASf6 zkS<X8+<=;I1{Fs)KNv|I+5J&aadh*GpyDv|A3)7-gNmb@zZgjz+5A;dadh(!LB(O_ zzkr&54JwXq{%0g{Wb=PP#nH_dG6tE9DBnIn%~ykpqnq!HB#vyp7gQYG{3NJ2$QDre z|A3lb1{Fs)e=?FdviY;1;^^jYf{Me;XW)U%`yYdfqnrO2NgUbyS5R?u^O;OQCL_X! z18Tk)R2<!WV<d58^R1xb=;jAO#X+`!!bbpVei~F9-TY=Gab)wmpyKG}FM^80%$I<g zzYQvmZvJH?ab)vvLB-L{{{$6>nXdpfpUo5`0?DT+<v)@*viVw2adh*YpyD9YK;feS zH9rh0j_&?qBynW(tDxfO=1+o(!^}5;n!gMxj&A;8BynW(PeH}e&3^<Hhna5yHUAq_ z9Nm0jGmuJ1xFMS_1r<j(-v}xW(gg}12dMdOP;qqglaa)c&Ci00qnqCZ6^EJc0X2Ub zR2<#>%}C<N=I?@vqnm#TDh@M00BZg-s5rX$%;q4KnBl_(6-PH;2`UcK1qz=CsQG44 zadh*8k;IYR9|aXhH@^rf4l_RiYJMA39NqlINaD!muY!uBn|}x@4l_RkYW_8-IJ)_t zk;IYB{{<CCH($sCWHO?BD}b7>1{Fs)-x*09*?cdkIJ)^sP;rngpzyDNnqLMLM>l^m zk~p&Yv!LQ2^O4)nYoX#W^BbV%AB2jdn|}{U9NGM*P;qqge?Y}y=668N=dlEdK++*{ z`KE~^j%>aGR2*bJa{JZ;Dh@IY6h0H6=Ep$A(cNE(B#vx;15_N{{25SjnE5lH=C6T@ zqnm#cNgUby3s7-%^It&4VdgJ@n*RqXj&8oB6-Xr{+>p&zfQqA=Zvhnt=>mn%3aI%$ zP;qqgGm*rR%`bq8qnqCW6^EI>0c!pns5rX$JCVeZ%|8GY2bqtYJ}*PXVdn3En*SUs zj&44SHAp39_;5qT(ao2Gii324!sh_gd?Tnhy7~S{;>hj~gNlR9M^2x4P;r>~C!ppx zLB-L{pN}MtZ2mH+ILLhD@Yx9!hnar?YW`WMIJ)_-ki?PA{|FUFH=o4@WHO?By8$&{ z0xFJfzA2J8viUYpadh+jq2eH0K;i!YYJM_Q9Nqi|BynW(+o9s<=FftP!_0pHHGdsc z9NqlWNaD!mUxJE*%twyjS5R@7`5&O>|AUI7n=fq(Qi+*9m7wD2=37C<LApTU^8;$W zA5<LO{A?s~WcL?A#nH|0f{Me;XW)gj|K~x)(aqnDB#vzUA*eXI`M03rF!MQ}=D&lA zqnpod2U3X{K0;7&kom~zUll42(gg}10jT+wP;qqg!;r+0-5(1TM>oF!Dh@MW0&0E> zR2<#>g-GJa=C6Q?qnp1QDh@MW0c!qvs5rX$Z;-^1&HoG)2bqtYKDq5dCL{8%2Go3c zs5rX$Hb~;g<~u{hLFOZee;8C8WD6+#4WQ;{LB-L{Z$}bGHop%l4l*Cv{mY=@F!L>- z=I?@vqnm#nNgUbydr)zZ`N;172o;B!?*KKQ)d3^|Nr%Yo6D1^ZWb-wl;vn;p-R}q$ z2bl&69}lScp-^#j_ZK0FBb#3d6-PIJB2*k^egM?`rBHEn^A913Bb$E`DvoacL#Q~+ z{0OM|U!mgY<_kH3R6@cH*?dW;ILLhD@YjKggLHwyCjn}{15_N{{8%J$Wb;#?;^^j= zL&ag{XF$#GhKi$`zXC}d+5GiTadh(!LB(O_7eLLw1{Fs)|1**}viZND;^^k{I)O|^ z<lhRY`HE0+bo1?y#F5Q+g^Ht_9|08y*#Zjx2B`TtP;qqgJCVeZ&7S}jM>l^lR2*i0 z2h{xSP;qqguONvdn|~WBj&A-ts5s302~hKyoIxUxbcmdP<&ngZ%~ykpqnmFD6$hCH z3ZEHJ^L?S>=<d%#5=S<_5GoEbAGv(!go?w=UjQ|KE>s-d{9Q=m$mSn}ildu<6Dkff ze+AV1w@`6(^SN9=Dk0&9Y`!2=9Nm0Ps5nR$D10_R&9{Y$qnjUvB#vx;B2*k?K63d` z0u_guzXNK12UHy0{G~|Z$mXwsildvqA1V$r{{Ynd%TRH2^FJVoBb)ynDvoYGmn+C* zME*SiHD3-Yj&8m+k~p&YPEc`l^FyKHAX`A;e*tQKCR7~V{5B+UWb=EW;^^itfQrM+ zzX3IW3sfB4{EJB9$mZXGildwV8Y&Jm{{hte|4?ys^JUyXDlyZiGE^L7K63uDhKhr9 zfx_nn)O>%aIJ)^cNaD!uFNTVvo8Ju;hnfEYYW{qvIJ)_Jki?PAKMWN|H~%(N9A^Fx zsQK@q;^^k{xPw$;hL12*9Nm0vs5nR$D0~?BAnkv9s5rX$F-YRb?oWn_qnlq16^EJ6 z0X4rLDvoac8YFRK^EX4qLFOZu567V5F!KeV=HG&fqnrO7NgUbye^7CB^94OXCL{8% z1k`+0s5rX$PDtX&=6gcL(an#6ii2zcg}(yS`~s*ry7|3G;>hMtfr^97M^681pyDv| zHK67nfQqA=e-}v{+59I^adh+lK*eF^8$it$@C1oK(jjvFtBWL#Y`zIp9Nl~$s5r<p zQ21Ct%};=eqr1NrNgUby7N|J7`E#J+F!LRt=5K(Cqnm#gNgUbyD^PKC^WQ+lVdi^4 z&1dieiC~70ERr~~`6^Ixbn|VX;vmyN;S&HgKL9F@?*3dPab)vLpyKG}_dvyA=0`xy zUjP+HH-9gZII{UipyKG}-+_w5%uj%t{{bqFZa%L!NF^lPkj)o?ildva0~H790)<Zo z)O-i1IJ)_<NaD!mr$EKg&98xq!^|&$nm++5j&A;1BynW(w?M_w%|8PbhnZgiHU9xr z9NqlCNaD!mv-p5aU|>KuUj`};k_ClN1Jry2s5rX$zDVN8=7&JV(aq0+io?wBfSTU` z6-PIJE|NI1`AeYU=;rT%io?vG05$&tR2<#>w@Bj1=6`{Tqnpp;3o;o|{?CA#uK*QC zH{TXX9NByqs5rX$F;H=kEuipU05!h=DvoY`FOoR2`BR|c=;p71io?ub0X6>sR2<#> zyGY{5=0AaoqnrN+Dh@M$1Jry0KadC{pQ4oiNaD!mn?S|U&G&(dgG>X3&km^h2~cr# z_tzqcBb(m>6-PIJ4pbaw{sE}@8=&Io=AT6pM>hWoR2<#>H&Ahy`6r;}Gx&o<FvCX{ zNgUaH6{tA6`EgKjkZGXsft@dr0Tl<iANhQVQXJx|k;IYDZBPjWnT$yP7ohI<hKhsC zM?M!K1}YBH1zM^O+Xt5l6$hzDKBuA_NgVl{ih8Ix$Q;m;7nnH{ki<d$J;D!ZubzR5 zgUm;E&krPV<nujzgFz-k!oL#fe2G&b*u@RQ5aP(^o_QgOBb%ccja@xg40iD;P;rnf z$X~GYrq)2kLH<HM7mhO)q!QwO<a6PAki?PIUq=#0R=*?;VGgo-)_8<Cvid7X;>hYP z60oahNkoVvtIt3ZM>gLi3A_3kNaD!q&nF|)Bd6O}NaD!xawrA6`nO2p$nK0yMW{!% zcPWxM@_8?2Y1q{-LJ~(-pP3F(4<bSF-T^%asRJsG9`DDH#F5=&mjN;Xk{*!FFUo|7 zgGglaCql*1&0hc&M_0ceDvqxHH<CEAdlqDYOu%$cehx$&L?XMV4=Rps{#>Xyy81m( zadh=Rki?PQGcOlp0;YS8=0U_kB(i&+K*iC`{|psJS1*(g5`mbHoPNBK#F5>z7)czt zT)GJr2bl(P59}Npp#qQy#C+uQi;|JVk<B@cB#x|Jtq@@jvU&$3@m!?xum>s*(uM3E z`y!ACrhBF#i6fiuT8u+Ik~p$@p)&01%aFv8)mxTB)PqQ5cLqbn(c^I^k~nhsXjOnr zfcOhJzC4h`k?s8e6$j};wwI$4Bmyx9`5bI*9O8aR;>hkvhKhqs1DOx27nVWALH<HE z|11viFG%9Z=F3%qOoq4<S-coY9NE9~ki?PC*Sd)$j%<!`HNt#kbFz`dk==h7NgP?d zb`8QDWb>Pm#F5pnLlQ@J=NlyP6r^-sQ;RSkIo=mS#X+*5@Q0Pp2cY7h@b^SA=N*zb za($#z2QmQ?&dBDs;}BnrB#!K_lTdMxX(0FHK+oyA2Nego2RS@%)q_+*>_x5zr!^qN z%aGi^7Ag*s1(^@??-{5#$b97T?LU$@vcGbhKqf%!MK-?$Dh`rGHh(cx9NqkDNaD!m zb2NiYfS8XQJ{zFoAX$+4u=4XLR2*bJa{76JL!7$>WCFx|Wc39$nrKZry82@Y}J zR)oFC{_Vygz5|E&3moFoZP?BC#vxvfB#zv!SPT^hnFb2y5@<SHk0uUFpU2R|YoO{M zLB&Dwf_x6=N2oZ=e3&@`?I00IydbB;3M6slaJz>?+_M8=4st%-k0g$4j!P#(J+k;r z9O5r=h|6{%%s~#%C?s)Y_cS4iBdZtgMwo-_o+&uQU*ZtA?7?nME|NI1y{nMKk==O- zNgP>yaxZrCpW+a=?8C0U3y1h#BynVWe<6t@yHlwjVLr0@%}C<N;mJ7xp&nU05{GyJ zk~ng?R0kCYnFh))uyUybDh^8j$o=$bP;r=gSiQdpDh^VQ+z;K1B#vy(5gg*Tki?PA zd5t8FY|bAX;`|dqE`;Pq<a<L*q2eG}kbhzKkJv-SLGDL3KLCgLGN?Gr9N75A2B<i? zIeT%4pMr{m%mFQ-gN-L#hKhsCK^~WQiX@IaF7XyB4l)Nc6$dlt50W^@zb(-6O>YuN zB_w>1$0gPwi6h^K{uoId`TotnNaD!pihDA|d=Lq;7nZJspyD8ZA&0XLk~ng_TOx@g z$K!M)ab)*=#~~g*1>_Qlf05O1$07azNgUZ<|7Rl1L2h3q%|?hL=U>h_2ytZp<|B#g zAhmP)aERy5MVNzZZx@m{a=0zUA-)+Z4jQkUf@JSWs5mHIVvxk&B8em4tN0a3JOoKS z`#gj@k^N<eB#s<DUy#I+{lz^WVGgpt<Z*~QL&eek<qZ`__g5*BII_QLk;IYxH4#Z1 z*<Z64Al!-U{!K{Y$nHOhL;M9)9Nqn&q2lQ7mtBak7uo%)NaD!uw?q<0c7Ga@IC8uM zEJB!%?5`Xoab$nh;SgU06-W2iTBta>ziuIkBm3(Sk~p%z#1~_C=XV_9oJ$buk=-wg zL)--_j_!URs5rX&E0M&J-QS2Lj_m%mNaD!l%x<VS$hFAj=OL&#$X?{~<PMTJvcFy; zi6fVvqANirL&`(serO<)IC6TZLJ~(-KMP45`QGQpt03lsNM!f_gNlRPkDRX9*ML+a z#`9t4ek(!6(bWg6MO6>0UtX`nF3z?dB93m3^ahAHhy=M4X0I7k99@0xMvzK`J7M;A zK*iD3UqKQ_4mYVysOG@>vuaRrkU7ZeZJ^>HT_AVD)TcwmLF$p?YZ4Cey*R{ALdDU| zSKJH|fus{;^L=oLHz0{4r)TZm2y>9*y$4CW9H}1B-h)t&+)uAW5=V9q+g^lv<b08X zB#vC}b>a}ez7JszvOE7Hi6iGPrTqx?$l?Z2aZtH270F-0P;pRvA=k4tNaD!lMhlWS za=Eb(NgUZ<f(H@qL3Y0?k~p$E?Qw|5LB-MCpA8j9cmFITab))|LJ~)I{}v>1WcS+~ z#_s-TBynW-7vK<|4i!gt|3auZy8AC6i6guJ29h|k``;spBfG!+D0cVvA&Dcqe*q5h z{ZMgq_n(A{qr3kHk~p&a8IB>`gY14OBynW-PdkoKkDPwiB8elr|2PiucTjP3_y2~9 zqq|@01j1fq_ZuOJBfH-PNgUbz2Tmf)L3aOrBynW-f5ag!a0+4$y8ES|;^^-8L=s1K ze;|@Lviq}<#F5?q{4~T~kom~&|A!=w?0$(e2=&O~_E2$j_j^LcLFOZuKNU#g$nI}I z5=VCbbR=<P_kTExa1XNkdCnokk=-eWL);cBj_!UBs5rX&OOeEp-Cv6&j_m%INaD!u z)VP3fC$hLbk~p&a!*GZ<B8el1^ExDPWOr^u5=VCDT_kbj`t&ta9OPP1djQrB`2-aQ zg%5H)$a4{-5>k&NyI&GX9JzfMd<~%<xg9bQNgTQU-GwBMto{~~ICA@N%1wy*AQIXA zyP@JB_al#waoz^0M6|nL?KA_ZIJ)|8cTm;C!bjmQL>ygx6OuTx`<Fg|s0WeA?mP+= z2bqJMPCOogRARa_8!C>jzUc|7dYC&`L&ee6b3TQr2Z<xQQxi!XIh_9^i6h6C)-!}T z$l}pB#6w?TSDynF2gxFb&ornw$eqaXc<m)fB_tgp+xr$O4w40#1B+krS0E9HdSr7h zy+(*5oAVed4w40#0}IauZ$To6c!7!UgNlRPi5!oA-eFhI{2o;t=1xVZIJ!BXki?PQ zDf<Cp4oE$+xE~JjN*v;=aEOb3f|w5?k;B0fDh{$2*}vhRK`Ig91M^oLnm8=n>Y?K3 z<`{lOH3z0X6e^Cce&RP&^)P$4LdDV5+x<XQ4>O<j7j|)Fs5rVgslTzS&p{K1xu**% zj&4rMABZ_1apZWMibMPW4)KpT#8v-dH$M`G_}+gI^&k>C-XB86LGDD3cV-6At=E|G z&V?op3ukSpIJ!9x8BxuFsb^(^h@-1_Wrm2u+zAWkOsF`z`fn_#>S5*|V8bqc4=Rps zjuHoU^_pnnF!#7a#nH`C<b;?55=V~rAROYgIK<cC5PyV2T#O65y*1nraaef5!e;?g z9OO>qcz=f^j_iJU9*8+0^~mC0IK*9fA?jiF!otl5O&sQ)T&Ot6d}Q|=MiNK1*PkEN ze3&`KP;qo~&LD{+oAXEj)f|{R*#sft=;p*Di6fhHPza(P=3kh5o<haZ&9N4Ss0WE7 z*Vj{!#F5S41r>*x4;$w>1{Fs)=PpznUHwZO;*eFTko>O6z`zjU0J)$DWG+Y!WDZDt zA5<KAeIWSOSCDv)BSbxDt_oTGA5deKfq_8)BnUdEnSp@;q+SQ)IR*v>5j1g2sJH~0 z_;aYZ44U{g7l^$IXyPrd5OEbW@r_V%4K(qvc@XtFXyPXGA>syT;<KRYP0++IL&ag~ z2o`SdpyKH6glcDi%@e}ZGeE3mD1a(}i-Q;p3=B)q#1DWjuV!Fi_<$x3yVuDEY92^C zDEwjT_B+tT1%x36TtO3W5Qm6EuYZBZdjd2<pvxHH;uoME-2t)?Dc&7aA?84fZMgak zAV)DUFvvi%1zfxUR9P`FFqoi;8)!q!@j(;+02NO`6W;)h*cvqP0$qqXQ_#c>^dRDE z(8NDL#gCwgZ_tOR|9~d`0Ge=Qpcx4i93Zb27(vu~poud;6I2PB_ykjk`UPm>3g!^; zGic%g&;<AcO}xPpqFx1>USRIoU<DD+Koc);f{3p{6F2aJh`&G+ckqRXt3dCyhM6A# zols9e6Hf?+sGox-UJwQmzkw#+5D5_%fv!7-nLi;0B5s2&9t#mqK^KpMi1(n2$3w)o zpo=F!#P6Vq8ze%+S)c_X%sm&N;yP&J1xXO~A?V`C5b+u`af1|y_!2bn15oiZXyOg2 z5cS}VQ^@%)9U`s)8aP1`zW^1VfhK++6QX_(nz%wXMEn7octH+CoCCCp0?GV<T!=WV z9D;?9K^{ar1x@_|Xn_a22L`5oLNP@B6EyV!<q&b$Ir=d54=NzyHlWQINbdPi1rdjx zI}THCPz@2Ef~NjLBSai_&MQoPLk~n8c77yGd;+v!u7OsBFmZ;J5cRP0v|!>2>mcGh zpv4(T?qt{q5r>^$0#h%r1tPu#O})TDh&XINH%$G4(-3hR=sp;j_=d9(@jYnb7j8qu zO`!W!VCowlL&VRZiGO$j5f_23AAzZ7;DA&hFVMsr#314YLJ)m0^&2E0;vdk&CrClW zIiU3tOuc|KL|g_<+yN?XfF@o56`z16ZUDWA@dKLp2dH=ew1EP%cY`d%-VNyDau9I= zQ8a(aL&OWv#T6jp7tq8PC_=<##8Az-pac;QKodWp3=wZZ6JMYL5#NC(-k=H*e}gWr z1`$_**7Gp`E>MSvJD`aRXh6hc(8MP|#V4SN7idD%A3+mO(1M8nK@$(qhKL718-g(R zd;o1SV_;y&K@(5VgQ)L76W;(8UxFsipbt@h15JDZRQwB?_y?%Ck0ffmG#En6X+aZL zFouX<K@&e<4-t2eLN#XssMEv1zz~Bb{sAgpfhHc{1u^Fcn)n3JWo`@%3=h!6KS0I* zpou#KL(H*|Ms-gCXt0@qfuRIVya6<b$iTpG08M-XXmL8|er7cB1E9so3=9k&&~_p` z9zm103=9k{XyOi_&6f-e413VTKU729$s>#E&I7d&aSb%_f;xz}3!1nBXi*yj149Cu zcmY(r22K0`RD1@SxI+WP{1<5A0!<Kc7CBURPJoIlpou?#iqAk3XMi@$x1fm^K*f3F zQO&>51F=^FP28auBJP4Fz5yye0Zlxh52F4Kn)nB(xCXRc4~xeM{SfsfXyOVJAmRtm z#5X|2c@$CI?=TUfJ_1er0#tknns@@}Pz_Lj2Tl9~R6Ilp)qIBO5c3zHiC=(<zd;jU zFax6ALK)SZf|(HU7Bq2(SrG9HXyO91A>uO7jwmeL9zex2(8L$afvDetCY~@CBK`tR zTmagy)PeS^VCEM<`>h^m;uoOeDQMyb3n1n<povd_iqAn4{{R)=fhHcX5Ms_1H1Q2k z@egR?0*fH(1JqE%`2kdX1Dg1P#SrxZ>Zs}ymO#Wy(8L>7L&UG3iBDJq5jTMj1i->A zU@b&^3YxgUI*9leH1P{i@eoj_4ypY%VLe3s7BumI4G?h=XulR_ufRr#cnO;L1*rHH zH1P?WAnHw^{au(j0h=M>Q_#c(wm`(cpow3Aiibe^$1rmyY=x-bf+ilY4I(ZA?Ki{J z3v7pom!OGXfQny16Q8gHqFw>opN5&EuoEJlgC@QKD!v0v++i0)Jqxt|4KwEgR6GDp zJYhFP{Twv$4^Z(JXyOffAnHw^{dk!90(&9i4QS#EpyFrH#0~aA)JqtmrrQHh@f0-i zfc+5l8_>irK*j%{i3c2jsP}-5E5O`&0V+NPO+4TrMEwIa@e5FK9b;5?1{{K@uRs&O z02M!iCLVAYqFw+xo&k3cR6GVvJm3gKeF2(y15|thnz+GHi25~X;sH?c18CwCpyChE z#0`!?%=v>R-T)OBfR4Ms-2VV7u7W1+a2#Te1)BH*sJIWBIKv5u`UEub1gLlkn)m^z zcn6xe!bylZbI`;apyGef#1l?I)W?{i#;?F>i1;2f@dHqC8R$3@EF2onK-AZui5r}S zh~GgIe*hJ?fsRYT%-L`bqJ9pVc*A*!_#ZTJg9{Mx80a__%$x^M@jYnb6D~s3%RtAs zVCo$%LBwmLjA@1Th<xC~KmV~rYa0nmZcIcVYnS0U>Epow3AipSWXn$vI%qJ9sW zxWRRZxQs2TdWIViaRW4Q1E{zMns@?KJONF70aUyJO`PE-#QX(l;t5dkEokBkpyF51 z#2Icu%z1$(?f@14gC^bp6_>F?_3sC$xDA?k!flB80che2pyDZL;t!zW6=>oLcOd5U zpou3y#h0LoUx145K@)el3o+*kn)n8&_!~5Fg?kY7EcU4Wod6YgKod834pHBLChqV8 zBEAAmoZ%%z`~sTz0jT&7H1UR45cLYs2`qSic?}WwKoe(p0}(Gl6Hj;x5ubx5uJ8^b zegsYY0aW}An)rhE5cL9%sNs|F0U~aJCa&-iBA$RI{s1c8fhNA-6GZ(6H1UMb5b-By z;tby);yloCaai~qfQp--i8p+QsGox-{@@oxT)-LCUjct1;udJ)0{<Z5325RQpyD^s z#2XkvE@NO|aX~fTff*w1fF^Fh4iPUw6HnlPh|fV2SKx$*bGV|K-@peEH$W42;D?Au zpoudGK*Sr+#1BBlJ={>ue*irnrv^<t0DA7s95itS=s7Y6(8NDL#owTbD?rbSk#I+~ zHvoEGj0>801N2;&0yOar(DPs3poup?&tc(#PWXX*59%T~K+juoKofreJwK%cP22%` zUdak{ap<`rchJNqK+ox5@kH|%^xO>{G;smwIT{gY;tkOAG8)juq32?(K@(4ao_}!z zO`HLG4#fvFaRaEh3Uq!67Cs5k^BR26#1)|DFO;B(Z-9!=K@%^4o~LjGP22!_4#FKY z@d;3I1#i@FbAX;-V1gz-0V*DYCawTI*PsJUT%Zx;Lk5N|XyPBB=Loz&6IX!llmCMz zo&XgW@IiIw1gN+Qn)m^zxCNT{2dKCYnz#aVe|rL&cmPy<3Yz!?=sxx}XyPBB;y2L5 zFF^OPe?SutfbL7@@J0340;sqGn)nB(cnF%f0(3ul4x0D^sCWyS_y?%?95itU=)Um_ zXyOIX{oyar#6LjA8T?S)?*QHJErBLJ0V?i;CVl|A-#Z0OTmZVyxdu%<0V=)(O<V!G z@Am?lcmY&g!5`I~0nmNC4rt;ZpyDxT;ttS#wgqV73!vgHXyOde{j@XC#1o+6YtY0G zK*j%{i7$ZeXOn==GlOy!s9gO370*BuPk`=6+JPp10lH553YxeAbUidfW_D(LadJj# zN@-4Nd_hrua%ypLd_hrWeo<ygC0MpBCqF$tDYGO#Illl&q`0IgH7~s+10tN8nwyL) zTbfs#nVy%L5}#X|Q<7PbgQPJzKd-nX9;Y<Srg($+3W)s~DLDuymJ}uC6(=T_Waj6A z%}C6NFV4v?XV5E3%}LZNNv$Y>XaYGJWJh97d`4nkN=|BgSz=CUYJ6s1K`F8lnAfqG zo0eEiNM%uKdOQ)z^78ZIlXCKtvorJ3VXiL7FCt_*inf&0oWx3)=KKO=y{RSf$)!a_ zsd**v7);48%1z8eQk0yZSC(2-5?_#5R1#mDnv<H0B$->96Q7))mz)R{1BEy;9~7AA ze0U6(<|P(Y#^+|{l@_B0eQHWPRyouJ0M}Psk`kYjpPrc<pIDSxk`WKLATzHdH9fT` zz90u`11S2-5=&C!p}vIq8ex4}W?p7-28uX(B1b5O1x-<EVorQXJ|v+a`6WFS60V>i zDv2*HDJo4ai7zNALYM&YW<HV#+&1KN1y2HHiAYKz0UKYOoR|X@PASMQfEW&rh>X<a z?D(R@y!6!g{IvMuoXq6Z`1}F}P?pPusslw3EJG$E3nu3lfcz8h7aZhYmRgjPh@=4| z7wQs_nv$N1RUVpe((;RvQ{#(Lb5awFQ{xl!QsPsJGRsnn;)_y?^K(i;IT7MmkRvkl z%JQ>Q<I_s>z*!U`0rEvbQGP*UIw;bRLIffW(nml#B{e6t1YvAE$eQFtxYe0?nI)Nt zIhj?d@g<4H+33>X5-hnW6>K}2d`W&mPEJ`a!X?G2CGjO0MX8A?o+<HZ`9<-ic}0nN zDftj3NH)Rz7@wS&lLOYDQkj>So0%Ms<iq%~j1-6@&g6|q=f&}P`6cnGg{6rkXhA3k zdlPHI!kolT@ZNNI}D0n0We`SGBf!=RU!Uy`cl?iZ?CT#}fa4N(Y+`qVN|$pi|e zB)B*z&asF?BPl5-vA84^p3q<^8)76#D<pp-_@yZY@wtg5$r+h>=?GD*VFB?5xYUl% z135h%L?xz^t`A{RN@`*;$lUm%R3u}do`Fh1DHIj(s0USgc`&`8FiR{dO00}e&d)7K zEJ}?p&a6sB*aor*ty+Uire)@&#+MgmmLRDwPs}U<=UY(H&P%NTm0^i61t81tg%hZ9 zjZaKZFG>YvUbsE+Nu_CyAUC?hO#;PBQfZoJngOa9+>^<m@}mOkC$LGyCAlT>`FS~+ zc}UKMs|N*2a(-?Sifl<{ZYs30PtAx=D@x3TXodR$y$u6vfk2dj(>m6|28%jS!4FDV zpb`@7UQlL^FUl+iRpSUjkjLSUPRT6GOhK{>QZ*#zr9cb-sf8A1#idCFMfvGSg;jiJ zT0A6Wr$UtHCg$YiCo||3mlTyGCNbz0SLT9ga9gJ+KP9mwkpX5*ZoHvcl0}kfnxV0! zg?Uo4sZqR<X_7&TVX|esB|~aOYI12wYP=<aX=04Tv9M%7F10Kzkkuh^43XI8XnM?% z^&oK!k=SO)YLGcbNE~BRBvnSHsMZ)7Ajuk=AgM&*7@44JLldwtMrbugVjCf`O$?3E zOaTeN!qV8t5-Ie}QZ3C*k_`<EQjHRm4bsx$larE6(hSU!;|)>GurNfj7){R508PN$ z07)?t+X#tmXkf{p2T2Wj$;BlW;FJbQIu=L{#GO1W5Xl3<G%>bBa14>yCdeEM3$%2D z&|_k3f#4XTDKs=dk~Bv%#2lf|#2ATVh{QHSR)fqjLgpBoA}KR6MYYG!07=%^1W6?d z$H)Xtn<2V@0h)k?F~TfkB(@O}+YpIu0^%TNKMNxy1B_52F~!*2*u*r=+|nq~)WXmt z-ozx)(lX7$BHj>5iy^9u%?*&`kl02@Y(oPSYj74N=7_XnjvDor2q_a|B#t2x+XTcx z_Nk!(lB@-qi5AEvB5@3n*yd<@%#rmVaSYKE8X6!;ni!iQX)`iIHPg@lS=QJTS*a<y zQY2Yp6C{-=93vBSZD;}(#t5xONNf{BV^p0MMhJz*M&`)b9d}AW(qf2U8yTV+ZEk=h zhr~8CK(PjAN-;yE6a>@6*b>1pL}HsDb1ckIla>WSkBKo7#}J8aj;6;PSq~D&5KW<> z0g|MNu^Ey!BQsPp4GoZFjZKkMqHv5%(X}BdH8w$&v@k{(V}!&uF*HU~XoOH`Y-ENU z(>SBR5J?LX+r$`&V`PYGkD(!wq_F|Q1S2H2p#gCYFlEs5aSre_H;y+&qy_}j#MlzS zF|;&AiFHE*BuNWYIZF$KJ`-akjv<;tLjxp9b2LNDkqtrO7@{jQLsp2)F)~9n($D}+ zz`_(+D>BE(6kRKltg#8Iq=hlU7-J;12{OkBS;Ej5%>*M<zPS;SiAJWxB_|{;h6uJ1 z659}oZDfE@V`zYCy&*^-Gc`3ez98N*(L6QHFgYo{xHKu=geaGoK+=_&c|4S5ZVY3Y z8OEC+(i(zkVr+@v7$UJPOi+@Yr3FHni7^t#5KW<>0g|LSnjz*0LkyAF#%2g{6BLe- z8LA0}1}I8Rk@OjvqANucurNWWHa0<3Y+;Nfhr~8QVw)HmqiHfi(qu$j6d9r#VQz?I z1QOc_iEW6c$It*t(#QZ|fT00#ZZL)?6DZ5vI3CI}GmJMzBohSF#MlzSF|;&BNgIX+ zNRk$)a+VedeI~|89780wIYNyg65H4eA#Q@gF)~B7#n1prsj(@tHe`+wGRM#q-3&AV z3loGn#z<@vLlab;7RE>lk=Q22NE{<1wuzxJnh8coCKwqLmDvrEv>>sKkl2PuY$F4N zdP4)^tT2Kn6e!EwI3CI}GmJMvBoqYG#MlzSF+^fpAcRefkvN7(Y;$BaW=I?(Gb6MV zha_ukilh>SV}vYYXo_wInt+7~!W?50G{uG{M&J%4c%%evj4!^_7)cU7<bvFwM;U5_ zj#PmM`at7<@j0o*#mGjWn-7wNcdv~Rt~4<;Mt3HffQ1o4wXu;AaS036OmjmdBaqle zNNhtSwuy-WLW7|Js$qs80np?cc+3cEP(ecnG=Bsh;WLDUJE0Ldi2BqL&|s<oOe2<> z)R4F^fTVmQ3(I&z2-Cy@#xgU9v5ZaNOe1qMn5dD3DU4}u3}cxY!dONY2Jwc7tb<^h z7+WGZhL(nCDIQ7E0-?^t7>Q$u#5PB$F+yUS7@Hv^OpMIXZ9$SXHbqj2!ZAXYF*HRt z15Lof1YwRb65GfGO`{=_q_HtVlL<1%5Lp75V{AlZ)S}vIZiukR7>R9y%rQceFf>Fp zzz`$=%bg}B1_<Mfkl2O><fLOr(g%%>V$CYZGiUL|(6moL4>Ws$2e69^Qj;^&D&rxO zs3|E12)&SLw0HwZ;<tn`Kp6rmW&sy7g)>dyEOVHw8Jq>mEl|B?Fj-Kxfr^>H1x?|y z7I3BsOw0t%GKLA6!&zpAFfmXrgBomT5O09UZw4sY%F+@cWnzrPF+^fpAge**7+Rnj zW@vyUX^yN3nPY^+F*ZY1h0HNBLpK{q*4PwDn-Ma{&=g%8nt+7~LaQ+n+X#tmVrYV9 z3P=E!#Ep#+CYTr+8z7aZ<`!wDsm4i(iOJ>`28PLrprQ6eOG6Wbcq3E;EsPLm7#krQ zfqOXC5Y-5CLnI@R*hWZfLnO9|i2*``5fa<b0J@0-vLuEqaw#VR^kgJd?Gwx)2Pb@h z?q7q+LRNXgcnl2Z7#P4ufk2NzfJ;D^$ufL`p63FSfSt1f<DX=JoRJ3O!)S-)kb^2T zK$mARFff3&)q~c|K#vn(fNp<fIKd6EAG$3Yrk%k;1ft&p&A~AJFnSj!L_f%ExMl_h zh5}KD{*Tc8;V?OL_iyFI?*0rBNcd$y?FYFZBm%P+=6-KBi2X40VRWK8#C{kbMnjE* zaT#`Vfb~OHNy3F0GNAek0uf>$7R-JS8-%xUV0ZroQIKmH7!E+=ALM?JUJwT9gSme# cCj<B_6p$D)zEJ^je+G0v6v*A6tzGE)0X~;W=l}o! literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..35375260eb6fe92a835d4b027b2214d208d39202 GIT binary patch literal 395784 zcmb<-^>JfjWMqH=Mg}_u1P><4z|g_Y2In|{Sq=<b42%qpVZk2FZzMcAKYMom+qaT| zfx)Bm{tJ)-kLKMOAd~o8_!$`(jx`?uak{&ofRuDM-(X;1=sb1sm;Aw3(u}7%uO0ly zdhi*u$8i@G4v^+<8-vD24;UC2G%sF$-&v!=(d}Zua`{E`b4C!S`2l06iwe*83$4dX zqPx4-K#W{~(?|hqMhYMsDF882#G`jN2O|T6M{kLW!0X^{?-Gx0=L|~SiDW2;;U#e3 zcy_yKc(fiU5%B1CZ~!sbk2^>(F~Gu!(W963)W84#Jvy5~Vd&9(L?YTT?(l>O6Hvo@ z70BnK;f<Cqs2Sd&j^U1Bj-ier!2vFvhaEf5dv<>F?ELG|yT0KF4}(YN_s(mbr(8M@ zdUn15M{M&C#*#AM-lYmhc^Dj<_ZA%GVPGhc-U&+kE}B0XZ+djT_v!rR()kOl+OzYR zi{|hDhkZJqyLA5Z>HO4r6D;2RgR#`Wv+=0KP8kND&i7z3!;^4Tj-59=nvZZqJH|N1 zI>tH1$G!w5a8w~sVwo^ug2(YT0alPKC{gcw0ZQAA$9}LdFc@AkJPFn|0R@0^vp{zr zB$u|K<<jd1|Fa%^&J4?$F5N5^F5NK}ERL67I9`73*!-B$qkEbMBLhRXl#Aiv?jRNy z&Rd<=zh8IcpL&q<vf}UN$Bg__4jBILyvcddfq&|87ljip{M$~rcAj$N_*G)**!+Oe zvH3HjE9YSs#rv(7Kv~_T(?^A;M7{NPsj?&gwnHwQ=Uo+Vf(2a^Zz%rgbW!1W%?Vf7 z?V`fb*>-@HfuY+)g{QOa2rJmz3QP<P9*xIVure_C^tz~Ufcy>)Q;+5&0@2Wrf&_y{ zcUuAz14B1QXI}vu14Fk1OJ`dN8_1M84UfiS5p0l<bKH@_z`%ed{J_a2Bsc(CAg)Hu zpaRSc3=I4&o#2d~0pfUcH-7*Hm<P-Ei>(|bQ9ix9BbXQ%d^-O_9N6u^0WBU1K(PoG z^z1z1aU8^B@aUa<f{B5_@SEXD#~q+F=Fxc^ti<pe$d5jq|9v|jfCXMlcyu>wFflNE zV_+<G^MKd_mcdgLfm{eGiUR(Fiz1NgVTmlxG5+v32NuRsD^gu73Uc{N&;S4bgY@&a z6#W1HpFA^I|Ns9F&Yz$}3#)>_l>wqioB;}C{+8QJprZ8yh~v@S4GM>DN0!b*-!CZ( zg7c>1uKyqh9b)8fJ;1=g;A(ig^?)P))B}+E%BOobI47Jq_(T5S3u(p^orezoVm<hX z*|FP3ToqhR9drbnvlkQzm!BGb<6nG!C&;5aKt!>nqjHWo3qqho!=t+yoX!NgJy@C_ zGJe0*dE)y8#S1GKOE|$1X?XHAQ|AfJ6RsVHJ5PPT)Ox6twey@~=Si2&lP;V$J3qK^ z-YAjeJm}JS>-!}a&RdFy9KT<5;XJ2!?fW&y&QC6_7fYm|k$jv5T%~&Snu472az7}w z;jc1b`MX=hpu5I^<?^e`?>qlBKV$6t(ENdMBcrR~rPd3jTHg*a@=rO~`i+0;0fmDc z2V1|Dh&%94J@)Moqa**60~`k(6+So`9B}2|c7cD}L6^>J->-o~$Z-cKq+5^ix9(+N zU{L(!YIyS7Ax3b}><2lpq@=rB22@OSHw!SLv=PuspKcwC?hp%>%Wp5gYJSA%(cKIR zH)9R%02a=x(9i|*njbNCUif}N@rB{Z<_C=Yt`|G6Hh*OV1p|ZSi&B=(i=Edw&x1mL z7bxypf0VL1cAo4!)p^l{^P)@V2^Y=_C1RWh9XUTaDjxcN$&vG-tKvn+?-yM=Pq?%m z<Zo$YU|@i`crw_<h*WY6(yD=`kZu;E?hqrE%P%g!YJR{7a`SN)6>!tUqq`gIcu=cG zfIE<-GekwCgpKo1XNZabdMuWx2!OJ-;|_jsIz34!4};P?C=dVp1J1*s`~YoEIL5~w zp5W8nHiL<Q!Lgg6vrT~=!~m6eD(s*V4^~}putN$xEX5tDJpwB0_N_%O=z4cMFoKF& zaEdd$<kR^L>`IT`-4%==qmTqPpbPw9W?+C6GI7}K1Lt?F_3?t^`08Va6X5!I!U>A% z<BS88*2lQrf8Z28_a~eNyMM!JirhcrIHm6QINr7bREmP4yLTTbIz1YXO<)GaD%SQy zKzARwMDA`wYo4R$W)X{S7Ymlo>zChOegVp-i%%8twH_$p@;J_-0xB0?>vkS&{>a$+ z6Cwo4tFNV65AaVt*vX>O>7v3?!ozWpf7?kAvy(@qn?<FwErJEy5Mb$Si(vsLXi#eN zXgub?!oc9!`2duUzzH(~(F6z}vhCAN3-@wB8ZIoIZ9I^M3$(rV0k?ZW?F~@%r{LN7 z-J`n=RE%_cuz;!zWr1&p7)!XpT`F*BMH(JxJ;^`yfM<6<xXBU70xFc14IRE6V=UnW zmwtvPUvnCsY`p{u)l;3<Igf){R-NZKk9C%)@RUe!eh2f9f4|OoT=Dz&OPm)Ke}dX) zj@|w?zTNHyort3E@WJ1#2Ol#xKX{;d(6QS@!m&F<g5~nN%deV$%GAmCI&(O7+c4}< z0QK-rbRN9?obzAvVIIeB8;Q%$Ie#@jV{d-M-dUm|04fPRdSg^Le0ob%BtVTffqg6t z3=F$K#7<C$$#EwrIfAQMpU&@DjKSa-1vTutT~s6-JKH7L!HGb|v9n!<oq@r#cmD(? z1_sZ@;|$<p4XoX#^Pg|$2bfNUXi%dXk|qLR>CdCP4^&}$K+4N*24luf78Q@~X%N9a zP$Amw$-?c$(&?kZQo_o4qLT%Z2v4vxFm(2v0hg$C2_B8dHh^3KN_}9f!ImNtV6YF0 zk&fL~j-YmQH>acG&u&K+SHlC2orfJcFPDh8a^7_8mg2n3&8T?8QSq>&;=$L<u7<b2 zUw7<wV&OdA{G7k}5r69eewPa#-EH6&4~OE<ZU+|53!sJr=XH<nX<*SP7H%oU--<UB zZ#s4!=se}ZdCH~p0H}E($_Z*zxN@F$R6P9sq9f-CSH&A3D-Lu{tKeW@aOrHT;Q-|- zaKku(15^ZneH4vcZu@k%U4Ydu8l15DMTZll5mtN%aDwz=Ej}jTE-xRP#aCW7oCBAa z7tT>sUNYREw7kUaeuj(q+`r%=*!>?aQsjPv`?Pbv!WDe(KX3)?eu1kLxj*3<?cDEh z9iRIjTnD?~;08tRpYWD;?oYUd&;1Oy!R`;ZO_BS5{H2}y8}8zBzrsDR`wQ+-<bDlS zSqjHbaOd9z5AeC);UU=l6CP6J{s<o0x&OdpeC|(p0(Sp~CltB=fDG;2|KJ%u_cuHT zyZ^#-iroJ}nRf1Hc!kgX3toZU|KSxy?pM&Jo%<Eu;&cCjw_x`Ryrand0Y<cQzrzQ7 z?tkzB?0$of6uEzg6Yboe@EM=`8NPtsAMk}D_rLI@p8Gu--++22o}FJjJOA!m#{e4S zd=75?dN%J-0S$UG@VDf1fP~km%wS+(a6HzcvH{%0@AgqC@$4>9L7s`Z4C!Eix;F`+ z{-H}Zk6iPk2bvchFTVth4<~qZZc$mmz`)?r?IH(ilovQ&e&N!2viTunr;kd4OXood zE5)(9M+MYH_3YlGg4Rd9dhjpn!KdI^DGQJt-6r~AD=xq5EK#xW=-i_M8tnGyT%*ze zwkSrQrLzZYh~wqgogX0<Sh#fFgs^NtR)I#=J-gSafCm&26JQq({$M@$0Bn^3$SU8? zM=sqZtPEiL9526f=`2w(aOwQs{G1VFA835VqtivDqC13@rL#uGptDD%hk=3N@~h5^ z5X($lyZ5Mo2GU$Qe;)iPfAFQW3*%46&NIyqA2@2BcQricc<>La<H0A)p541Z!&abx z)BxG+)Xk#q()si9i%u664M)Rc%?}tsJ~d!uU~uZrQD*@OyKo)?DS6BJs`)8nXO4=F zYxk-UMo^#N1!RcSh4F=B=fUO&4;(d*Iv)JV>Ui)Wvrp%B-|i-Gh#hxPkpS7{+|9z_ z()ki>mjuKv=k6R1kR1}2;ik(t8h&%(yye*Zj1d$J3ZN0a5EYdY4oA*wU;&WZ!HJ;T zMMa_c0VC&yQjX&;DhZ%&FUakn8BLHHa3p>MNqBTa;>@QzM5Uqxq}T$Yc#aB);nC~N z;?dcnq5v9*>@8*S?2Tga?5$B@@$7YEap^8m0nK};*toWS1F6ygsp{OK0-CBT5qE99 zT`B}~s|09L<fSlZ{^7Wb3OHsxx?8~M(xbZvVpr!YkIuW@GK}3mDg~EccgCm~fZQwr zGPHXS*a_V>?3dqn?os&xn&G?wnW{AC`~hK@fP4myIgrVq;O!P+>V_o4%da{^R5ZG6 z*e<{CyZ}+I0n?`g(g%(OkM1ohpakUz8li-yBT(#1_;wxwB{onvx^%mkGB{p-0n0WL z@C+maG6&R=X8;8%D495Ro9KX&2Pl^)7~TMR6y%$38BN0v&CeJ+OH>p<(yv{(Tfkm& z<h;@Rn6WcPMFnJ(2P9#b2!av@$OsRg&M9DZAcH_*?bIzJ2s6n8r0O-?1Rui-j-1ed zaRALHxpcayxRkKFa31J%QE>p74~_)a)&r%2-7YE)%?}vC(GPMr14tSilHXiZ3>d*_ z!>1eKMvv}2Dj-jIbk6~&E0AA7nI5be<S2%29~F&m7Zsh(b1t0UI!ja}IuC)Szd}@G zN~Afjfdm}CUvuUB?5KG8`$f(Zj*5p}I%8C1x?NOczF%l{QIX(pSqh5t<1Q-T33iX} zDbRG%0!}C0ECS#Z0*V<2P?UiZXSawLBw8K7qu`w(DlQ;TWPrjDWB@ohxQH^q(gR3y z21qj~t->{Dz%=K09B)yX0MZN^-|L<NOBO=i@MHmz?-mgT*$d%7bWc&40@8HcMI{1c z0LXSwpgMN5h=5`nWOGEfh&V`_i%JAUPl!qk$hiR^4bI&xjG)K_DGWeFwFo0bM*v6; zG}7N0q7vfK3yMt8<R6HY;Q<<o>8(-m@aXkX2>^`}MfmjgfIYGgH2Jv;L>L|bS2oQx zDn1OQyxlG;9?d`G`P-C1VGgR8K!pSYs7zJx>0Y7&;`ww#Dk9I`Ju2XlxZV;Kg_lP` z8jicDfD03tQqS%wDj-9;ome^#e!tktRl*N)nFY*<*OILVVC)iMkdzI`2vC`G9Ap(J z^;mS5sMvIVfOt3M`^C=V->)m4=Y&=x37~)ir(K6{#~Dl5L1q}d7HmBLG0p^3yr39o z(CwmP(t5H)xb;9OKcr3om5ndYLSqS>(7TIRx~(|B8(wPu|GzY}_1ke56>wrMaXs#$ zq60DyJTCa!s`JqITdfE9T`z!~0nY6RuWtc+-J`cgMFBj;0}khx`~Lm^-yNcYC}zN; zjU_4?;PFOwkKPa!iPwUymq6|hQIP>v2_XB9Gl9tN7!`@`5EYrudz|M$<pp#sQl&(U z^B;&0%0Y@hzF+3NrFfy!MMb6CMMVXiixf(VJiA-K4(jHB_}Zh}fdvw%KFBfY0bh#Y zssWl}#aM~~Ykz=dPcpv$|L@t^0&b>wG#&v(HDdPtuy5}c6$9|B?G|uLz_WLY$^;e$ zhW#1)#Tj;i8X@2y0o4^C4oEr!G{D<gqEfMc#{pC|M?oPBPFygL@NeVb-{t^n!&r2> zsMt6{nnNxq%^{=(G?0izTR@|MyxK(r5*#|9_6Vfu(*ka_U|;A0t|QPF<VYZ!Cjl`} z24o(jeba*8zCm&yIQ5{Lr+{o8D4l|06*Tf|0Ls$}pgb+%)9a(6u@5xdy9-1Z9)K-1 z^6lND0$S4mTDD_hcxfj)xN-U4r*jY3Tj0P4MZEzy&}&o*3@?Gljy*c>f#sl63?AL! zxoMDH9<HF}7T-NO-}!Vt1ginX3b<SbW%U!_>4X{;2gJ-fL=kkY1|m{@x=U0l;PYLb z;K&7+&WOnMVC3KCz}o4flF{j+l0ztV!G$Y&=tUrhUIZjIVnDG$ICjBhFS>aF$mRt= z%nJdTM<{k%R6c;pa?qS8N&?&i7Dt#0;e!lrQTYNYkb38Ui))YG9<WMK90Y*kI|5sL zgW@>@5zpYDheoMSca2JcPv?KMsP*Y>Q2|Z<xEh`W)#RX>+oSUxEW+VY+@cZzDt#Cj z7!a|%2P{T7qG6Mqpg3+(0X3;XA%PUlO#Is%*gAW_Qk^X-B8;HXaB$BCM^<i80s9O~ zV1mSv0~5rD1m+u1#|hq}!JVyJR6xrXKrTd$`#oTBWV1o)AZCLipSVN->fB&68zhcw zHi!=~`wlb_tN_)ny*=RK0~Bc>+d*|X$cNaI!WM9V!cs%`7I2pL>HH4T!2nAYSQEqo zM$k%+lRn*hz@;`g)x7iQ1~nEKJUS2gASH_cEXe{SMle};fbu`sDISo70a{)N3L2z@ z!OXwSfxWXw1titkqQXN&!ngo30!zXGi6aLvhz|+iD?}%Z6FAHUi6fg0;zP_n12r3z zKtTQiMJu+tq(ud^xCUfAdZGh~BbyE4L(Dz`O&Bwv2?HbpN*Ey9K?wuoLtF_16riw# zftnyZK*a=>GGYQGN%(>m<Ne28LV)JHL0&+V5OY*OVu+*wtCl>VwE?K9<^XD=`t;VQ zBp~K54G(}k0!l{Uq<0hK9Z>lLPA;H~2I{_p!V^@OU=L65yeF1)01`(IPY@pxo_nBi z1?sMY%m(!ku$#RB<V!4OgT#@|2Js<gZ-K^D2Q;ogG9WL4Y~KeO$=L-W5cM{wPF7$6 zP5yx=4t+ZRfxQkA22I@?UII5K(V_`fX!o|LG(cj@2Q>9P2hv&Uyoa1^3y`ucXd#SG z?-mu17$UA<1qCe5Kz4)L`ruV7FK>VS{~xk05Jm=jbbj8q9^_Bh8Z*eE7U=RSXq(cb zcQ>fEfeY@GXJB9e`^}?w_YNio2KXE)Vt&D+@l6M);_3Y6(%qNC!oc9u-Il-t8dEt5 z8^1Z}*m>IV;BQt((9Ak$9OtBSw~d4|XlmW1^W^3CmtQ$H|CFmUbp+3^n=tGIHMLwc zPhNh;`OmS}na8nPhT-yK&dZ%QnjbKC-n{s-`5_}{hWJG1*Vp2m*P5R(cV2`De&csJ z*ZHsWa_3*L+UCb#bvHOqmx^9|XZW@GDI@3W5-!kcZBPim&IjA80I`<^VebRZ;}CmU z7%o5HJOmnpfLn2Z-{nN-zl-m{g3XV>7F;j{uQMxA;ov;g8KS~d!Upa+bAZ-$g2#25 zKY-Rqad`GdvmAF(;Q^&k$L?t+EDQ{t7a^g<c(L>R!T+o-y()h}vduLr91OnQ>oiyx z82DT6fg%pJ>dK|_fg@;$?cj4(&0n1tFN4M!1U#BgusC+-urgeJ+nJ*x()>%NPRkLr zX4J9Ug%#p4&5M^GaDM1?Q4w%#KEmVN?V<|u9H`qW(ww3qz~7vrBEk=9E`l54&fO-= zpvVE4uHn=z!VHO|&JY!yIt?rV1~OQ~vH38Mb2p2`<p-Rg?u|~ji;4y)%0N_#iVib> z3o~d)3DgY%_Z~srR*RRSAhFIEQ2VDu#R2TS99D+rhYvJQbzZ#u7Nn>G<Ude91k?g@ z?G9mOaJ>Ag`In4K=jG0Kbt+JwmLPokjPo5xkjJq*hLz#+V;9cLKAp##AM<zK_<lk0 z12}&gep|usxDOQAr97^N-&$`w@=rbH()qFZBcp5Q2gmOh9Je#Nv>q(Yb#49T$UpTs zC`@5Cp@ivCs7)@c43{4`avpNwyxRPfzxgje|C9rc-wyHfPd%t`(2?VK=V=#?&n0q( z-<tpOJMd3A#=q?_|F)B^9N%3zKYYK&c~0?|i{dd*!`s2L^9N`Yr@)ba+wt$$T0ii& zc!G*1SPJmyc2TKt>Ne4U$9{JUxGCV&&7yJnMe{G2P8SssPy+B#;c)D(;e{uF=isF< z&;$^o%5eD+c(tBLw~GpBlt!fUQ1c^x(DFS{!Fa0q5u@R^=7;>9J}M%hK?{D@Pn{(y zJf7WcFPIq^x;+A#YZy5g_*;@d>wLQRfl4Y+_n5=*8#sW$1xM=v{+3gmpoZx_kS0(Q znB#RaO1B)`X#{n{EkLpY2)$?)mDqYBEU`ehBo);XP=5-P$H9(xsRdrR;i6*kQh}3! z!MR%poVMROciS*seh(@HI{$UPu7ef?GLYZ`6+n+UUqcE469!OW1R4S;<!*k==m=hi zS!(Xs{8PRLstu+5I114QE<cWQzHffc$lvP9%)rq6ozb!LKPZ=h_lrPdQU?^1pp44_ z8Vd!baZv1Deg}%l5)~d$OuB$#5+&JzaxMpQRt9B3p5_!44p3I+;fLp7=WY=uNbZIC z5XGs-ka8`gsA~ofc51*1H&CAU=;a0F6mTqoIyoB1^&&Won`=~TAOlnu%|Dp=+w?&z zhfs35fMa(bsL1o|o(3w`IuWa`pbJhx!2&A!8M<wZz$>u8duSB8bBtKP#hMCe5teGN z8%MVc6Jib4W6s~5F)9kp$9TF$j4nUo1dSc5G^eO2fPz7V-w{$Pd3Nsu)k;W~9)--3 zfGz4a(eDn?XMrsAlB<*Lb>--mVMn&(Uh`3&ZX12jGA~HU4-TUQq%cZB3Zo2A7$sl} zqZ~*WWq`xT475TYC5$|}eN;S*eN+St4}3ew2p;eAF?LZA`3@QecWM2>-|`>a5F#9q z(BTiHfRum-qzs;blt2kcndTG~2~a@F&^90gkODFUDIg<20U7X80z7cxqQdbqffdyK zYy&kke7pO=QF;(sgC0a}W@w&p?v{~s?hcV;={$J(_2pO1Kjb>Eb>6L$ckK1%aO~FM z1h+FZ4_<!CdDpSGn8&f(#PISn&T9_ejxm*TH$P=^+y^Q_N^P2d$k#wMnZPwY<NS=I z=@Yoz=Dc+gG}O!A3M%TFKQTIXUh@S_$#jRPICOV`(!NjkByieC%%CAn)`5!z$8HlX z@a!45V&`z|j?rQPPp|NRB2B$F6to0UXcs7-fa^y{k<QV46y$!b%g;FPb(W~06azBc zApbjei*SI$0Oo&GuRv;UNqBST;%ms<h6dP2pw`v}P&we!3+ZO|)~Hy3Mz{!<0}nw9 zqfyeh0I1Y+=<Wc8he!7WaCp$CEPz@JN<1FjJHUwtVKHUp!NqrwQZoT1yi$<DD+3f> zgv*3Gpw<vdco8TQK7f-Re@h9t|2=#P23Uv&poC}$Qiw)?LNowdDH{VRWh20)>~B!( z86`vw&|4OUNG%Hk^p*uTBTDnd;N`;a|NnzJ#|AGifqFjZ&7o~zL1=RbJT!}LB52!) zXE(G#1m11%()auS|0t~@$PSC%8qijX5)}?atLWv{A81O!Q;(pk*#p#oLY~Z6_Y+Mi zcqRs<)BvPZ0?E{upnaQ=+zp<A@a&!jYS49ZL8c)*yZgXgM;5~a9*svpT|T6l1R`C| z{0GfBxLwZi7o`h}(%%I2{XIeb&3P`Mt#RG^ELcJP%^R>e{2Pv)2OJOnV0AqB0JM_Z zQS-2Sw+Op)cL+O+OXp3;%dbGKq2ID~_Kv*{9FE;4?BI&PMf2w6XD*!A9D5x=Jx+F5 zkCVOg=E0XtpgEcQ7eNg<P+53_-{r?^MNmV4z4Ibe_Bx8JOXs!D%f7t{ETE1kJE9}X z`MXrU^TWXxOoksWzB4@5{EU(Fa|thO7U`uwC=)mTmaS8SxQzwjHc;0B;x-m`STW6h z@D&rR=7g>IcJ8(Tt=+i%zSBm<x!c6%@;k@o-?E)0DlB#Cn1*ux=wwkrG8WW`WN|$B zim6)$G&{utS_H!0$)j@db>}f~j&ud>M`8zUS8(Ka`3P#VLHmm=U|T`0QVr*B8yir& z`nPOn4Wz&50jhBM;o<z0^Jr&|iUyLspe>Q${$jU}icaV8=I894H7Xh|hL;TwgZd)p zo1Zf_KV<I&NrKkL^Sk`)tWlAH)KLMP*TB<jAth{_pF3kz0=P?50={2^6vz_CT~uU1 zZCA(cX`t;1ofja<gz-Y>!Gk|pdv(r&B%5ngBtZRb23Ami`w^(bIPRhXo@D5J0qJf( zWCho^pbl{Ws5|P|UBk)%ZlQ)W|B|Rv?{($C(%B9GSIjQD43{5pg8Uf5zs*G@pwmSq z0K^FCbWsTbg(0}=cLr@t?Ys$IR>I-jEn)*nr=Sr9P@fwVh9-zGd<Nd$z~R_@0MzFO zB?(ZUn+G!H0E!@v<`fkkcK#NA%o^pTA`=5c=R3#l7*hsN1Nczq#mlcjE04gdNIE}& z{0Hi8gO*pgcKd+3+b^4cNw{>L>%3p50QPAOqO<*+^M0=@4>*)SIp~~6XO2pQPv;U9 z&_udVXNgLN1OK*ToyVYC=?vdGw0w8m1qyah&-)U1%i<xI&Wqrl_Z7$QR~)x7y0ktl zEpctV1nQK7f>#wZA|27|h91U;d);`z!4B$rA9Ue7&A;t*<4;f{nSaV*$8QJ!gZBB| zaOAkxdDw;Ha*3khTZpU!|CFQr+fMUuJK)N3&6V@Y_p6*o70<dTKK1ENQOR)R-*)W# z)z%mMEuaMlpa=ptr$N0lPzZr~XVAX41V{`NOt8MUM5l|2j7N8lN<^J{uP?Ulw*)vz zgy_P0-=MV=65T#3GW^>>Z7lGtB&6@%_z>igP9GJSP8JnN@0$fQ_;~=dK?vUcmIT*N zdsM))d!3KaE1P$Gpz3Rn3P_Vj=d+ia_!t;a%4^g@H~>*6cy_meG{HLhC03r@`@oj; zhNyU;Thk7<W*<lcsA}<mj)H>whOb#c)ujilUICebRIh-zFJ1W<7~H!}KxK&I<#(V! z1tlJ*ZW#;E4(;Evb#{=l3$?KR<_IpVZP+hA=e!SEA;jMK6EYGA9;W;HTG6BP!OJte z3=H667<uf_rSn_oeV5L!U|r46!Q*&8IM0_#!UhW8m+&2DQ33S;z_Izd6jY32FPD!& zoB=ME4`Z|G;ybV?tQv4J{01tVOjI~ecgCo&fXy#q<-FHv0@{1i{F%}4<O)W9m(!g* zD#u+^KzoM3Yka_Iu$x6?1#}&S#B1RVj4cOB!3#4GD-pm$Yo5I=DxlgCl#4;**r2(7 zP&Ek>2Ni>$QMCvV2UOyLI2qj~D&SPud4lr;e~TKZ*$!G(0m`PJ=zyeq8_-Av=wu5G zkQgN0n`nSWDkM5fRCKVFf}m1Q1Dxa`r68z=(E$~H8lWY4Acjt-3uL_oW+@0-Py<N_ zB9<<lH_0s!yM0u6Kx13%ogpe5ou@#%v_e#PnjbJXKV<HNjGcA%sI-8J{a)w_yIy!l z#{)4>1D<||Y?%fHDnk}iC^&(G_HBVz==gN!sAPb<?H<iP*!kOZco-NwP}-gWpm{rx zW>9A&qMO6BJCp%wR03%z1hO;`c_<_S-h)qR{wYz1V<;p6r3aq^3gLuK7nKAMBc;<t zCB?Cu-?Li`yQLMN_(mCjseoHr)BIBwm!%abmeznqD7$@BYG8q00SojRc%Xx3Svq@E zAd@d^R6ty$w!H(<f$jon>pOtM`#BeCctd8AK?OMY9D;5L-`GVZU<G5Tcz27+5(Wka zV;7Z>6^!7um!Me|hVBrRg62n{g^d!57dw4a6uL`PWI9V!R9e54=z~f!1<sF(prvst ziWgtYfCN-P*||hTq4^;rEPBByp%XL(0A1n3-vU~bL@?IDqoF7fU;&Q+8$7XYff4~W zpvVR-yRiT<Y&yZ~Z*a#txU+#`sQ}zk5j?RjfMTf#@-Q+es|mnjT?88IE-D6{J}M?2 zy*Vld@W|ey0-6*hGQRhyfM#tON(H)Gz}mpEJ{7c~4=I;HR;pswl%U84t(OMXI3B%_ zb&BZq*nZG9$8KnK*$Y{V{j!c7H0s3e+sy`QG=f$oGahv8Jmq-s7pvpJN6etPiWBbL zJOa+$Ap$I&2OTfJ1P!&w);T-&dVyNL9N?BOXsF4B^Q>cU5ooN&05sMFS{cpW`4Q5E zX#LOca=!EZYjuxah!0*0fi_`YYkmgrWwe53xLi8Vc3yMoJm2}b`7wL*Gsf22{4Sq4 z&y@-ue9L4A>Y{M|16SguIn6(0L1VrkH<-ZP@XV3(Ba$0Ffo9OqEjV}Z9aHD^=I897 z-o<}@m+LNu=Rj%PL<Ky<1==Zkt<yxM`4gkz$!{zwjQlRAI<Zt%-wr~Sse+cEg0dB) zf;q=|jlac^g@M7h*NX)-x)IUM>DwKP<6tFl_rkGT#~3my1{x;UaO|!zW&yXobwF7{ zy*G@*v0FwMF)H?$6SN{(qxl#pq>Vvi_n_*Df18hrMyHR828f{p>P&zZj)KP9L8YE^ zw+U0{!OQPDA*<n>yG0nmvDi5UTr<?^LL$=!9+1yDzjs2`U?2tOwazVI<=s8tDVc5v zuM=G7OaTjlx{{y;@rIW`5et>~QQ_cs`PEsY!UJ*=XhA>3Nj4xSy@##{bM7`_y!@{D zhivB-aKQz0k`CNSPdWc~t^qG4acn*W>K6!rC;cGh9JKW7?g4A=JO;5IWY@tLOrTyC zDAt>wfje4IHK1z41vKk}Jdy=oubKc_=EQ->wT4*Msj~C8eda?gkX=*)2o{0h^=c@k zkpsLmaskCRj*8C#r8IH@m3$7M<<lSrctN#eH#?}}gAW*Yo;wIWzYCmyyJd_(wt&V; zeR|zkF24n39_d~`j&2(+SoVF+`MbA{r`yKr@^j9=oiCdof_nC#smtc4jIAg6U0!zP zsFZ+e8%QaxBi4BkJab{;*li<l`8_D+YE*3MltHG-z)giDR&W8%Vg&6c+H||9SakcS z*uZK~3uqjjf^_*o6LX;MFsNu(;CH#!8KR<sRHA}vQ_$#`0w|UWFk@*CxZZ^nqad~5 zVzh`CJ+3N1i4nB+6O<T1bvnG}bWy2+R-4?%A%zvVrF<MxDua}Ng9?{`6o5*2kb>?S z6$wyn+Pwx`dUyALi}TJ`ioZa!j-98${dbWP4N#>jz<G=FALmQOkBXpHvWViv*Wks; zB9MI)0-$UJs$NCF3up!STO>jK+-?^Yyp1aG02oTzG62OoIF?NCq%8xKv}FQHQU;wa zDh42iNvDg7360X$9PqkAc=B2UUUt|GNm%eiRR>O{$O-B$$hqLebR68lIrx?dwB=<D zcxm3j_e`)3Pv;&L(9%1klmptV0b0%oYS;Qemo;KbKYu`R46cSjI>6~CmK!zwK-NuR zR>Q@}9oF6{;MG;A)o_UosG5fKCAUE2A&Y82u3~`pBd36Syoiou4}=dLp#%*QB8^bG zcFX&Av$=HMgiIE>Fy2J1za2GCJ9o=KDsWIo<29tK!QXcoG~d?i1ggbh^TIbFGe%CJ zx&<^Zd=0ev9Z`#eyPoe~ZUXIkK`cLq<OWcndGzjqEc)p!QLzA5)L>t|^g$m21`j`h z25SRAJ!%akr8_>O45UI@wJ@_m1v*GW0+NPbUqD0FkO+CX=imSTn3aC#-Og*B_rYEP z5A=b?IX`foD-}NYmg(YqNW0=Y*vQg+a93Et5gaV=$>f`tA8;Oa>;(l2c-|X4nT%*y zfP>}6!52(mt1rHW4C{a6ce!SG7&MM2gJ@b@?vx?3X>o${f^X+n{+2*ySnC2@9)PNx z43BOsCw+hlYj6vrTSpEw5(X)+HM(o$klGijz0MrnENqD8#RE?8IA`-=o^BR7Xo-!# zPiw*iN>!l2L(s?`BP4afM)p9d3ndsqePj-#8XP>b2b$Vv2aoB2N@ZB91++E{#0JGU z2dIa^0Pdv17Kk-3Q31&^H!lIVN|-%*bs_1bMg_B93tHv|>Y6h6c7DiW3<W1kc-I!G zSGyErZa=`c^93l7Bf2?2RE9^l0QUYRZ9BC%ETvMXwmC(m0u%u??4Sq$Rhyuo1VsRd z4T^vYtPuc`1w{a;tBV`~n0;J45rERo^+#Jc04V@KX&JMd>)ZK`te&nCs18Q%>4Ixt z6-ez1>gl3(Zhb*3lpwV){uBUd8X*sB44n=xXrUY^1&FYN!W!I`0G;pyo=g+K689-8 z2F%SVDkg|n$I;nEjrf<`AOHV%>}L1v=0ompA}^xEvbsT**wqb;rL4_Q8B6t>f5;JB z*T8wJ`4J<3D?hlm<_zntd31-UWcYLoA+5lLuVa9mdxgA=!9)eIih%{x#!|z&ior$& zJW6N+Tg1S^zl}$wlSc*ASp)4NVgZFYc(B^J+XS?x0aTiLz}7X$c7~|<fM&QrYddV< z%QQfJv>Fu;=o%-``UX&0>I2$G*X^U?18ZG-fVw}BL3hYBlMi@`2HdVjUXP*yYEK6s zw}(7CPk_tG<{A|p$Vik1xG|K6(eaT0<qLuZBzO!IrGd%;Z=mvk0uM*$hXd5&gD-&M z0kumxz>D^_fsPb)QQ^Ti>PMi*g>%#ot;Yr0ncD56;sOg*&^A?2&lkLm5Y$_7>4cP2 zpbpCva4!_P*2f1i+?oJtb%NS3$e|1i;uJ^_CxC<41g*aU8OQ~t5=em$;TyZCNI(bs zKm)wSE-Et6!9LLPcLwC5{F{qP1tYk>0xGh>{goE*z+^XMoD$?O#?BCxf=(ZmlGc;_ zE$oa844fyL!F%&dKuO3&rQqc!)P^5)8WC$d?&AlPcASd}*x?|h6`<<G0o>dI`~79n zClsZSrW~mL0W~K<y9+=X43IRmd_mEG&l&cpD+(ZS1Tz~H6(F;bo0F4%{{P?n2^<v1 zT~tayO1oWDN<e!^m3>qSK*K)Vj^Nc(u7>|#^SB!R2T$@HckTS=0y+fr2Y(AUXo7*5 z`U14l34KLij1go_lnOjU=pd~K1Py}ZAgu_z1?n7wGK4~>i;4n>0Xlh41vG;JYVF_~ zgU2>73bPzBFp6n8Vqg?xIp)A9D6JqDHzJ*ojx!`<fQC4s83Po%pbj`FQ-C_r$e99O z^y~qj1Oe&IgA{{vhdbI(xQmJfQ3VjVRzoR(47y=nM)Z&|y^QD~gS?EThm0KFwa9ZG z$cOHAo5**E$g@CJV#?OZf?D1>NGma)a=rt#x^3iPD=|R>pq*PFy=3TW{LU%hUNSTU z;YADNAOcW8eqdl=Kn_T74r|_{f~8NZ16nzZGPDfNYsZl`xk0j`v5Shu3dT~Y?iLl$ z-XCKZ6`K`|rJ%77km=B}1jKYyJm%W^zl7VB^Pi*QF-OJYpu_3@yLA5ee!->nLkUv8 zVMfX>48&!Z7Vr@y;QWYaVeJ76LL+gG${Yp;22j4y2Cbw;k4MPXad=&Ft8)riJ^wa{ z$(;}i#044C*#efOY0QGo3jmEmgN_93oT37nk%h)AC~s(hPi*Z0pErRVrAT=LydNAq zQUOv7&KvD$9T>>ALL#yU<m3R1@CBVc01sc#c>;LC7o-*?d_n3#0Sc1ngjfLLf`mI; zAg2?6wuXbYG;w%#Q$6Q^c4;AnFKB-!Quu;K?m<}wqzXs)g0_1>${vtnaQH@J^iM#0 z>$)M^tBoO{y@C-`_%f6Vcekj3wow|lsDL)&fmb@C<dNf!ipRit#PR!e*VcdhEvCeE zHCj|atJE;!3M2?^QLO<VKGXbD0(4vk$TfA~Jb}Ktd=A(kc$~fJoC4MdiX@O>oe+ya zT+mrIoh@KlM^Lqb5?MGGn1YHqLJQ8J#T;mEA1Sg}Kp_EJn!g9U6B86!AXR9Q^|DS1 zv^8sw3dozFu0`iN-)>(9T68a%K-~+bQbXdp7vNza{?^#x-odyCIv%+56xh44!F$}D z3>Ou&P6p(_Z1hgXvC&S3iUYQg#naJ%Z)8aT?ePS)ZjrMJsD@@}u2DhhXmn7!qv2}! z4cylNWk!Y)q2rKV2DFg?8Yb%Q0rxw=ohNXI!$qY8w3P}ps?B*2)OvJLDS`Am3LHC+ zy#%e1L0QxVUMmFZNJw~eK11piRD49~6+pU3pfVCvnSw?NK}~(+)y8X4`yJ2&WI!f^ zw`(D<HlF(x&1_Ip31&8k4Kf?Ki?a0_ng-Ced6)(e+oN|2xb1@EfpGM`3aDm*=>f4p zdO%evlAdPF?ux77Hy7~50Ie=61tr{&4PCB=-(EAjc7Av1{NVyx1SL#dqsv7FTOS2! z*AmX9I%vC=KwT5+^ig1zBl;-lm0FAnqK^XFGKJYk!IrOx=%+wBGRS$#vlCi+VeO~H zP_dr^^ERTNg6VBUKLzA%Qu-;BRp8)B6=+WdTnm6EST3OVRiLF4tgiw&m;^a6Aq5oH zzKSgk`YOj=Tfc$&D&HLyVeK$b#sT$Je&EVB9K>ZCNLK=sb&-0T(7p;JBG-U>7x1<; zdR)!{mklWGGEk=k)DQz5#7JUag*ved>aC!}F4q1^3zq&0Jda@MuS}sue+3rCppFKf zFb0*SC}9jz4+>+D1d06>>Vz?<FM<-rSo<uH!)%bV4pKRUrO%Q=ai0Y#ufY2C-#~}w zwSMPsu>`f$2@iKcx)K<90@TeIf_)ZPkq7FJphOtfeoG7Z^g6T%dwGnPUi}spP``zx z)QGr#3uGA%e{14!@3>%JcZA$^fse4&sAzx|9ienxd^-<9sw}kmksF{by+{LVE-Dg4 zjK9F|Swz~`JcQ>*u!k*X=LH(VKCrHSjY<M&jSf+r7x2|dNC6FL@>4KNGH{(21yVaN zk;HdiI!NujEFq)w;zdU1rHahX%OT=gVZ*fZf<0$pc3+@53)K4s&76R875X#_yaa=F zUwEKLAvgbEFUv#S-C^+()Q2XjC(id9t@8`g399))ZUM1Dy=CN{xF`DVS@22<Y&~(J z3Q}zIJdh?~j>=%{KOKC>1X_U(nd*VmzQ{c&Xhgz`NJtL~6rcEKdq9y1UBOr;f*hHU zX`R<PNRbH<g2fS*5e?8}GPt$_4c0?clQ1uY)P5woua!nzE+1BXEqF=*b+%Ab0;snI zO$nfE1e)*xB>|8^<c1|umWK4UK&b$v7?h>aQUPcj>a{*fDgX(=QUQn!8gc^lI1xim zMC3roOb^Hyl<qI6R|RkCgSuKnqH6|^5>Vd^HA+C8Go&a1%@Kj31f&p0lt4OXpeO+; z#u6o<VLr4d0SSS^5)|toHYiF!L5YYGQf8l!aw0}g?j6;8a#Wmk!Z{O5S<f3Dy`Y{P zYV?A-a!An&I=d1Sy+q8D^fC_^bIdO?ao(VK-@?t;$7L|-*tVhLIi4%@f|Qh~Z+ zoWF(b(*OS;HLz9VAT=+KUWBZB26K*FM5}Q@qOe2*VuKP5sH=@gG%rj3gG_A!@1BNl zgf<7+191!1^F69Bf;7SQkU<Xkum-z?6=dB@5F2(fBZNkrZ-IVa_Y78EJoj~5u<?TK z>+WFVrR2VDk80}OmxAv89US=FAHfNB{|Ziu++Wc|JNMt<#^?SD9<cjQ@KEIb6`izm z{|`QV?w`RAcK-{0irjx=0`1%{A%xHUJA}aQ=MbjI{TwrB=Y9)OeD1#?3U<GS7)9>4 zm`^+RM@Zmv{|^bU`#mHna(~7$+PS|%8lU?mWWesvkfF%^GuG11{WIk7x!*z_?EVgU zirjx<3+>#$LlK|*Bb31IU!g>i`+w}Fo%?U7;B$Y4D%kxeR4H=5#$npI|A#t0_s`G( zyZ?m-MedI{MLYLPXybGL4sEdeIdmv;f5%1Ix!*z$pZjm<f!(j6Pm%j~+@PKNBMkAm z|A!&i{T@aXx&Os|+PS~N1fTmQOu_EYFr~=-63=Pp{u$=@+;3q4c7KNjMeg@_M?3fL zu)^p52y3wWS6EZz{)(@(bN>xneD1HX1H1o(9YyY6@rQQq|KWho{WBcF?tkG(k^65j z%hBw<VhI;~?%&}8c0Y$JMegU|rk(pO-0`{phCA5(8Xgq6-$ICX?vL=o=l&mFVE21? zQ{?^(N!q!;!WW<WCH%nd&+wzj{WBD3=l&T1_}p(12zGx*AVuy!p-wyZ?+C`{{)iB; z`&Wce<o+Lev~&NBFnsQ>2nW0WL^wt6*D#}<`+r2@bN`Geu=`&`QRMyzTiUr_A{L+f zcf^9-&k;wF`#W4{=YER>eD1%I0CvAdB1P`s;Y~aDM<nBO|Bqy_`#n-9a{r4U+PS|X z4WIiZ(!uV}NT<mC5>d2s|BOt0?zhMSyT2ogBKLbF($4)ma`3r7A{Xrb6}c3-zaoQn z?!S?b&;1nzVE3OWpve6z@@eP(A4T}wKcg7z{ujj*x&KBP?c6U>hR^*w%E0dDD5uE% z9CfsFzeOcJ_ur@lyI-S<BKKRg($4)6HTc~BqXz7Lk6Mb{pV31*_gB>8bH79b*!>v| z6uE!KWZJoZMiV~wTQq~+-_cBw`%lcGo%?sR;&XpQ8`%9T+9-1WkA<{z|BViO?yu+s zyZ=NdMef&FNjvxd=*H*%89iY4zv!XJ{Sg~z=YENPeD2@T4|YGt1d80>v7L7Ax0r;_ z{Wm6o-LEm3BKPmuM?3dNOvUH^A5+2Z_n1bJ`(GTTo%<_h;B&vkOtAYiW>VySiL<nG z|BTuA+;1@l?Ea296uIBy3hms#V;(;DN6ZJif5m)?++T5<cJ9Bi5TE-i7J=P=Vi85| zU-5``?*Fj_pZjMl1-t*nQi|Mv<0b9fFR=oj`**AWyPsnvMegVLNIUmitj6d58>_+Y z*H}Z5`z?OZ&ixVV@VWoTI<Wga)>GvEjQ_NAf5k?8?w8mEc7MhuirhbgO`c}+Uo*Dg zbHBw_u=_i<Qsn*<ytH%wj_vr|AF%`M{uMhYa{mtz+PVM6E`08<*bR37iQN>rUqgm= z?*FkDpZjO*1H1plK8oBQp-emXOB}@K{v8Lw?&ml}k^4KeXy<;5Blz5Z;|SRO8b>K| z{|-aixj*7KKKK7P4tBrC35wkR!h&}0uQ-Lz{Sv3a?$0<)k^3baXy^VJXYsk;;vCrh z9p@-=zlS^R+`r=jKKDml1iOF5MT*>C;YU07-?)s={S{Zh?muybBKNNdrJehKT*K%7 z8P~z?e{r26_uq)2o%<zj;dB3vTVVHd+@{F=94WMOzr|gA?!R#t?0$`V6uI9bn|AJx zc!1CSKOTVH@9~f#_h%H*&ixgS@ws2(3E2G^PbhN#j7r+Mf5tO>?zeajc7Mlnirjyq zfp+fS@e-f=BVK{szv2}|?*GwFJNMssgU|gHZ^7<A@s=X@YxL93{XgF0bN`GFVE4cH zK#}_+rqRy*5})z8f5&IA`#HW)<o=Giv~$13H+=5D@eS;Ljqen>f5#Hqxj*73KKK9l z33k87FN)m%Vm0mDU-1W@`z8K@-JkK7BKJ#drk(p|{Kw~h3kE*${$B<@iuV8RqMiG9 zF!ABpzZ}5~cK-@yirimukaq6B!HUoQ6>MPlpJ1cN{VPt;&iy|)@VS2mC)oWjI4N@f zjq|i~zXT6H_wV2VyPt!XBKLD#r=9yP`0=^_20z&S8Uhr#-{Kza+#ex?&;37y!0z`D zrpWynPig1=3Q>ISmk<NHKSPWn_s@7kJNM6!z~_DoNwE7nBq?(LiO;li{|;$<?vIcG zyMKiYMehIci+1k6A&1ZX74l&BpOB}>{TfUPG@C#Ap@`4@GnByYf1yN?`y)7M=Y9!Q zeD2?&3U)t-8b$8!5TKp=Ei~}C|Aq$G{TiASxqpW^?c5)sjnDl*w88H8(4olvFXU+F z{t7*O?w8O9yFWvpBKJ$E(a!xd4Dq?&!U*jC4kL=(@1aXO_wO*l=l%#&u=`h-Qsn*$ z6WY1|hB-d>S6G1Ef5L(y_ph*~o%?@S;dB2CYq0xYSX1Qw8&0%yzl0q=_wTR+yPw0J zBKLE6(a!xAj`-Yv!x8L$4JV4+ZxKj4_eZ$kbN>$)u=_n+DRO^C1nt~k;f~M!5*}dp zXLwNL{u%MKbN>u4eD1gK2D`t*n<Dq0NTZ$mclhFSe}o^{{VV(^a{rH9+PVKm06zCu z1cKdvB9J2YYn0N?{Xc^7xqn6o*!?d;C~|*94ei`75suIOJHo;4=ZK)l{T<D;bH7Ct zKKI{<0=r)$nj-h_=%StbBVzHn|3@s?{T^`?x&OsP+PS|X0iXLN62b1zNTkU95;JM% z{u#;m+;5Qrc7I0-Meg@lKs)#ENW<s;h;*>~SEN(q{)*+abN`J@eD1Hv0=xf27Det~ zv5t1`|B-{w{WEgG?thU>k^66KrJegF3h=pqM*-OV9EB9QpJOlW+;35g&;2)w!S2^6 zp~(FfM`-8%h%$Wc|4{~ZzehPm?$0<)JNH*q;&Z=571;e5RTQ~@#wFUhe?|>H_gmD0 z-QQ75k^4{Fq@DYB)Z=r1L<89UD;g+r|BnZ>bN`JdeD1Gk2D|@6Gez##ctJb&|7gYM z{uymx_rGYP$o&!TY3F{4PJHg)(Ft}xM;Arz@AyVL_gnPfbN`JVu=_Q7DRTdgzqE6I zL_a?F|L6z1-(vzr?tj6eNVEB`ib?p~FEJVH{*1{KxnF{ZcJ7}s6`%Vprh(nxF^wYk zdkE9c{X1shbAQB4u=`icq{#ghQnYjbjoJ9zUoi*l{u6U3a{mfN+PVM7Jbdn-F(2&y z7xO7{{|yb=xnE)tKKJif1a?2iVv5|)VL&_gTP(%r{u@id?$=mGk^3#oY3Kfk75Lo$ zV+Gj#9xEwwe}*0H++VR8pZg`&fZd<5h9dXRaHXC5XRO2Lev9>B_jjzP$o(gLXy^VN z8}Ye6ViVZ?D>hN&{vW}#bN`Jk_}pKy73}^KTPbqCMl|i*|6@Bo_s`e?cK?eV6uCbl ziFWRn*p1KqJ9dNJ&#{Lh_jhE{&ixkq@VWoSKCt^W_EY5k9R;*=f5bt2?*DNR?0%0! z6uJLJIqlqEaRi_HC60pKpK+8T_e<2%&iylv<8!~o39$P+PEh22k2c!5f5$0&?vFSP zcK?dg6uG~mmv-*IaTcHZE6#!4f8rcP?q4y5cJBXi0iXM4Tm-xS#YKwTe`7Z7+%Itj zpZj-Q0lS~$Dn;(+SWG+jTU^KI{u|f9?$@|Mk^3!H(a!x5xA3|D$1Sk?J#JIv{)~;Z zbAQEMeD0UH2X=qPJ&N2vV+ZZrKjQ&D_gg#!yT9WhMeaYbpLXuw@fe@`Bc6cWzv2l+ z?*DO&cJ9CN44?Zeo`c<g;yFd`*EmNz_y2f_&;2uAf!+V&6-DlkxJo<sOT5MB{vB_@ z?&o+%k^4LD(9Zo9AMm;V#s{$bH9k`0{vD5L=l+P#_}u^FGuZteUnp|_i&wOBf5kU^ z?w9xuc7Miqirg>piFWRv@e`l>Eq;OB-|>qg_j~-Lo%?tE!RP*nzhL*T_)C%dD;Sh$ zHh*;EKR)+YFz|!+U!P#$r)2;23U=DL{|6I4p8eM|n8EIU!Az0+Z}8F1{Ss{W+`oej z?0yb*irmj3MmzUgaN=|S4NkE8HMl5pzlALA+#kV%&;37m!0z|prO5pmDztNd1wTIb zO9+77pCLez`)6p=&iyll@VVbY80`KIVT#;;!iaY6-yw?6{Sjhd_pcD6$o)SoY3KeM z68PL-AqjT>2}z3Fui;2L_y3T_=l&ToVE4a}p~(Fa9<+16ggid??~n((pF@En_jmZy z&ixij_}qU(3G99iWs2OtBaC+Lk5I+u{vWDf_j{;O<o*}2v~z!j20r&oXoB6Jp-GYZ zB~oeU{u$c%+;5=+c7KNsMeg^=p`H78=;3pJgg)5)EA%OHe?>9v+<(ImpZhC}!0ta` zM3MVfRMF1;KTPnse}*a8{Vz-@a{rA++PPoC0-yVLSb*KnVM&quIXY?QehX`S?!RFT zcE5%VMeetlKs)zG*x_^k4?D2?J?trRf5vp$xxc~@pZg`8!0yj*qR9O-=F!gmGhFbw z-@+B_{tj1)+<#&z?cBe^9iRInJizW>;X#r6f2^UM`)_#RbAN?5*!?HGDRRHY7TUT0 zhc7<&&+r4g|Aik#?vL0_JNHWj;&cCwK(PBcf+%u-$06Fe-y#H``)`DR-LDZ!k^6U? zq@DXC!tuHPM>yF19uX9||HTE`xxXR`pZg`E!S2t9rpWygH)!Yn8L{}>ZxIJ}e@7fe z?)SJ)JNNHMz~}ymM6ml;BvR!5if6QQ|BYmP?ypDzyZ=NAMebkmmUiy{k%rIxGt$BC zf00g+`)_=qo%<!S@VS3S7TEn9*%Y~-;}7lJZ;^}7{Wo&K?$^kp$o&?~$~2q*iYUP6 z{vQQk_j?pl<o*mU+PS}?7@zwkO2F>VD51#xGX!bp{uyQX+;341c7I1XMeaW#K|A;F zsKn>~h$^uAS5#5t{vYzRbN`JReD1HP1-t)5Ek*9vP^X>yf7Ii1|BME(`(HFr<o*af z+PPn%8K3)iG=tsG(L#~?J4|Wkev3AI?!VCncE3hDMeg5WLp%3JbmDXWk4~`rJ-R4z z{|jf@xxb<ZpZg_x!S2uKrO5pf-n4W7jDCFXx0nESf5!xh-0u-YJNNIHgwOpElfmv^ zF_|LwS47gz{WqrKbAQD&u=`I;qsaX$5@_fCA2aZ|f5uF(`(MnY$o)6cY3F{4Ir!Ya zV-DE;9CImhKSw_8+;1@-pZjmj2fJTm0Y&b&D5IVGBNpLv|Bppr_j@d+$o(0$v~z#O zQhe^0SO#`~#xjcBKcj_q?w_#&pZhIVg5BS-k|Ot?=%$_fcdW+e{)jbT_pew(k^6s4 zqMiG1ti$L2iuGXkpIA?k`!#0K&iy|&;&cCuO<?!G*hG>0BNo!m{SsU8xqru2u=_c- zQRM!P6|{4|#SVP#zp(@CevO?Jxqruc+PObsH$M0O*bR2S#~zB@|6&{M++VQ|pZg{D zgWaF8pCb25?4zCgXB@=mev3n3_jeqk$o(EiY3KeONAS5n;waetD~?j+{)#iSbN`Lw z_}pJ{0_^@1Cn$3Nip#Wf|BqAn+&|+q*!?d~Q{?^|w`k{niF5eezvCR({T$~hazDo- z+PUB2B0l%uxCnN?#wCi}Z}F0L?vJ>F&;38HfZgwLl_K|Ne4w5CE3V^nzr+o&`!jA( z<o+4oY3KeKxA3{&;x^d*9k(fR|A~LJbN`OJ_}m|H5A6OG_b77z4^|bL&41l^fY1FE z55ev~@sJ|-Yw*&}{XZV#bN`GdVE4axLXrC;L}=%JiRbv-zvDUB{TweSa({<3?c8ti z3ZMILyaKyl<26O@-=Rc1_eZ?N=l&mW!S45XN0IwqXwuI86(8`qU*aR!{TUxAa=(Nj z?c6`(Gd}lQd;z<^;|oRZ_pqRy`*(c9=l+QAVE3>1PLca7>}luz8$a>6zv36z{U?4= z<o*?Iv~&NDKlt1~<1g6#FaA>G{u{oubH4<G0G|EJI~W8&`>#0|1t{5n%@ImF_ggUI zbN>xyu=_PwD006=4DH+>!G_QMKiI(T_h6^U{Ta!$bAJUVKKDy-f!&|MMUnewWYNz3 zGkEa1-+~wH{tjM>+<&5wcJAN7kI(%P0$}&A5TMBYKPqVF{u@I0++QIKcK-=sirlZ! zKs)#U5XI;I8De1fzYwFy{Soc7bH9WnKKJjC1iPO@iX!)S^wG}!7BcwUe?tcBehpcQ z+`nTg?c5(BkI(%-<iYOuP@u^DFXqtB{S`|1+%KUFc7KL4MedhaLOb`*P{rqd3pKF& zJJcw0zsG9YxqpWSKKDmxg5AGDlOp$5Y@(g}Z)oFle}xX%{U>xNa{r2*v~&LtJ$&w; zp$~Td3w?^*f8zk{-0zW&&;2jb!S1)npve6TxYTGiewdMk&;1<PVE0F4Q{?^&g0ypg zM=n11Yvh65Uy(<V`xzu@=l&H1_}uSN2zLLBLW<mPpg=qKpD4!X{)`f^`*)O3<o*P8 z+PVKl89w)Sl!M)Wqnsl5Ptc>C`#Gxcxqn3!*!@4MD02S+Q`)&-qZXh0Pt=0lFHuL4 z`#;#w&ix(@_}u@Z0qlN@MvC08;6gk1XEft;KSvAL{Shq`xj(?0cJA+J!{>gDcCh;^ z+9`5>LlEuUzoHYL`#rkA?w`>`k^479($4)SdhoeFqZjP{9laE}|3L!n-2b8<pZhx| zfZcy%0!8i@$e^A3IVR(C|BA_A_y3qok^3F;Y3F{8Y53fKVj9@}64NPie?b}T-0v|H zpZj0T1iRm27Det~P)j@aXUxIpevY|d_ead7$o&^uXy^Wp`S{$gu>kD;iUkz8pP`3# z?q9J8pZh%)gWW%4F-7h-m`pqOpIC~|{Ta)^?%%PDBKIfEqMiF+tib2~j+J2d-&jeJ z`zI`<o%=b~;B)_qHDLGuSVNKf53Hb_`!&|%bN`9;VE0RGpve6n*3-`Y9-HvF|HUS- z`z<z8<bH+iv~z#PR($T~*amig#5RiDAFz*h?(f)v&;1%Z!S1ivNs;>-j?&KkD|X{^ zzsDZ1`)BN-$o(76(9ZoQ_Th7X#(uE-ckHLg{SPkF&iyYA;&Xq;A+Y;z9HPkm0=H@B zevYH~+`r-|*!@3_QsjPzN3?Ul#tD4xKXC%=eu<M5xxe5g?cDEi8lU@LoCdq!;tWOZ zU+{r;?$0=f&;1<d!S0VZPm%jCe5alJJ1*jLzs4o7`ztO{<bH<#v~&N8EBM^+aTV<T z8CNNCzX6*%h4V+j9-W^X_FrdZU|=W_^w__Qk%2*)fq}vB+Y1H;28Qlt2VDk+<_G_L zx|;(u85kV9Ihr3bavtpL28nk!yFg?eyFFN-;vf-^-rX893=AH<n>BP97z{5No&+1_ z(Ysqhmw~~fdo#$WU5pG23_C#tO!9*?SPz3P0|V4LWUU``5L%hgweEmuy`h6u>j@;S z%;;JhAX+zI*SY{nD+{_-4~W(d>{=_3w1R>c#jgSotqIt*1|Vr=L$}pJ9vn;-*tKdP zX=O*($^g+SfJJL}GlLFlOnWdwqZB03-OK`!cI@_Gg^GhjJbHJZkORBtg*Iwb-_S<H z7bm(yCP4HY(8d~H8<4bep=*tRXq|ywYX_26Zgi~*5UmB+wI(2G<w4i_LKf^v5A0ek zkhJomYuy0Rs(@Xq0FqWdbgdN-tv|G|2Ga{IL<sYPv<fgVFu*d?4;HY4FCc3L?e&E# zIDn*E9;6#ND>^_dUV*F|nv1)eXK0}%6j+%6lIU)p1Ce&@_TYgg6p)BV?`{r=p$%H7 z38etZA&Te@xd16gBCt5bqj$Fll2#>jtur864X|rfK+>v=q7_>9c=Ya0fSAAmb#U}c zP?0zR6$GP}pT}5H%1@u}W(jby$<X|Ov9sAh4^)IYuz)!(dY~BEZJ-FEHf!i1g$lAZ zrp{&wur?2ti!UJTi!YlWGIn;$Kn(by05*UDmjNuD%^!3@_Ij`!e8B|a9DK<HHsTAY zJnr3n0Axht;TzD>+N1Lx+^?aI;f`UBp^hQJ9*u7*7#SEmIzM}M{`K5v%)r1P3a%-9 zI=_QcooDY_hSTB<`$2`>K2T8Y`v3p`|DB)`*VXWV<4zC{tk9#oxdBwUbaya-obJNG z<k8upA^|e|c#DbxBLf3SkH-#BfeX?ElGOmo_D)f`!N35j@jN<nR1!Qo-+|5RY@Wfy zz~I?i#|W*qJbIfQm_bf;QQ`3HZryHZ&fwYIyWh~9!K1U=11tkp;M2R?feGY<)f&tU z4340_7=z&@P?wUy)$o8%=YN<Dp1pNUFtd>z-%!KB$jsl$z{tSh*?f%I@Ea^FT)M$- za%?`pv0t2lfdMHzKwPB2@a%looo(U4oNWNn0?wqG2X}!ov*w}ZpZ^^9g2K{~-|y;i z7nJ}|M(=b{3F!<`i8$_}5(5gK<^vqZT~r)E26wusxO9f7cpP_8@c~JB^wy{Zc=VR2 zIDqS0aBxB02HJVfVE7FZNg!j990hTTqvnz39|ErYzE3^6Yg9NqI^X$pJ^~wIcnK0d zKAqoOIv>7_W&%Y@jfwy$S|mJs>sVb4|3ky8yBicaj+$qh53+-U0%|4LoNi|eALe8O zrv@7ZX8u+wNHDUI6O7%?79Pyb2B4&}1C+MF!Qbn_u?yrg%|m-Z!MP7aXdY|+_0N&t z@B8r<l>-b64B$}fQ8~iEz|grx<pd~2?f``xs5k_fb_OKU8={io)A`<`yF?`c>~L4Z zC!U?hUAniZY+ztuAUZ@fk2e1haOC%W0}fE1&VL@AufXPk0~91E0J1}(^Cei+1)8&7 z{$~IuFb;A;#-+R1!iTvSHF<%<11XL1`&~coqGA9FtWFmdlg<zoi{maTHlTojq%H+; zIJu~(bcU#C9CuOC0ZD;UmjNhsDUgx6U}5nd<ZTY0&Tsg`!lUsBsQ8I?jB$*0jB|{S zJq#|0LB$iO4EEUX4=<3wVTV%4G}v%3mkRiFZ!Ta0#n$c$CI*Jrd_LWqJs|u5CI$v@ z;zZA<J2)5^7?3iCcQ=cjcejrnhev1k4@g1s0Yvpy2Y56dzQGJC#9`$Js2Byg6s6j5 z3=0kb7oq<=_6MN47~KJ&<{N6k>Dk?O99)PsF928XU`sn#7#P4YxfA48SHlAyo%cMN zk8ngg#-Z2@4z3B1@;oHiwez@R=Q)qg&mNtBJ5TuZE@6*gV`cE^eD2bD2poQ`2TGDW zdiQ?dR);hhn)ep4u`)1}NO<(_-NDMr;L&-{rSp?Z=TER|kIutBozFcw@A-88f(SrW zH6Go-AdXP%+4%w#gP`~WI}XNyMCODEc-)u4PQZN)>@fG8U?awTU{#GrFR)X`eFA(~ z-6sYQKLtLR`wDo8aUWP!<IxLj)N!8#Kf3$En)hn(!`xKCM~s`msv3_@U?kQ}xYHYh z02cQM2*BKvz)y^Oz^WRL7BErno)_5M^8@Z44*_D_16I{|G=Q0M_goOf5<U+EVc}yS zNQ`^Hsv3_Puu$%v9YR>#b3zE_9tk00+yhqCcvOIua`!9{#^Rn0!Z7zR2ovKTu&TzR zA4qf$s68Cu(s{J=v}fmc&(427y~`aK#TlCS2QZ2=FqFu8_U;1}d*Cj==1s<zKArzM zZ-L82Pf)r0)=~4W<Nu>RozKAqrVF&XZ~nnpq6pP$c+%DIBv{t9^95LE>jD0jT4n}@ z*4w43u7(F(4Nv-XJ_j2N)!urbgvYaY--{4fiSwJWT)*{giMHboP-Dgs>>BKP7R1B# zFqIoL|79xDcH99PWpUgIBC%`INQ7%*25DjjX#%y}9l>pW?3y+t!8NgfG_im*fg1Xb zJ3%CNO%^F|O{^eItRPLGZieGd5Q$yWfmFCAHjpMZkS5S*isMcYiCvRNI$RSwNE16q z6R09~+zBGFYr2pD*TezR!~xO-s*D}MRWf!>5m|6eoFGk{AWfiw633k&61%1c*>Fu< zAWd8#O`stX$DJS&yQYFLxF&9pCT@@>(BO#UP7sM*(~oetCLWL`9*`!`u!!SM5Q$w= zLnK@iFGv$FNE2v4#BnEx#IA`W8m@^Cq=^rt2{aVqxD!NT*EAspu8AL{i65j1)OvLU z_n)w9l8A$90%skR%;nj543uHPy_)Y}8JEtNkX96|y$Nowpb8yEv{zg@4?A|A^X&ZY z(fQY>cew%+s5CHO0+j~x9=&@(6(%_UxoCc5yy?^V&!zJdI9G#u5t^U>gIZ56o!@*q ze|6pji-XDnIjBZ(SpboB?7RV%Zaq-q2x^_>A^Qkbi3g;b1~&-bL!>%y!rEJ4&%ikF z#urli3(Y?a%pm^=FvI)<s&L8j4@e_!|J(?~>mQJ2>iFjY*gqfO{sC3kWcdfA5x0K= z0`U3=q?tPYIluynp9?Io_yJY;WcdfA5x0L5g7NwXq?tPYS-=YN&jwbQe?Scevit+m zh}%CE1$g}f(o7xyG_ZmEGl31}A5epXEdPKs;`UEQE?)nDG*ibv3G5*M6tKhm1L~xZ z<sXnn?EWzYm(q}e3s&rTK)ORD_yN}cKr7D@IKb}bfV-bv95NY2YWWQ6J(A{rc5%?) zqDLojWirJ5(Dvrx&a;rlW`r0kgHP}N9iprZE}bqaESjf5HOT+YQ_$MOvlHC7eCN@5 z2rAP2gRwNjqt_NRmbgy=Hc{Z&cq{>AROff_*k0#LSU`ir9whb(CgRfzYHBgSs{j8! zo$oqdb^EBWpjL_CiUm~&xq5;2@4^0Y?L6iP^3ZpW&fh-0%QeJV8JhQ7h=UsN3Ld?? zJH%LFQS#D-@gum-gbbE}>delsE}Cz_O=uU8Gk-zbz#wlrfE^DJc7!&bq2_rs9=0$P zXMmXH()rP|^9HJ02{|8+`yYVa{{ilP2XX4UA7q|K<KYMmYPsK~6P%u){g)H+py0nD z4+?%z|3yO{>U_<Y;06nHpc34Fx$CI;7TSM#=hOKMssB=%-ukw*7NvXg3Yr3250s?9 zBve$OEi{;<W9Jdqj%BNAv=|(}9VsX=@a)~EP@@GId2;Po)_lT<!LxVYkK;xVq1R%l z(RLWB#;03FrTH&od1&kHl0Yoo9+-8l2TF|aNIQ14Szk0_SWy7(P;IyXwYB*#Q+ZhP zZ>FL^ES)1%qd~nUl)e*8+OebU^G&$X88_iZGlPs~1{safg@WmYxEiOlV@KQSM{uJR z9>I-f=Wn0K$iUG2n}xq=DkB4fBY0*7rALFXg1@B*Gz{On?*)@KB(R(RvXlqH^dNQ8 z5PCp?36enR!@;B-JKB<8!<}{G72H{@ARn`Wd<-gCP`Yw3y$~Pcly>ZByZi@kw8tN~ z(QF{2*+52vx_T%bK2)P|N;`J6b+a17k^lp%F*GcGv-3C222B?20QCoPgdr$Aa7sIN zv^n#^P2Rx^Gx;w!ESflA(FEy3B6ZRbj)zB+!3=0NY5vOriYAC25Q&z>AYloTK<Spk zjCAa1`z;1{R)HAYS)8D-<OGE!s9%cGJB8_m7>!ffv7>FXJltpvdAQMBAfvfJMuU2< zD1BH|qj5?*cC=+{z>R*O4m0{UH-FO?a8d__9!f_S)nuH~jvZ~vhH#T-7{E;a%g^6F z3!IyH_?sqztpIh1QTm+-EBIUTAh}6nA3U0PK+y!z10u0T6G#G9)q)3kU`9H2wB5FZ zJ1f8v?krwVSn`6x64cd3>2SmJLZS($v|~rxWGA@M98Pef`9MbVfs6)q$WglGs7B+I zcI;^L_J$jMzzb&dZ+?)e82xrsQ*lZ=cC_t|ftzX&12q*~{ev3?u%R>0-hH6z4AjYY z2iKmRuR3q~c78yuM8I`FqP^wO{6@m1^C(giW&4d{P#yWA7*t2fd-U$MD2CRNE}AdF zgM2QCTCy`og$LS1`3`A|K$|Fzoku_}EKw2g>4eIFyY!CDe;7;k96OJ7G_S7F0+l=l z9=*HawV+GK=F|I)7(9A+JM4#+bKqJ~0@RC-1<f<X9)_whJPDdo6M)!?)B*xI*Q4>U zhZ{HyT)@pY9!L`i$rNxfKqiae;{n*(YXMcDz(}Y91qP_SwxJRc7}RL5fz0!0Je(l` zb1jwIYaG=e_e)g6+@DcJUH5~`^JqN00g~ceI$u%Q{RhDAzW{f?LN%H0_W>=;+XF40 zKuPmCM#=%14T=i~lH&rAp90X@`zLBZ;eVqB7XBJFWV#<mdmm&Td2WU$e!S`bLM_Ps z4{BlVH>jnq`$6W>()~B;K<<B02Xntg9d+FgGLM$-=V$`CU!n=-{)|R4-49CtHyEIe zUF_)}WVT1+;R7yIO#cGSAonXY!`xrcM5g<3q<@fk<hhx+^e@o@a=%6k%>5P3)OA0| zJX*S6p%vtQgI1XP8(OIAevo;zbiYO$$o&>=F!y(~lIea>`d65SxBLg0?a_F6hX)nY zzd<|5{SNIg_fKde)BQNoKgc}t+)P~hx99-5-=hQO{u%Ambw9{FTDsq%6XgDYPMG@_ zbWqp*AoFPH{u})u_rK_ex!<Cny6y*==h1jrgOlVmPh9#Dcms03#v7RX3tm&#{UGx| z;jcgi_lE?7$Is8e<~O(h_yuyjz;BS_LGzmtzu=C42p%qUfvyw<jn!&C28~XCcj<hF zGQU}><<WR_gR44&N9TKR4+vEELRC3--T?J$FxQDe+LKWCoCi;~Zr{Nv&fw9z9~4ud zfpDZz@FU<Z)<4u?a3_!6y&X~-kO3W!#-j&7+Cjtd|9rp;+F&EzQ0M&f>3oFKIneQ7 zRQE{mgWc1?C(b~udn}|8?oj|~r>1*6#KG>_Ax6A=ULd(A0HmFo?)f1Bb`Pj$M{M}a zkU@kGgCuD2(0i9os>PQK*gYN6#D|ZEEW$ksAnnu)9}h*adv+)g@17q>?uh_tr>1)< z)WPm~p+>xW1QZbA(*V*=P4~Re0J{e?6GCkINzg*L=L1MPHQjSVAM73veG<Y)8{wV@ zAnnw2&j~}Ydq6W?#D-6S4#GVbK-#J49t#t&dsY||A3h4Y2={n^v{U4s-~iZMmrw6@ z328Ql=KUJdY@mgD9*xH<q}U+yj2IJLny<n27I-KTI*A3EUz7n?3}8`5*!&^9qJj)5 zg1C@rPjG#OV!wtQ$bJhsnEf5H^tInY5oEuIBFz373iP#KLkDEPg$~UA4sH6{FJTU{ zU&9<`e}x%+?dNa;*)QP)vp>U;zV`p{0ol*t3$s7Mhraf|2nX5!BOGSGM>u`$zmWv8 z|3ebYev2gf+J7MzWdDO)nEeL1G`AnTe=oolvR?U{XXk&9-t`SPaBNTKZ<)@(z~I}v zT;V2Yi~9b8n*t0BB~swkJ(@RN7+-pHzW3?;24By7%%$_K=3Veo4A8>KPta)&P)Qls z{9B@22fT+x0=#eUIaniXy+G@M5`NFd<1hR)7<@Ya!@B_BMbV!eJ6}M`QexI$g6Ah- zU6gO&Ucvf`o5&vYg)RgEt*cCH-kWhtfPulMcdx`P0frK3u-{xX!95p{-w>UbV=j=+ z%YSg^<x?koab~F^s1|?<!>a-OZUyxV!Sw+oKG59z;5HujUbq8sZ^NAdcJF!5&TpQb ze?5BFd)z~IuP0LYmihKBeQ^(zc=lS{2PGa@0RLpX2}wLI&|N~FoyS}>e}ih-=aA++ zQUDuxHXfbf4@w${-XT;KRl?ihKC=7K(uctVNO(WEKfvLA#uKzL?ypbp`V|lHxOc`Q zh<iOA4X}F==|kWNvU`!z2Y(A__pfj7(hX0*X@ub^*=fYev0?871`P(rQhv|IqXG<+ zrWV+GZbW&4@Xv{-g#4574D6o+&nWVb1vAV)JD8~NA4GcUc#iBJwDjNb0+OCIUJP)0 zLb%uCB_8)Wyn?v*#mhnCUWd1M+-vX-;@$^u2aS7IyvO6-86P0-_4qJo+-va#k9#$~ zLfm`f%b;;@#CJUI_4omC?~m_;#=STG;c@SY{}A_9{2yTVBFg6<jDmRX>zlzO2)eKD z1(P5p_w{8&Q(7XUxBn3C|G<RL{S%nM?tj2M$lQ-8pI<N|yC1DSxxpd`Zf|$62o9R| zHVYp2USNf|w}Ewl-HS*cH&~I~i>*CX!3ItvC)mhNBcS%w0!CQ-LxGXf)Q+z`b)#4a zPkyZ^5d!7c6D2~F<kuBVTA<Tn5M4`1FN1>q10p_tl;Ly#jB>F1UzAhi{u`aNbH79t zKKJja0=u81nj-geOr)LrEo$+(|3)p?{Tg)?xxZi`?c5*HfY1Fu8o=)NXr##f3s%t1 z{T0pl+%M4rc7H|-Mee__o_6k^(T30c7VTj7ceGRFeunL|bN`M`eD06v0=s`j7e(&R zI8HnF-{`^T{)%3(`%m;z<o+4wXy^VP{rKEJV*=RyFD6jr{u5Ve=YEOF_}sr^GT8kb zQz&x3!86*q-(ng*_urTXcE84Sirk;@mUixsn2FE*KW2j6?=g!a_fPmjJNH-2!RLO7 zxnTEa%%#Zv2Y%De{WIp{bHBv`u=_g}P~?6M9&MVnKX)v`=l+PrVE3<BOp*H|glXsg z4_olLf5KL<`yXtj$o)H9Xy<-`9r)b8VF%d#3_B@u{|j&0x!+(nKKEbP4R*i69*W#A z5llPx2kgV={tx@W?swQvk^4QOXy^WdgZSJpa0u-FghLd$zao)#?w@c3pZg7tg5BS6 zlp^=9$e^A3Hyp?3{(uu;_b)g>k^67t)6V@DPT_NZ!D+Dj51gjR{T$`AbN`34_}o9? z9N7I2&Qauki#po5U*IA>_iwlec0a=<irk;kN;~%(T*2r53s=DISGY=%`)Bmf&iw(` z@wxxQb+G##Zcya@6O(D@{(@Wh+%Iq&?EZw?6uJM$EZVt$!d-msH@F9Of5SbB+^?~S zcJANs0H6B<9)jJ!;2}lsk61}N_g{F7&;12Y!0tcrgd+EMY@nU{KRm<d{t3^)?tk!{ zBKPmuPCNGtyu#=H4X?oNXLwDK`(Ny%o%;>m;&cCnw_x`xyrand631xg{(uko-2dSN z*!>P4DRRHZS=zb3;4?n=3w!~)Kj8~S?ytB)JNHlchR^*5-@)#0_)d}gSKOwZ`#1c= z=l+0SVD~ThMUne&JffZZFZ{vh{(`??_aFF6k^4Db(a!xJ{^N811O{Qy{O<z>VM^wI zEk4rD{Q}Iwc;>G+FoWICz(SGxGk(y{{RV9K+<$=$?0yAyirhcrKkeKfz=_ZOA2`A8 zci^JP{U_LTXf}RZz=O~I0=!`NC-73_{vW)wbN>W>eC{_80K30IfFk#6h|<pe8-(z= zKR_7l{sqDmxj#aNcJ99*iqHK8Vqo_l5TnTb9m=$G{|5<t?w=qDcK-uOirl|Li+1i8 zkiqBv4KiT&Gssfp{uhR{bH9N+KKEac2fJTEfg<-ySklh@0ZRDX|3L}teg|cW-0$H) zJNFl;;&Z=%8rb~_Y81J@!ku>RpP+%y{RWy~_cv%#<o*?Yv~&LkZG7$z&;h%DfeuCP zzY$71_g~P%=l%kHu=@|_Q{;Y*SlYS&gCRcmPcQ<z|A7%j?zc#xo%;n$@wtD4DcJoC zW)!(UBb#>aH?Y9x{tFgh_bXUZ<o+2&v~z!eH9q%$um-!|!G<FDpQxmr`wQ&wxnIB@ z?EVCMiroLBfp+en;E2!t22No2H#kw`evJ;=xqpKTKKBQ>g5AHsl_K{?^wZA$7u@l= zzrX|R{sSHqxxZr??cD#t3!nQZc!S;lz?&lX@0d$F_Y3&pbN>cEu=^SODRTddCA4$D zK_EW&UkC)dUm=Jh_e-pyo%;hq@VWm(2-y7&p%l5_V>9jCUl5MZ{Q?nS_a{V9<o=3X zv~&N2D17cWhz7gAA(|rhuQ*6M_iu>B=l*~=u=^LpQRMy`CuryX3kmq#UyulP|A9n` z+|O}=cJBX>jL-cOQo!zikV29BEw0nf{Q~Lu+`l0m?0$v}irk-Zk9O`i$inCT3t3?I zD`ZpT{uxhc=l+0PeD42{3wFOl9!2gy@rHKpFDSt0et|-;`x6Q&a{rIdv~&N2Vtnp5 zC;_{_p@bs$Yy762`!|%~bALcN*!>I2DRO@VlP=BXk1kZ=bALe<*!>5pC~|)XC+*z- zp$4D(C)9%7|Dcv4_wNv(o%;nE@VS3O1K9lxjTE{6g*ffpZ_td-{TG_S?pJ7`$o&%X zv~zzz8$S1cXal?7p`9Z4d#KUQ{RN%)+%M1tc7H+_MeeWArJegH^x$*9K`+?-4ZRe( ze}xI{+`pk8pZfzQfZe}f0!8k>VNE;tUzmi?{RNZ3?msY@BKLDR)6V@Lrs8w|glS;+ zKbS_5`z^d^=YD~i_}sr?CfNN9vnX<ZMj-9nZ!ia+`!CD^yI)~0Med&wK|A*c%*W^c z5A(t9cUVA?`%lEv&iw_8@VQ@LG1&bHiz#ydk2Ko3f5K9H?l)Klc7MY%irlY}M?3d# zSb@*|0V~1oU$BxQ_eYe{&ixlw<8yz(8nF8htf9#L9W}Ib|A%$>+&^JG*!>UIQ{?^~ z&9rmBz$Sd|->?bneum8yx&K8M?c8s$6`%VrYz4btVH-v6mzYF5_Xq62=l%~n!0vb0 zNs;?KX42061-tRNUtkZ|{Rw+0a(~4F+PQziK78&s*bjDp!+wg~zhXJ<+`r)<KKBP4 z0=s{~A&T68V;$|>f8hu|_ZJ)myZ^vZirmk!jdt$;a2%ieC!7Gg|G^20+;6d$cJ3EA zjnDlXPJ`XgaE2oHXB?rO`wh<FbN_{NVD~GWr^x*?PSei)0T=PP|HDPF`yDP(<o*+v zXy^WdEBM?ma24$SgsT*}|Hn<*xqrfSeC{{60d{}G4T{{a@sM`z-*5|``vY!+-M`>A zMedJyK|A+fxQoyI1^2-2KX8vC_jkOfo%=sLz~}x655ew#@Q@<+@AyVL_X|A1=l%^( z!0u;wN|F0t{H2}y4W8q3|ApsZ_ba@h$o&$mdNiB=3V4Oj{U2U|-S6<4BKLdn(9Zn@ zZ}GWb;2qfg3GXO!e}ypZ+&|$1KKC1Z1iQcCBSr3CAw@g)Z}^PQ{Q+OV?qBeQBKO}= zq@DXOe8cDdg70AWANWp@`#Cge=l%~r@wtD(FR=R`{G!PH76!C)zrbI7?%(hi?0$xS z6uCdcoObRvU=YExfB6D~2x$Mc0;32e`>$u%(a!w=%=p~@ff?+62NsIlf5Me^?k`}& z=Y9cpu=^9(DRTc0AKJNp0w+HA8*qW$-@rwY`!zyn=l%^m_}m}B3wHkkUW(iw5luVy zU*N~*{sIB8`ws|E<o=E%+PVLO5I*-$5C*&dfiOkx-;qf>_X~*ObN>c0u=^RrDRTdd z0@}IXKoXz(FGzyjuOLN{`z0!9=l%d0eD41s19rcIEJg13sHdI#3*_;+UqAuu{saYz z++Wc~JNHje!smViWw84jlqqumieB2ee}gJM_Xntf-M>JMBKO~zLOb_g(7@;Z0!^^{ z4`@>4evUb`bN>fzeD0s119txd9g5s<v6y!57tqJ&{tfzI_cIt!<o=9Rv~$0K5kB`{ zFao<@!I&cV&)7&i_Xn8bbN>fZu=^d%D02Ua9kg?QfdxMI3s{2PpI}Lm`+w}Go%<(P z<8!}(4cPq+HWayE<2dcyzrhZl`vdI3?q6U}k^3Xg(a!xB9PzoozzOXB15OmVzvC+H z-2cG^pZh1cg5CeXl_K}=xI;Vl3wYpj{{|1R`x!hba{r6Rv~$0KH$L}Y@CLhI!G|LE zOT4C?`vd&&x&MP7*!>Rv6uIBy6Ybnz5QxwH0zqK+Cj?RC{)(TpbN_@8eC{_01-ri? zlp^=9V9=-8{LzMReC`j30K0!d1V!$@!A?8(Ux>oz{(@+*`wv7@<bDo*+PVKjEI#*7 zhy%O-K^#Tyw-BS9`vns5xqm|<*!>Ji6uCb`mUiwpNWtg+3n^gtE2L87{uwH?bALcO zKKFk}2fN=PgCh5z(59XH3$pOJUmzRo{)B9b-2cOfcJ7~$i_iTAd0_W9<Wb~)4J+EY ze?tL2_XiY$-M^raBKJo)($4)Cit)L>paksx10@u>zr%xe?*CAR&;1k1!R~)hPLca} z_|wk)0#*3jzo823euip_-2WnscJ4Q*#pnJDwP5!v)KTPqi8$K1KcE4h`#&^*-S5yy zk^4PTY3KfeW_<1!XaT!Fp@ky%SLD#n{S(^ox!<52?EZ#!irl}Vn0D^p(239e0bOAC zFX*Dk{Wq#;=l%;l_}pL63wHm3UW(k$(L_7<f9S{O{s|Mn?td_WBKKQ#($4(?lkvHK z!(_1g8KzL={)`E<bHBkfeD1$64eWk}=@hwt#&p`bKVT+4_kWlPcE7_cirjx<9_`#; zFbALe1?Ga?pD>pq_y1T*JNHkRkI(%E3&8GgSU{2cHP+J3{TmkHbAP~Mu=^J*rpWyf zTWIJ03rq32zhD{I{Rfs&<o=G`v~&N575LmgVI|o84^~p-{vC&C=YD}T_}sr?4cPq* zYbkR7i<7i-zrlKZ?!T}e?0$s}6uDpGBJJECunC|0KWqZK-(fRF?)SJsJNFlC#piy3 zZD98&Y@^8i758c9{s}wqx!+(X*!>MVDRTdcXS8$whTZtwAFv1P{sns|a{rCDv~&N3 zefZp8upjLH1N$j*KgU<vx&OmKeD0rc2<-j`hbVHt#UI+aU*IS{_is1~c0a>0irk;U zY(TU5FM|{K+<)N&*!>D8DRTb|F50<2;50t>e>e?xzrz`d+<!ulcJ41YhtK^2=fUnz zI8TxLe@M{I{Sz+YbHBkQu=^V>QRIFN1=_iP!xen)54Z|;|AMO&xj#akcJ9A$9iRIP zZh+l?;08tR@6e;2`#;>m=l%(|!R~)>n<Dq`Fr}UQ1@7T<|Au>D_cPq5$o(&DXy<-| zhxpuo;UU=l3Xdpqzl00z+#m1+pZh;N0lVMfDMjx0@TQ&n3!dY1zrYKy`x9PJ<o=2v z+PQziD}3%Zcnx-c!)uD%zao-$?%(hhpZf#ef!)909YyZHkw825U-*E}{RJPv?mzI6 zBKLD-(9ZoIKI3!$gfC$CKlnnC`z`Wm=YE0j_}stYJJ|gUKPYm4Mj7qgZ}1DB`!D<g zyI<iqMed(bOFQ=m{Ke<~4}Zb#clbw<`%kpc&iw@pqImXS3owd;_FpG3ic+%w`bRhI z+&_UCpZg71!0vBgp~(FjlWFJv4Q%+_AHWWF{{nW3+#fNEcJ9BxiO>B7TwwPf;G)R= z9Sdpa{trC(+&_UA?EVM56uE!L3fj3}KmecnHwb{;&mc&V`(Lc5o%;=h@wxwkFxdSH zA{4n_Vms~JA0UR${U5}@?spKU$o(GsXy^U{Nqp`XkOI3uL5d>xR~)6C`zOfYbH9Ns z*!>N%6uE!J8QQsjgFHU>2PlBuzd(T^_usfoJNI8u!sq@1Ww84XC{yHqj@z_z{|8lk z?w_CrcK-u4irjDUh<5H5(8TBd4Vqy0GiXud{*0HjbH9NOKKEbH0lQy8mm>Gi_&_`N z2k7H-{|9}r`yC7@a{r0%v~z!f5kB_|7=zuPU`&zwfBd7J`zM&<bH9NZ*!>M=6uDo6 z&5&mEUmGm&xj(=X?EVFo6uCcwmv-*IV2#iH1vX&!AF!dw{T(8-bN>fBeD0rM4|e|p zdy3q@Lz;H(7jVMo{tZrG_cJ(C<o*{*v~$0KD?ayMa0R<x!HpvKOK8!~{Q(~M-2cG? z?0yGNirnvENIUlzc;j=wfDhRH2|g6Lzruoc?w{a?&;17eVD~rpQ{?^?_Ox^VhCqDo z4+sLge?bsM?!VziJNI7*!RP*hP_X+Cgi_>w4nNwt|3f%F_fLobyZ=E1Meer<rJef) zqVc(ZLp0d^3^5eBKO=^A?l*|T=l%<EVD~G;Q{?^`$+UBSKq5Z(e@Fzo-yw-2_n*k3 zo%;(?@VQ?g73}_mREpgHqmXv)pOB8v{RSCe_cvrv<bI7x+PQy27C!d}WP{zmAe$oh zM>NpR{TFiaxxXL}?EV9J6uG~nop$d3P=L?<6AHoZe^5w~`*-xw&iw)<_}sst1nho> zQi|OFVk+(2Z%~fU{TIr??pLUw$o&#?Y3KfcDtzw$Pz83sLp4S2_gF$Z_ZQUSbH6|x z*!>B06uG}*HSOF#p#h)!4I07jZ)l{*{VO)n&ixyj@wq>s1?>I>Efl%`#!lL~|3Vu+ z_ZPH--G88+BKLC~q@DXebmDXWgf6iAA9PXVev1>dbH6|@KKF0v1-qZ2k0SSHoTr`p z4JP1o|Ah%)_bW`K$o(^}(a!w=lkvI#!(_1g9i~v^{u6g;=l+6e_}nir9qj&u=@hyD z#}nGQf5J?B?l+hPc7MYxirla9hIa1XFbALe1LlI=zhEv!?vMCPJNI9hkI(%D3&8F_ zuz(`>cl@HA`#&tg=l%(c!R~*sm?HP@U^JrH{E@&ieD2?{4D5b}<rKO91qbciZ?F=d z`!B2nyI)}yMedgnpq={z*5Gshhc#gLJFKP1{T|}9bAQ2leC`+60Cs=E28!HYAxAs+ zPuPUd{RW%C?r+#kk^5Ju($4)Gw&HVtz&5b^7i^=*{Wo-I=l%;j@VUQWC)oW5c2eYi z4innB|HE#4?w_y+?EVLPD007rHSOFlupgiMH|z(ypWy&S?$2<do%;<A;dB3mLtytS z9Hz+qGdyYM{(z(S-2dSy*!>R2D02Ua0NS~~-~>MR3!DVIKj9=r?*9=^JNHjGjnDlC zXTa`nI75;9HR5UK{tf5wxj*1M*!>I6Q{?`LG}^iU!bN=UFSrDD|A9*sxxXWqcJBXh z1)uvTTm`%T!BvXfzoUe9?iaX$&;1*2fZfk<lOp%OsHUC!4Q}Ie|ApIN_bc3?$o&${ zv~z#JJ$&x}a1ZQ$hx-({-=m9m?q47#hG+kmgSZ%I|JMX@F-rD-30yIzS^xKdBtG{i zNP*qIL5d>xJKUz7`ya^QbAN*@*!>q|DRO_oBigy2K>?rp7bt+;|3QHw_b+%!JNGLn z<8%K3Ww84NR48))g%7lIzk?b+_dieryWc>aBKI@=pq={@H1WBgK@05u04<8#Z}6XX z?r+e+=Y9oUu=@*iDRO@Tn+eUz&jtGU-0xrjcK-weirhbemv-(yV1&>83C3XeZ!o6F z{Rc#7=l%z#_}t%M26q1iGm6~*L7H~%XRyTQ{sop`_kXaY$o&e+v~$0L4L<iDumQVY zz?LHS2WZjG{SNl{-2cEH?0y3Wirn8|NIUl@IN@_YgEQFu0nQY;e}e_>+~44e&;1H+ zVD}feQRMyy_Ox^V0uOxdckl$ee}X4P?iX;Uo%;`X<8yz457_-1d?<3igCFhO|G*EQ z`y2eh?!Vwqk^2imY3F{1Abjp$5CnGrhaigFzaWNo?pFxK=l%nsVD}4zQRMy$$+UC7 zLj*qeKZpRk-yo7A_cLVE&ix6|_}tGB19pEv3`OoYD59PF8{+V}Um+gs{(^Xl+@DZM zJNGY0#OHp8B(VD@BvIu42@SM!|A7>I?oUVsyMIF}MeaY)PCNHMNXO^?h77R#FJw^U z{ttb$b3a2iKKC!k2D|@5Hbw4Nm_|GIE9BvG|A9QP`vvkTa(}>F+PUAM5TE-W6oTDv zP(+dY8<x<{{Rt)b+|N)7c7H%AMeg6Qns)AQD97i1g$l6y3o0mb|AS4mbN_-WeC~Is z2D^VkHAU_h*hM?{AE?FW{)9TP`#024<bH>Pv~&N127K;sXau|eLL)`)FE~Lv_cOHM zbN_-Cu=_u>P~`pv=P7l6ut)P70gukl4g0UNGB7Zd2zu-{XJBApVqjn}{Pu!@fq|jB zyN8j1!K1smfsuis^VGp#@&{i@GoI?acJLqT!Dq~kj~*~EFlb)v7BT2{F<`m;>ieb3 zFE|f1KVa;1QQ>KR#Ml|4!qGW-g8&0VXY&>T1_qDb-2!Y33?7Y#X9zGb`1HD{a2Q@P zJn7MS4{V)B^AUmQ!xLb@F%0BF3se`{p}El8#-rQW0Ml(A$6Zu3z<#hXfVuPX`_38_ z4X{&RG(TqqaUgEh>F!>`0QTqt25cS$8K!{EFa>186d;DFbay|27<L1vVG`I3lR!31 z0%Dj<w|9w0w{r$|4+>y2MgZ9u0f;do-Q6;fm=wSolOPXrU^9#Z*)R@>VLU#)Au1X^ zy)h~ZKD{L>1|GdWDjcB56!7WwQIXgON=&;z#14>&KAqnkgB*k55!~&fV$j)~AjrVb z?V@7R*_<K>P8SW3bm1Y$z+iZ3CkrS5JMZ~+et_w-h<1!aBn@y9aSVr~5KtQS?EL4s ze;zb#?Arj=ejloLw*nsn0|S4{DFz0HW6c#Hj$^|<NSf#N?5$^nr}j6j;6(6%6;~o4 zK8!qicdy`LU^vdA0@CBrTLMYyjyw1n7#Lg)PlDZs;tVFJGdy~CKi~qnWAg@t0ibMx zLob)%H=oY`VDmh?-84K}50nUabUQeJ80^O#BtZEY;%!EcUe@3L{{Q#rYz74hIJ-H< zImSc76_ndRVTn{8EQN+B%xT@-FSr;Ox*5AYS-xN3Jkk7+vH1ZbJn?vRgPq(hrg#XH z^SS+4I(<}lO4K<|fw(Z)Iu>p_#e<4JL2})GEZlsGhZMmIx?NN_I-3QAz$t^Lvspw4 zoH7Eq!6n8IK|&=4C_OlafP5k0(fJviBGyCQzi%UIidX?k1pF;tjGz?30E!ZnsQ3l* z?{OCua31sMZl1u+z|hUn?ZEQ=V)Fz3&O_fXDW3Xvh`&^%^#Di}Bd7%BIPRh%0%G~} zF75!ScTo}fexcPxMZmGE4V2*cTQnIN7+MaLfYRM>m@U1#CAg82iYX}D;E8aM-al}? zVAZ>r85kIRI-i460o*G{DZ`_?IRfM$50>v2TRBQXu&M$117x>H_hgW7cl`hV|9^88 z3&Tzjqm-?i>Hh)4lbtRq0-Zi8BA_HKfZbj`&*tMliAt5Apa-SOa!9HKMT<xC5s7HW z7;w6T2GoDJXGsbu{?<SL{{Mf;`2YWZaCs4oT9bfECs5vi8t&8Ge1VgJ!Lgg6v-yA! zh~dD}*?a_&1`j~e;0hsd=_C$LO!uN+f&vR{G?+j$e<w8UA<XCC0vXHD*<2tDVt~vq z5eBF69}x2+gz=k?QD5&uwWGWH52Td;K!sAibGL#BsNz-;0XuO44>%igh~UhIpqz(R ze(Xkfp9vGFWNX%7qP&Fd+<gJ;zAGs1+W~XmE>J$i*|q>h7#8>LL3eKs#Jverac_et zC_F*iRy}%mL&Fnv2m`3@<k$sHi@4fCINZAz-Mvd7?wvsu_kt$4JDW|!kmFxM3>yF7 ztWRqA?n8I)9f*4`P{q9u#6aQu1jW54;O+&rDM)qiesuSWFoV-C2QxL&?*ws>d#8va zhi`>AEPO#NC{o>f3)Q_I-OU;7;A$&?<={)E&I<=$Ff~75Y`w(qa;WoA^C!mEQzc>^ zkb<w9qtizPRKJ1R?yq%P50s>MbWa8qi9X%U7NE@ETcg6U57ctq2_hW9B)GzXRNM(N zu!=iH2JE8<PH>6gAp`Z1;ia9>k`t^8-26D~0Wth_!-NS~^5bpt`~xazvHJ%k<I~+- zK!kq;WMTdhkp=r_0>nQ*2>AzOILJSs_6W>R;MT}vsBiXd0#_jL_Nf7=0m9$H32u#a zfH>d)g4fZY3Ln-;_2_P10E$vbMb6)Hg@J(qMIpQy*}eM)J1DV&<IwOMBDz`+l=6Zi zot=T9xgv$3M9ZUh_XV)h&7kOpHd&#ug`?34auPGNX7lLYEx-Y`2^6|mY~tWRvI)fT z=-v!cN|a4VjTk)5TTrNh8WMXT4GB<)g3}kc838IhCrp^&alGw-ECU0mUhwGM=fMj~ zoyS(lf>I|auQ7m{T*y_r$MLoTIR*xhoJa3I0f_ntIVANvLCr3>7LVg?3i6;P0VuWX zy8%jKjmJ3Tk<=TWge#sfVFD<9IEFfg1bcRV_3Zrbxz7QXJ~o3}bMK+40NOnV2gG-< zm}htE4mJjcZjXTG8b%I=5-ZQ{y$3)Ys9sT!{f6ImiZL)SfR(l$C<*fH-V0Lh(F<-X z8eT%kzGnC61^JJ`v%42$z%B-c1OK}X6|e38|KFaWTSD<S=jTpIsHAcri*f}E=k?A? z7Dregf!njUE*hE+JbU+ox;$`e!1kl4j6tXbb#Z)pRl&}^<kR^KRWYAu^RYBg3li>M zaB~{wUu)0qy`UDV2i(7)!X0cx>wywK&+fe-<#309yOUs9&(0&B-K`+4-5kviKR9-a zs5C#~=RDOZ02B6L0gH#Ius|dNSUek#PT&W%uHS>2rLmwC1&PJ(zA3y63_jg$9lR*D z!G(iASPwp6ZhrJY^P*$74TEcU2m{OI_l}oeHUE^ZQ|=AmaO@TlaNG$RQE=2e>C$=f z@*~dc%?EfKyIB}6KXBwc)cjoDvH6jF=e`T_3=A%v)2_&alhh7K65JsVZY6@e3~>k| zeFt#C)3*btCD?e(f(xV=HQ_<kqqSEp7#J8p=@}YFp!P5msF}*p+04KVVu0GKETD#J zZ?%R;<6%%w5R_#+I-xCLH1jMm%mdY$;P&+fxOrP3<|TlJgt#Ft#burqhItOmAZIaj zHYf0a7$EnhfO1{$?hBv-w(+nB51NrspF=1#_gZ6^UjZ{8l=mIGLFS8qqM&y-2RLvK z|G;ZLIDdx(2Y@@H|MzVHxv3LU===0;-T^9cn|D882NmF5?V#RaNm0uI&pn_KE>Jv_ z@ObvtsK5)^-rXlaZ6#P91}A5D=Hl~gKEeXZFOVLfN8@1&P(uZ#*aK#5=Xa0hBOIV~ z9OoDhPCO_!f{Pfa5qRwbI}KhX;jj(Gbxa7?ec~4YmpMMYtUUk!|KA5Hkio5WpU&?- zogdL%2#G9Md5H+mt*GH?0jh$UcPD^`TO6U`>C$qbMAT!y8#HD;nvcV4$Hv2;#11ba z2!s&Kgc9Ts!eIp5+q|Ctk05;Q)61Io|Nnpf@B_qp7%ly5L-p?tkbk?IAAqRV10_EH zAHXdZ_1N!&@G=WDLwhtHE+8^dc<zDehntD&ajXWx)uMVFDY`*joY(PKOb4eYq`1Lv zJ}9*_BSIF*f}j6LNKa__Uj!Ot(BSgvZuVdV6)T|e0A3ISl>d)F@_z*+|F7W1SH6Ja z4`c_pZr6jFzHd8HG{Qp+9J-LY&ZE2e1}k#i?$Ny)G$4tn>p=Mhoc&u5lsI~H?*=J{ zC*+-QS$N$Js_Ga$x+jB7>E!BmU;&NUb$5fgj;K{RJWF>sg9hpm4GfR&-4ZAb47fE= zM}U+Q;|P!`NR9w=(HsF!2i?scD6Jfi?%e@+9RX5Kj3Yp%AUOieMRNqa-0f}#4Naqj zL<e3+fRq#C2#_gAjsSDf9Ki}riyqz0pavX@BS6hH+-U-&oES%dOhIx4n2Y8JHiRQS zphw3KydeQnPK+Z!rXV>2%tdnqJHinf;O-Bs<?GSC+W=IP!drsq2@|B87)O9iL2?9` zi{uDUi<ARY=D>4l0=hduU2WVk0#Z(lJ3yu&xdY5~WC7Lapzdeq{b+at6x4QR$oc{5 zH83!A9EQ+fK}aJI6cQjVhz4O08-!P7fk=oN2n#}PkONPcF(k-C=mQE6x<C;^D=0ze z3sAa28NxS!OtdjPfYK9GA>s~d5c&g@UZ4))2WUWO22BXP0ZJdxhVXCbLg)@X2yLMc zp<h7h83qu(harUi0i{<MLHH5I5SqgTLSLAs1@_>HJrMfAEeJi~HiYhA)CS9U@PURW z7#MblLFfz#2;CtKp<k#$Xb*h|ZD9zZR~SR+4~`Hz!Wlw)xIt(KF9;28^e`|mfGQf0 zg^X1}42%^5jM6;p91|EB7(lL-0ZA}0Fyw+F%!yCHkx#;f&!CyPkBK>nkx#;rPr!)} z)WQL&^&nDh3}|Wssunb0%m6k;!ii78iBH3c&%lw-!jaFRnZ1Xtm$i?jpSg{RX(C9- z04%NG#3uoE2!|`5g9|os&<qJ^7y@L45{O`6U;z08Tt_i5Fnj@tIr0g(@F_GiF}($O ziUXtv)QAR28G#4}1_n?E1T;$t;ub*t54K#vkx#>s&!CyLhoz6XpNZ)XhzoU>6CZ~o zp8;5J1V|491A{%N^$ho;BcFx~pF=a$XUuxcNSYnNVF_}}6sTT9kmU>v3@Qu^3@e~w zi6Aj>5J))kDS+aFDHIkJps>9FRY!}k1(|OEYBn)2Fwnw$kl!aj&4<MuD1Cy)kwM;G z4k8@+1elicfkyrr_CVDli-Y3r3RFBA6c$dPR0;|!P{4zujG2Rx&%==~z?09Rici52 zoT9)98Dy6LD83jN7&2gXrSWk{FfcG^K*di&#haO!4uc{L<YpJB94x*-;S>QCqeVD@ z%-=y{^Cdt%dK$$u$o&;GHva~V&9{ISptOqL88kNk2aU~-U;||o+LRNZ^aE;Bf^48w z_)CC>LTH)(X>2}dxSdwv1L}{1MmRv`9})r$8G*}K<T~7)?*lV(CC+@316ytF$oGMn zfdN#$c7PfZ3=9muAVVGb1e%$c+(G3l$gU+&xm3_X33y!!ZV-Ul3+{Xe7*Xu{%!}Qw z1B?s|b3knXsCk#1aJanz)$JV|d>zb=d=r>G`5Ks+vl)?j&ro=JIDFZ_%xuhztgReH z+j|htm9K%BfdQ1Zr*MJ-fp&T43ysYW;i9ekx6s&p5pLSLzl6r-U!k%2COotapD8pp z{|k-H58<V4_-vuE`68flmWFj-360IaLSyqyK(pdB44)}9HvbEa%?|-}if9-<pw-); z97e0QpNJsHblTOwB{Vkw3XRP-0k!#P7{60!Z2lJ-n;#-f+w`-A#^#HF20~~Uza=y_ zAG8P)6a%y>A56dtTWD84fbJrorTf3o*!>}(1wS-QKU-*Qz6fN9oF-$Op#EJ6jm^J8 zWAjZw9d;VV?-UxF|Aofphe(2QFYU^QEi^V?M2fcVFQKvdS7>a$i8O7)X9|tY|3YK) zLqHR5G%O#s(Aa#?5^GQl)2jVYA`3E|cICqr8k=t-M_cz#p|Sa2Xl#B6bm29v($5we zn=b-d3{1oPTS8;=uh7_h6Ga-Qe;S+rg~sNGKqv2Mmi}pMzKAky<F|yy=3k+)`6i$R zDKsn}rqI~@FElnk1iX`kcJ=!f8k;YoM&tBPWAm@j*nAUp+J?^*8k_%x#^#5B76j6; zeAq%`^F=gi8^0wqHvbBZ%{PH8Ri)YZ*c2L@|Aofphd`F_(ky(o(Aazt9U7;98k>KG z#^#&o(l&gi(AfMhG&Vm3w7-a!<v)$h7tyC}{Fczz{3|pz-^75n;WLHC=6|8F`61AS z;56(1(%5_v=q3nSxxa+Q=3k+)`6k9RPX9DE{|k-H4>6%__-vuE`6A$>DQMS!FQKvd zS7>a$i5YF<cM6To|3YK)LqI2&(z5+SWAjBoo2Y2${t_CSe}%^8n}ALep<(z;p|Sa2 zXl#B6=yVhshR+rnn=b-7eT9bZFQKvdS7>a$i4Bd*e;S+rg~sNG*wQw9w$Rvo5zwhW zv`qgrHvbBZ%{Q^9ZTwE5vH4$UY<>vz^aon?AGgrhd=W?5#%~FY&A&op^G!heCTUnc zOrf#)UubN8h%;@|&lVb+FXBR5_m|Mv{3|pz-^7)+;WLHC=6|8F`5~Ya>S$O#Y@xCF zBJQ+}-x3;|e}%^8n?Miyp;i4pg~sN8p|SZPp0rIrTWD;)h!<_$UqWN^uh7_h6K~pv z&lDP)|Aofphky?4pk?__WAjD8hmg>4{GZ0=U!k%2CVn(d|1>uL3ysYW@uzM0Y@xCF zA_25@e+iAvzd~d4O#*2fK2vCH{udgX9}+~{@YzCR^F@Mb>;4iNn}3DI=9`4jHhiYg z*!(XvHa{ekw&Amd#^#HJ(boMXG&cVVjm<X+r)~I5p|Sa2Xl#B+1Z~4-3ysYeiKMOj zOK5EV6&jmw5=GnanL=apztGtHkZ9V5&lVb+F9JHGgoge15*nL-g~sNa#L_l?r_k8^ zFElnkB#yS>vxUayi^S8`{UtOu{|b%GH%Xvv_)MX(`Cn*kehB!Ge%g&6ZlSUHB1yE3 z-x3;|e}%^8n<UdVe5TOY{4X>%KO}{=;j@Lt=8L4#*8L?kHvbBZ%{NJ-ZTL)~vH4$U zY<@^OZNp~^jm;MUUw%Tn`m2P-=3k+)`6ijPjo&FWHvbEa%@2Xz=|!vcAzNr{zDPE0 z<F|yy=3k+)`6fBE4WB7AHvbEa%@4_?ZTM`VvH2o-v~_<8jm^J8WAjb&X&XLMXl(u$ z8k-+dK-=)yLSyqq3Tf;95*nL-g~sNa6wx+(rqI~@FElnkq?oqhvxUayi<HpT{UtOu z{|b%GHz}oU_)MX(`Cn*ken=T@!)FVP%@--Bt@}%8Z2lD*n{QG<yYK-W%|8Wdz9Hz2 zO7LBP%-XDc9N>EbH$dg?f}8-BW4aEyClIux{|r=aHt6n8Z1)6iK)u(mo(0=Ifsnh8 zB`QG{FfgnDQSduto52^zGR;EV84Ef})CH;*T&<wq&6@_hn-}E19H_b(ATyEO2f9y~ ztp|E5FjF<?B4p@|!j60#uAobiHNclELhn8XnYDw8X0cR(9K^st4R-}V&FTReiQA9) z#Q1Rz70r4<MYBw*L7rn^poSkCsA$$1DwzeE9+Rkn_>m8LUP8O0oB1g-wycEfl5PeD z22dKVf!c8tWEJkTvVxeja)OFxiPVA|#K1recO_8KtTj|L>jxFha;bxa*G>?HJ09l{ z6OR+9WEN-%$Q`IzN6_7c{hs;Rq$FXG`8M?+gBch$gD7NwV>iExRP*Q1(0ouH{{uB& z0dyBJVfP=z=6**$2WBfaY%-9$Y!ezlfy2PS4!VmS(+(HD0OYHCnU-KP$&oLh8k;yM z4h}%gr&Sy%G(y}@EAtzm=I;ktgBd<(<t)=QY~g^bm<5H;1E~45a=$|p$l<ih0}E(u zK0`Ca{j>`I1gQD63jYH%HeaCy5<awYe*@HfT7~}usQI*Vze6i+!)F1F&1Yz%t@{&b zXg;V7c>rqu1dun7%TLrkRWUJb51w|AAq))tAPToxMZ}mDK}EBcP|>UpR5Z(`0~Aoy z39k++nstSWW+`-1&yP7&G;0SH&0^`Io*x6CW`Vn+@I2(mrvb{3un~?p5Eo^H!v$3Y z(m$L-MYCQ|(JYg0NO)1hj}25b>kJjmk^m2GFfdTVk113%YXcR{`a>nNK<#XgUPzj_ z2cqEV-U(Dy7&w9&?G7mYoUI@s1F$r5K5%fsCJr)x1=Rdi#F^iS%^ZCF1dcwC!3+$v zGCu=qKCRXSoq(E8EB9-F8wIorpAM+`CqU6aIQ`5gHGW^v*nAIg1B`azvx3Ivb4&yU zJnh_{L1Xhz(Aa#9Nwf{04jP;P0%|_3${&x(kno|E`75C2)2e*qm;!M>t<2AWnoq0p z?F7_(T7|#HR7m*H%KQ!*oBx8w=6g(|ZTPI9vH2X+Y3u$B8k>KD#^!5)Cx>abC!mAI z=D(n^`5rTAn|@Z%*nEyzv~_<5jm<wnWAim;(>8oMXl(uq8k_GihqmFfg2v`^%%!dS zGiYr72^yQPF^{(4(?MhNU(ncmkNLC>pA|GVpJM@S-Jd~Y^H0#&e2s;)4WAAgoBx8w z=6funZTPI9vH2W}Y3u$B8k>KD#^!4*p>6nd(AfMJG&bL3DQ&}N1&z(;SVmj-XVBRE z6ErqoV>xZZr-R1kzo4=C9^i%Yv>P8-L1XhdR?;?pGiYr72^yQP0Uj8pUHo>?*!&kX zHs514ZPU*R8k^6thPLj{pt1QUXl%a5TH1zB2aU~tL1Xhh*3mY6R?ygdj`g&4e+G@s zKS5*jH8#*Td^%`s{tFtL@3E1#;j@Cq=5uVKt@|@*Z2k!vo38<0Ku*K<4~@-#L1Xhh zw$L{Hte~;^99wDY{tOzMe}cy5Yiy%!_;k?N{1-Gf-(x#%!)FDJ&F9!bTlZ(s*!&YT zHeUn0xs`_HKaI_QL1Xhhzzd^j7d|U!Y(B?s8mE66n}34F=4<SsZTNK1*!&kXHs50} zZNp~;jm_uSM_c!2(AfMFG&WxYyg`+A<y!}h&3{2-^F0pGHvO!ivH2VaY3u$B8k>KD z#^!4rqHXwe(AfMJG&bMkFm1zU1&z(;03VV<yYelA#^#@(vH2R{!+>ZPJ{>eR{{@ZB z_c%u5^iN~+IgZoT{TVbi{{)TA*8p$ap<()`vH34(Y`(`y+NPfsG&Y~(6m8v~L1Xhz z(Aa#9)3gnr4jP;Pg2v{1oS|*_te~;^9A|0k{tOzMe}cy5Yn-EP_;k?N{1-Gf-{U-O z!)FDJ&F8p4TlZ(s*!&YTHecf+ZNsO7#^%4EvH2dCXd6B&Xly>mW!kzwgU05cpt1QH zS7;kP9W*xo1&z)3xJujbSwUm-Ij+&x{TVbi{{)TA*SJpG@adqj`7dZ}zQ+yPhR+Hb zo6m8Rw(ifMvH2%xY`(@V+J;XDjm>{SWAi<3(>8oo(Aa#AJG6Cw293=>L1XhZz!#3t zaQu_T=D(n^`5xed4`~-ZD`;#!$9)>7e;S*Ag2v`+JfLm(bkNxR7c@5C;~{OsX9bPT z=XgY0_h-=9{1Y@bU*j=t!>5DB=D(n^`5sSb8$K&&Y(B?R+PXi3#^#@(vH2R$Xd6Bq zG&cVQjm`IXPTTNVL1XhdUeMP488kNk1dYwtcuCvv>7cRsFKBGO$1B=~&k7ow&+(eJ z?$4mH`6p;>zQ!BchEE5L&3{2-^F7|uHhfml*nEz6v~_<5jm<wnWAioM(>8oMXl(uq z8k_I&fwtkZg2v`^e59@WGiYr72^yQP@rkzK(?MhNU(ncmkI%FXpA|GVpW_Q{-Jd~Y z^H0#&e2uTP4WAAgoBx8w=6igjZTPI9vH2X|Y3u$B8k>KD#^!7Mpl$ec(AfMJG&bMk zCvC%L1&z(;_(fayXVBRE6Erqo<2P-?r-R1kzo4=C9)D;XJ}YQ!KF43$x<7-)=AWRk z`5OOd8$KO0Hva{U&G-0E+wfUIWAix}7{ML@AG1RE{=tc)-anW@WAjhY*nAB}+J;XD zjm>{SWAi<jXd6B&Xly<QGi}|UL1Xhz(Aazp7TShS2aU~tL1XhhSZNzRD`;#!2ODkO zpFv~uPte$W4R+dwPX~?7e?epOJve9^J}YQ!J_jdl-Jd~2^JN$q7*0UV2VVo}$S2^! zr_jvAG=WdRk&nZGfq~%#R9=XQfq}sZBrM^=7tqYy$HaVukuSoDFTs;9pn^{V-1OsM zU;ycn;ev#T7l?A=6L11aE4c6(G_&+E_cJkDGx8}o@=1VYI2afhK<)^D>H!}&2zSRO zkcAu;3=9kfP<d&nJDfoJ6&(3AT=*QCS$iM`?`P!GaO8ttjPAt8;mGG8!N9<<2C6p< z)KYci6KG~)3gF{lU;xRTz##{6(-Wv1_=+gFn`VOD1d?ar26>Qy0epoHTz(E*UWI_X z3jz5Q0`e_*<P{hg7?wcg!CRQ&?%M};pCg|F14zvY0%}0<`39<{6XacRtVuZXA;o7E zT6_vHFfb_afD|(@XoA9=fq?-eW&#z{K@#(Tiow)@;yMN@wjX2!#6BlbtZF#&88ox? zu=YY?dnF^E23Q=Hl0bIMfa>i)vSSTYObIFmiuVIhu>g=5Bu#k1(!?D+azCJQ!3cf6 zFnuDtAO|xrpv!qMFfbTE<=jC^;C^xhrB?%3`em93;v$l-E1v<=G*lr5kXbcQv%pK2 zaG8~isu5&XDyk6JtP@bP!tuE)4OJt^tc$2Z;JhTl2QmcH{~93wL*)`!Ams?8On?>$ zK`fx$EZ_tRNKm+9!K};-3@i*Ep}kpzf=Y7`8)PVmM&LZCIH-I<h(cJ*44^^;!bT>U z8Ca3IV799y1A`C)6GK2c#Bb2+WEg&nLc|#|AmT+})eH<v_#xsOrb6rhmw^ln41T;2 z@dMK#;^1<Ofq}u^0wTU431ZG{s8?J?AmRZFAnL(+kAZ<90&0H2B8WIRk1#MW{5OZH zUj`8er!xiyhQo#s@de8v;@~vHz`zio3lX2N0wNBMe+C8yYjuctz$%D1IKCJd7%nJ5 z#6L`eh=c131_lNpS%~<A$q;dHJ;A`h@D8e;0qS3Hxz51A@ENN9!AyvHaGA`&z`zL& zXNOs+;$qNnSO66Vm&FVW4BpUiaF`8I4=#fl7#PI3Any5qCjJQ;J`3ics^10;pMbfj z;?B_UVVH+1zE%Tb{sLx5gu}{|-%$TvfQmz>6&cdxAnGqb<JAbFjllxy-vgWw0YeDI zum~DHAGjd`F!TRNK+I9#frvw|Wn$PO3=zM8CjJ!a-v(ZY`e;b<Vn~Jh_W>V7JOe^8 zm_hv;zz-3C9vj54T@7Ns0;q6hU|@i`b34?0h-wCgT&Vd4P=6Ib`7m)wWr#TwVjvQR z(5!Yt9wL4r5h4!VZp4rb4F>_JI+%GMpyANK2C>;58s2TtaA4qqh$liQhRxa#^EW`_ z5nLBCFfepL-P15162CC<K1GQ72MZzMuzD#O>MsFkzJt|a8^s~&9iZYc^#`Hp(_jh2 z99Vrc4^|F9#bNOq11$$WK*hoJ5(5K+iz(Fnr4Vyq;)kH|H32FPu5%a|7;b4n)PFz| zH;4N7!%B!bF!g0ncPdCh0_>0wgf`}engjKyFGQGOGb5xfhjQW6YF6yx9yrt+Fk@H0 zj1RlGAP#dtsRo)f;cfvj+;F&u6NmT=7VP%!!C~)R9OB1u_-io}cJouAZ6lDIk?~a= z?vcl#-XDj!84i1;aEODJ`(g{vIXL`VhQpnpdIOs|4{_LgA4j->rZ2IXqlUvhQ*p#^ z7!G^8aEQm4V~?*xIMnCju(uG0JAavBH{TaWIJDvruh+$H4(M8QY~iMlBfh@lFkcTx zIDn4L#AZG(4s$^D1~&DqIKopBM|f_*;r@R(!Wq<F#%Aw!XnE+M3(0k<(E2bPT90qA zhKOHsg3z;}_09uG@yP(5?_gkH_@o0VCkvqU4ov(CA4J>$T93oTKSImx1gN86;`d?Y zupq=fn0P4Eoe$8&y`lBR0%&;+Q*WpVu{S{&)f{_hd9EOWDsBvIpFBVlzXGk_7l@*& zKL-tm1Tj=`5vacu#8Jh+LBrtzn)n51xGey6#u%Xce?je4sQLs+RCCrELHsqL4plrE z+CB`ZM-|V4wqpevP{pG;A?92_6E~HCh)-xlRWE1&5f5lW6`v^x5f^Ai6<?tO5x;;Y zzK9JXKA{CweJ`|K5zvY%{?ZJhUZ4$CJOdj37tq8PLEAYK+ELZ_Lfabw&;|%Bo%Aa} z&F@52?`i@OzknvrAqEkj(1oi0AGAFj(2XiC2u*JSJ*eUg(DZfzP5c71T{@u`RecJy zT^G=YDxL&wmkRWwipOw3+<5^_+)NrGK4Ai?dLey?c)&zd@jU_%ae+yw;yuuGdjU;6 z4VrEzOh#274Q)>bOhFYFgtqGhrlN{(f`;=2H1VC#d_7?rs`}&5a1MZW$YAk%6B^C} zGf>r6LG#fCG;w8UKAJESRlOuM9|eFa6r_C02F*tTvr*MQfQIu0H1V_0aGo#+Rec&X z9|g=s6)%P6BY}CS;`z{gbOB9V2wHAWK)&yufq~&BG@JvV9Y<LB<U-r+0?7B9GcYi; zLfg+5(8QZ~An9QO@_phA3=C7C{g(h}2NY($n=wSa0P_9O3=9l^py7N0O`I8;k0v1B z*UZ4c@C6#q0m%0hGcYjRhn80Y(2gL?-c``@>H?a$kr2c^6QBbaF!heA5b*%ydtgE1 z0PGNP0p$Bn85kJUq#)uK(8QNQ%c}{<_l+_zFqlHis{rJCHW?Tg;-KY~0P_8k3=9mm z(DLd6ns^MfyqbV~pCbbU10%G&3P8Tckb!|=J9K<T0Qvqt1_p-H&~UzhCVme(ZZHA) zzB~p7h6B)kXaMp(aSRL$&Cv2n0Qr721_lOxXnA!3O`I26UQIy0kBotVfgM_21t8zU z#lXPu5E{+`$oEfy#<KOG;g2R>0WGg4Am8@{iZ5t+6@Yxt5d#B*Ahf&^fDX*S(gPc` zyt;rUz6To46QByA6hj`gyb3_RM}~ocp%hwP2_WB}0tz>1d36CzyaifbO+db{gn@y< z3|d|VAm7u$z`$?`8qNa9_fs%1FkFR(^93~VL(p)ZfP5bW0|P@hw7d#Hz6XJUfuRCg zUI`$d{|^c`XnA!3O<Vz5UQIwgZ=ZpIK^t0L1%MjNNag1_XgCWXpRdlqz|aCMuP&g8 zOF+x33CQP}gT_^%<y8RkIo=Em40h1+N&xx%YS6eJw7j~2Ce8#cuO>hTeqiyt16p1M zAfJ=Wz`(E{S}zD7pAXEyz_0;YUR^*F?}C<B6Ohm2Wnf@PgO*nT$mh_4#t)(4EC3yt zfw|`|G@LJ>iGPQN^91DcP8k>&9>LmI$me`AFfbHB%PRro^DP+|7?_~t)de(hZD@Hl z0r@;h1_lN$Xn7TYe2yXm1A`{Cyb?e@Kahce;T$xaFQAFHK+CHM$mjKe(kHaM3P3)m zj)8$;HMG1EKt7+1fq|g`T3%g16L*G|R}+xWLt|iIxC5;h0+7!E1C1j=>jeSi^RE~f z7_LC;g$ron>!9V;1myFk7#J8Tq2*No@;OTk3=FQ&@=5?Y;0KG}&(LtbfF^zq8qO1t z&+}nmV7Lqo=K$n$Y#10AI-%v20P^`Q3=9lD(DLd6ns_j@yqbV~UJ3&PLn5@i3P3(5 zgn@w}6k1*hAfJ!Hz`!5^Ew3)1i7$qhR}+xWqhMfQSOzVx0+7#PU|?Wa04=Wskk21r zU|`6EmRA?h#KWNF)db}I{tOHZ@1fxw03G;;h4VjXzfu4+;Db~yaYFOa1vK$B(DG^m z@_uRt28JYPc@==XkC=gh;TtrZ1(5g8GB7awg@*G5G;wZdc{KrfzbXR*gDkYX3P9ec z$-uyH9vaR9$omsP?Kfz7bpcJh2U=cDK;93?z`#%oEw2KQ_rWnRFoZ+PD*@#FUknTk z#?bQW0-E@4Xn8dOdA}6{1H&n3c@+Si5P*gM5okCIAn&hXU|?7eEw3)1iQ7ZVs|m>a zSwP_ctrr52_i->VF#Lel3j)ad7Z?~Au0zB50-E?YXgE&*4Y(nthuP5bDgb$%JOcwm zAhf&^KwcjXnxBG}R~OL4)1l?n1myMDp!y41UIiepLk7)fLBm-9dHpQ|1H%kxd36Cz zd>XX8ngE?4goX12Xn7TYyv~z>fguZ8UI`$tZv?ehq2<*DG;u~~KAM2Mo{)ioVI8b} zg}jaqG`|3AUm>p_1En`;KDvM=ZVb&w6Oh+yfy!HGJ_<lyr^LX(a2uMB1d!L~FfcH@ zgqBwq(8Pa3%c}{<>tPre80J9pQ2=zE2Q1uzp!rAux&{I!?g7n57tq8tp!sM5bgckP zJrgt^1wiM`VdC$h<&^+*{uw6T0nJAj(8Q-h^U(z8JTgrEL})$=;0MhFAjXkgq5VMt z0aS4wXg<1tCf*OtM-xC34M^#K2Q(iA2%(y@1e%Wogi*z}LG#fCH1P~*KAIqcs$LG7 zj{-zd#Z{sCNI(o#Tp5~=E})4sLi5oCaa8qZp!q030#!T}nvVn|QN>H3`RD?gcpfw# zO^`xW?*Yw60n(`A$D!dYAcHC%4r^bbi8ny&{Ry(D>T96&et;aRcpbFf7m!C4H-Xms z7tq9CLBn~10;>AA&~Oe=L=|U%<|6?mRPjg9aK3;hz7*EJQbtwp1g-Z2R8YnBq4mCi zDyq09wBEmfCe944_a}fRK9JJqIcRwmppI(JENFQppn)px0WGgCpotqp%c}{RsOmMK z<yC+ds`x!<I16Z_icf%+R~OL4r$fuD2|B3imqNoiKo?bf8MM3-&_flEfR<Mm(8Rw$ z!+C-}s`~HHa1Jm)6@LN^X8}W0@wL!!zJMk^3tC=HFhW&t3@xt$j8Vm%pyic-397g+ zw7j~2CjJ2$&J#>g)h~nA3jt=R;#Sb|O28adJP2A|T|g60fR<MiEKt=KLCdQEOH^?c zXn7@Ig(`jvS}$Bc6MqP;7baMvs=oxS7XoZh#n(XV1p!-B@eXKtbpcIW09sy6utQZZ z4=t|(>`}!fq2-l;1FAR+w7j~2Ce8;9w+W7@>Sdte7T|;`t_KY_0cTY42e9;sCO!=s zZWCNk)z5{7TYxL7cpo&}1l&-?Goayi0ZrTm8g3Jy3x8ns;~7}`1Wm*vl^eHV>C+R{ z{Hw6^i6(vk+Af^{UC;zGKLA?J2Y92J1Dfvvt(y|?K^5nOmh%_T#9u(ed4eyhdUj|z zAK-^7z6O>){ZYk}pym7pH1SMmIX@u)RedM4J`D&&6%T@z^8!Jr;)>96{sNl#E?D{u zMpeH9mOevJ#W%syXDF(84=jD6iHAeW`3YgD>Xo78d;oL-7c5>bLc>`g0#*GUXnlGC zO?)FXoF_!0s!xZO^8rz);#$yhUI4nV2xhMWw4A?yCawf6&l{lY<)G6K4C>HyrH~0R zA10m(oew>LCLRWjuY@d!dgwF~0}pgQ^aGmsCun>%WTUE&h0a$B<e-X2Li6JSH1WI8 z_M`!HK@-egFK9kJ02SwhuJbw!oj<(*S^&VnzyKZ2V|WM6rwfW8_QJ$fq3$UtMip;? z)?W@KsN(yf?hz<O6<2|l4-e49_d?rU8_FQ+p~Jfj?a*{vP>w1t0S$kH3Wzv#_>!Rx zT5mE`Ld2oN+ziX0{yl&uZUU`08>%4c71$y3IgC*81&t7KXm^F78yb%rnjqpZ^KU@Q znSy3iabak_Fld2@Lx+7Cs-f+i4^VNKIa8tI9vfOA>S5w0(C{p1LlqB&wu=nfA>uIe ztDx@u02POs^BY=UZ|H!ihl!tu#V>RrCro@IG@lxDLDa*{FNeDG15_NQ-V54J*w778 z4-*f9=A(ihRPp7|dd;90Ra_6|el+nD(0sa~527AAUCQ79ONadsap?3a!#ZfVT|g5* z4{b*!Oh8q?2AU2(poxb-(_zCzRQ2r8bSN+hRon`i4i}(_PlcvKgUP7s_dwIz0W@)D zXuJeWK~<j&Ew>+_iT6PLTQC)(z8aF88APD|{eULk1@&*iG*tDW(0cg;n)p*_dMlWY zsy-H4Uwl9lZ-M%^U<RuC`_O#x0ZsfNG+z|VL{*;xZ4Z1v6K8^&Q!opn9y<KW5D7IW zU^YY?IvvPR15Jkvpao+L3=A;wOlW%B02PPT7bl?krC=_qIcd=JuP_f)JO<kCy#N)5 zPGc}+L+iB#^C9YC;_spLSHS{^ILtjI(0rk=5LJ9KG`(Fw6IX_s)369t{Y_|l!(cJ0 zcpfxeJwOw;f|@^J399;Y&~n>hDMTC=KKG&NhhZ72xFNK^a{ww1^Oq*n{DS2W^)T_d z&~&S?0wN9zp9fHPet?R@>^%oHXTwT}dYHHiG+qi;p^7&_)f=ov73YAe|9~bg3ri1c zP}Pe;(@()#RB=maJ!`NIRa_VvZXeLZK^u`k?avMCQPo#M!?R!ms`!3rdN$aID!vJt zA3vapFN6AP!zNVq8=&D(09q)E)ZSKusyEnzsy-MRj~~#)1EJ;ZhOMaTy`kk^!8TNJ zRcQHRupL$W12q4BKoegLZ8vV%fvP?W8V&_JQN>-L;b5=}RooI<AALX*XMpDG4ZBg* zFNC%m3qT8Nk-}#MwB2a17ghZ>==i_~H1X}QdTJl4`b=p5s{pz&0+w!Bq5Us|1E}gb zpzXa6XyRX?;k@A>s``D<a4tB6D!v+8zZe`w6?cJ^^JwD1(DHV}5mfbY(EeA!QB-k8 zX#O=ghAO@t8qOck#J!>A<c8y@>XV`6Ucm`e@j__-%ituccr&!0`vFbd6x#pVa0*rZ zYiKwZoJJM@1Py0{GpOQ^q51j)n)qI5zTR*aRsBq8`?=s8s<<Mw{a|n&RonntkAFZD z*Miok8!n)#7lrn}3NE6GPl2{S4KAUIt3b<>4`|}P(01L1%c$ygp!HP26;yF=X#dOL zDysMgXgGgB6JG|ccQ#x@Rlf_`&n>u)Dt;E)Z!)-nD!v}t&;5WVUIgtoZMcc5{vR}) z3vQu`|AB_H!EIFWZ_sf5fF^zc8qOQ;psJq@Ew2hd3+$1~hjeIpWpEEwy(qN2`hX_R z04=XJ+(%Xa6&lV34^YKdL+f{ghp6JQ(DLd7ns^GdyxQ;xRece(yefE%DqajNuMD1` zimO7)s}E@62ch-ChNr0Nk3j2%f@i4W8=>Wu!E;pcDrkB20Zlv|T3&5<fvTPXT3!{r zL>1?UmRAO^P{pO7<<$o?@x9P`VZ&=w^~KQgs^AT(I6JhwGI)zBE)OlQKA?%~Ld&ZS z?@-m-L(8jz_o(6@q2X-s0abiCw7mL&CcX|@UTye@s(wDSyejyFDqaaKuM9q;iibkW zs}E@6ccJ0D;R~wzH_&h{_=+n23>wY`-%!ObLfcCp(8Lp=<<*AosOmpL!@1xGs<;%i zyfXNSD*h81&L7akC7}6e!!K0zd!gl3!EaRYVrY3~@CQ}A3R+%$Kog$@Ew48GMOB{< zEw2hd3;vPH2P0^CW$+(W{b^`<^#M)%B(%KR0No1;YbP9rmRALgkcIv*@ww3Q%76(~ zJOf%@eLxd8ftFVrm{HX~f`)Se3##~8XuV(n+7N-{uS3vq{(vT40WGgKu%Vh`4K1$< z*iprupyibT2da1gw7mL&Chh_)uQqU^s%M7gqXI5e@k!9~%77bHd@8iO`hX_h4=t}Y z@Sv)XfR<MUyr|-?(DKTF4^{jgG@L)6iNA%mw>R*ks{g?XSwC4IfGR#4T3#6lqKXGX z%c~D);!mOByaBr56_!rkK*PB}7}cC#&~P>oK^5nLmRBFp#P>nNd4njb`Vwe)RUn2c zUJWg;48&2zbD-tb2Q+avXnD0k0#&^dw7e>iL=|5JEw2nf8^@5+=T2yO^#M(MGqk+g z0Nv;e3!iz=@~S`v)qFi@d1WArD!va|UVT6le-5n|Hpro>KL#zY3gl76pF+dgKmk>} z3tC=%KofU>mRB1TQPsOc%c}w<RB=~md1auCDlQK#uRfrO--U+r1{GBGWzh1fKowQI z6<S^ysG*A2L(8iVXyTF3@@j)Rs(KY@c~zi+D*gf5Z#B?F6`u=hU!jSY!`fF`sOoc| z`KUk}Ra^<0j|_BB#ScUC(FZi~Q_y_0K^Im14QM_p&_fk}1ud@(^ijpTq50?onz#ux zA8jx|Rj&ojM+Jtc;^NSJWMG6U{t;SUeLxex2Q9BQ7^A8$hvuUK6IAgwXg)G9MHL6_ za|VrLd_WUdhV}<HfHrs{<;Pdha4s-MHKz`mj|?nO#iv2@(FZi~$<TbX!4g&dQfNLZ zutF6Nhvp*#YgBPwXg>OYCN2WaM;mNV)w4qLQGqS0_y=ftWnhOYz8jj4KA?#^L-WxF zdsOv7(0o+jfGX|-%|`}~sN&|(eDncLd>gd9+Tet$-VNFxEO15@&xh9g1}>=LQP6t- z1Dbd)wBFy~imF}+TJIOQp^Be?hO>b?s`wpfIDbGBe-91k4IZfKFG9<!0#8)&DX{jH z7pk}dwBG-KCN2l9_cwT>s+WM)`vpFz;-8@5Y~YJ3z86|veLxfMgx32T{7}`KL(8iI ze^hZLXnAE2fGREyEw4VHiJygr^M*iF_4Uy5svrneybW4j83dz>Pl1+KAJD|7Ld&ZS zA*kxzq2*OUD605lXgC{yHZmjS_h-;>{(vTa85+(T!conc11+x#B2dLUq2-l9B&xU) zw7mL&CT<8VuQo)Xs<(mG`vuXc;`gE9Y!HJgJ{4MCeLxe}gqBwuVo}vQLd&ayI8<?e zXnAE2k1C!BEw4VHi3>x^s|^XL>Q6%Jg@Qy>@e9y;!5|4${2;Vm_<$xp8(Lm%NJdp( z4lS<=Qc%VJL&Mo16;+%MT3&rX6X$@IR~yn$)qjSyuhLP)o1x{EK?bV0Ftoh-fF^DO zEw46YqN<mMmRAK?sNz=8^2#6^Rs23QoIjw6Plc9O8*)(9&w-X#1-Yo=d!Xf&K_063 zL}+>S0ZlvvT3&6)M^*n8S}zn7po+hShO<E-s`yiAIDbGB-w!RXHWZ<%?}C<B1;wc1 zVbJo*pafN%1zKKxKofrt4d)G|sOq0U!?~agReTn-yfP?96|aDX+XpmpM`*Zhs6bUO z01dZ-N>uTc&~P)TLKR;Q4Yv<y;tQeSwxJqTeKj=P3TjZr-Js!SP>U)q1P!+jXyON8 z>9Y=1{c32q71X1OFMx)dK?ACI7&P2IpovRD%lQqBsOtHl<$OUCs<;xgoHuAj6&Hk- z^B>T}A40=<Lkp_<QfN6}(26Qv3@zsk+EB%Fq2>GsG;w!mIlrMDRlPE_oG<7=6+a6N zXM;{u@jK9d^anKY=g@xi2G9W-NcB+|v|U=zjcSf8to-Rg6<+`?=Rcr{pM;k48+uXI zZ-tig1%0UE$D!rCK|iW^C9M2G6W528KNC>Zo59MTiKyZx(0pV7J^u>UpM@TSzyMnI z13F9T&wmJjE(ZmR$Ak9$LWKXfL58Qm;>hYj=fi-O5`fG>R?h=H-v}fgiDZryl6WYR zco33!G?I84k~ru{3z$3Gk;HwF)bB$QPeu~o1#$=j=qxq{2GH3xFmwJPiKii{SBIY8 z1d>MfZ#0s4IFkATByk5M@ySTy$nIQ%Bp!sMejk!J=&U1{J9*hap$u_9vb{=3;>h+I zL&ZU<0c0f1oIt2J$Y03jq#=nTn^O)IhnWLCZi8VaR2*aua`>!)u9JePhuPZ%JueKT z9(2YPO#Cg9IC8uQK+h!ssYf<n4l0grz6Mkrq#ijPTH+A*!XX}uBn~>`4d%`{NaD!p z`4o~ka(aG*B#xY(zafbur)P2Kc`G3IBd3!XBynVWyO6|@?OlW<j%+VzJ{pvQK;|Rc zdmTwVvb}uJbJ;-Zk@L|(Byr^UDukZb22zhKJ{fvG4M-ex1{f?n&mxH<t9OE);|5ZX zEG`N?cLpS$f|Or;k;IYJ_aKQQhubbBapZ8jgd~m}ZqJa!k;9E0daez~J;>qagCve@ zZxxa_vb~d##F6b?h9r(`?{Oq?WP5p_=jnjlgKV!Ak~p%xK}h1r_NF0;BijpFZwX63 z$o8H=Qjcu!FC=kfdxfCq`+(euY_A%UII_L&NaD!$_8^HP+q(-%9NAvbzI&Mak?nnk zq#oH`c4$EdawoFAK1kxo_EsT@BilO(NgUbUWk}-4_8vzPN4A#-dY%!;J;?T2A&Dc~ z8-yf|Y;PKpII_L%NaD!$o<R~vw)Yp3II_J$(1r!boyhj8A&Dc~>y9LjY;O;eII_LF zki?Pgy@Vu=Z0|E9ab$Zz^R}?`fNZZ1^t>mK`;qOfLJ~)|cM_5~vc1cY#F6bijwFt3 zFAwxQDRg_Ski?Pg4MGw}wl@t)9NFG>BynVW&mf5-`}Y@;II_J$(DSW8?nJg%4M`l? zUUwvMWP5v%#F6dYg(Qw_?<FL0WP6_>i6h&~4m}qV<W6LJ9gxJ4+ZAg;he|`+hk;1- zizxJ<OOQFBvm{~l*FGe1<o1S!GALC++G+Mk=J?<cZ&X33N49q<l6V}FIR|lwpNEQr zno|Wx>YqZzLGF)266aDy*oz!KLP+8vNa{6_#F70~sD>~H+5N3Z;>hlwgG2lPR2<#? zC!pf!?*EJ=j_m&5NaD!u=T%3z6WRSWphKRq#QSU{ab)*{&d~*#1ac3u_!X#nbobwf zii6angg^AaRggHc`-PCik=?I`B#!L<sahb{K++p>_^&|{M|S4{9O93l;^^*w1r-Om z6WRR|+6a4*-LHToj_m$WBynVST0+lh1(}a59)Ki{?EZ8d;-ye=ko)tI((N>;ILLft z_a8wLM|S@iBynW-|3wl<E;qQL=P;u8M}(l_AbXL^2L-4&Og%JvGU!0XLF$qHYl$R| z+#dm*hYX4Vkb30ua3ScxN@#jUF0W1@i6g6jg(Qw#-WnT&OlJVEGC}S?`a#7(_9Dku zxCyFyXg7hO2r7=Qet{{fdRVz?4L<)I)7~(sIJ!C6po8~8=ie|efKNe(+1mycM_0es z61%-OpyKH2RjeTDLE^~amIW1u#Ur%Y#!v<oM_1ni6-QUU9V!k|j~ri*aES9l&-X-E zF9{V#H@^@nj;?+V4)MpJ&ObE$Ap2L*5fKi^?({+uM^>MOB#xY}R9qnDz}yLocW<aT z$eqaj#F?(B>S6JF04k2IzRev~J<R+KP;qqiLZE|IvG~{28@oB7P;rns$m#zEs1U)D z4u3<%(bWrq4n9Uw4|AtAR2*IXOeAsSaNda|jvUVYpg@HB3pu`a;Shg~L;NXda0-h# zf1u*%@u&tGw1TP!842@O8mKUZiX*$H7Ag)i2Nu7}q2i!$KsF}{R0v`*rx+>@GY4j` zK?L@A^n;3n%twyL9#CTxOT0`*6NkBTBUBvSoF-6ZgT<X|afsi;A<hYkY%Jzj;SgUM zgWbO;q2lQ7d>)Ic9_FuiXyUN&<OfwwQ1>8*+d)tzhb7#eLdDVTRRj$JBB_Vj>k1V| zSAQ-E)txZ+Oa%=FA(;ac-wYK;H|Hy8kO+(VKWO4G_eg;Tg|Ybe3z9f;dR9$`xD#YA zvUmUv@kSitTXBfL#3AmR39%PF{TD*TLGD40ugO`c>S6w!g(eOQ&)raQbaM)GP|bm< zp9&R6SAR7ZRXxn!pHOjh^=+WW9G3VBC`2^}W^W!;9NnBv(2Gvc!)GU&ILtlQq2lP~ zY%GS@3lc|;-$yvac}uXXx5FV`ghPB84so6`i23OLGJuMM+=(3Tbx7jK?q82X{1y)J ztL3Qn!ouwinm8<8{zApk-4k2^F$W}$?4J9T5OH*WF;_vvLF$qH6@w&>Y)%n$qbtlD zm^-II#nH`qk0g$4PGBv>UYI#Bf0aVT(akxHBn~R7Vd_<&=YWIMBb)C96^EG*Yfpwj z#X;(k&B=v|qpPpPA-)GH4l)N+Wx?F@3P~Kf{lEl0mmH)X*<LXuapdt9b0l$4lLuyg z4U#yry{nMKk?lQ%B#vzFH6(Fld%q)zBim~OJtrOHeq?*Iki?PgZ9)=9ws#tmII_Ll zk;IYh{evWqY_Asde0Gp~knMFs5=XW-3`rc>-f|>yWPA4@i6h(l3P~K<UMA?d@F4df z+bf17j%=?vk~p%xHAv#f_O3z_N4ED6k~p%x*O0`K?fs4<j%=?D^qhK-`;qO<LJ~)| zw+Trc+1_bL;>h-HM-oT2_Yaadvb|c+^YKCMLAKWkNgUbUFeGtgd&`l;k?q}sB#vzF zD<pAbdzql;@`K!iY_AxSII_LwNaD!$)*y)^+q()$9NFGONaD!$UPBT`w)Z=dII_Jq z(0c+v?nkya3rQT=-X<h*WP7I}i6h&)9Z4M7-akm<$o6VM?<WAc2iaaHBynVW!;r+0 z?JY+VN49qlk~p%xuaLx%?PY@AivV&Dvb|zR;>h-zBZ(v1TZ1HyZ0{;0ab$ZBA&Dc~ zdksk(+1~F+;>h;eK<{Y)xgXiyEF^Jcdz+BNk?oy^B#vzFb|i6Rd;cJbBipM5y&nSP z9%Or+ki?Pg4MP$~wznKf9NFGINaD!$zCsd5wwDQdF9paw$o7gMi6h%<jwFt3Zw-<- zvc0R2#F6bigd~n^?=>WGWP86Oi6h%<13hR6<bGs(vyjA*?QKF5N49qwk~p%x+mXbP z?fru!j%=?M^nMPIdywsQLJ~)|Hw;M}+1_#_ab$b<Ac-T}`wB@M*<L2-y&xd>Aloa3 zB#vyaIg&WCy){VU$o8&65=XZ85Ry2uz1NV$k?sACB#vya4fG%#ko%GC%|a4KwzmmM z9NFG!NaD!$ZbuSFw)YQ`II_K3&;x%!?m@QK2}vB;-Y_I_WP8hz#F6dYgCve@?<*v6 zWP6z=Li!6J_aNIVh9r(`uQ`%9vb{A(;>h-{LJ~)|_Yjgevc1=k#F6d&jwFt3uMPB` z7?Ass?ae|GN4B>KNgUbUX-MM8_HIWKN4ED5k~p%xTG0DxK<+`d*9l1++1@ZDab$bT zk;IYh-Gd~KZ0{>1ab$a$p!ec{+=Fbd7?L=$z2->b$oAGCi6h&)3P~K<-a|;@$o5`C z5=XZ8JCZoEy*AK$dO+?+wl@n&9NFF`BynVWry+?W+q)e}9NFGKNaD!$YC-P@0=Wm- zUMD1RWP8Jq#F6bSM-oT2cMp;{vc0d6#F6b~g5FC6au2e-Vo2i1_L?J!BimbpB#vzF zDkO1adk-OrBinloNgUbU??~dv_S!%XBm}u1+1@N9ab$a&ki?PgorWZiZ0~j?ab$b{ zAc-T}s|CHE3FIDRd!3NPk?jpb5=XYT97!D6-aSa-$o9TM5=XX|33@LS$UVsRiXn+3 z+iQ*_j%;rYk~p%xtB}Nz?LCAfj%@EWBynVWzaxnw+iL^8rwZhLWP7ua#F6c7LJ~)| zcN&s7vc21p#F6d&gCve@uNL%vERcJU?R7#DN47T%NgUbUawKtNd-ouTBis85NgUZ; zCg{CfAon2KD~2SFY_B<zII_JpNaD!$u0j$=w)YT{II_Lhki?Pg{f;D#Y_ARUo-mO6 zk?qYw5=XYT2}vB;-f2kU$o6hW5=XZ850W^ty;{)w$w2Nww$}+s9NFG5BynVW%aO#9 z?cIYUj%@EMBynVWnV|Qgf!u>^uNaazvc2X=;>h;aAc-T}y9!Ah+1^7);>h-1LlQ@} z_dAj}vb{FYd)h$mN47T$NgUbUCM0oWd#53ZBip+jNgUbUKS<)p_G&@zhXc6>*<L3k zab$bLki?PgEk_bZws#MbII_L3ki?PgWrE&I2XYUxy<$k>$o85ei6h%vgCve@?<yp5 zWP1-Gi6h&44M`l?-tS1_$oASm@5uwXAKBh4BynVWn~=nj?VW}sj%@FCBynVW{~(DY z+p7h=pAY07WP6>E#F6a{LlQ@}w;V|v+1@=!;>h;CLJ~)|mkD|=Ajmz)_KG2iBin0^ zB#vxv4U#yry{nMKk?lQ%B#vzFH6(Fld%q)zBim~Oy{8c5eq?*Iki?PgZ9)=9ws#tm zII_Llk;IYh{evWqY_AsdengOaknMFs5=XW-3`rc>-f|>yWPA4@i6h(l3P~K<UMA?h zj3D<Q+bf17j%=?vk~p%xHAv#f_O3z_N4ED6k~p%x*O0`K?fs4<j%=?D^Z-(j`;qO< zLJ~)|w+Trc+1_bL;>h-HM-oT2_Yaadvb|c+`zb;0LAKWkNgUbUFeGtgd&`l;k?q}s zB#vzFD<pAbdzqm3VuIX*Y_AxSII_LwNaD!$)*y)^+q()$9NFGONaD!$UPBT`w)Z=d zII_Jq(0e*T?nkya3rQT=-X<h*WP7I}i6h&)9Z4M7-akm<$o6VM?*|3B2iaaHBynVW z!;r+0?JY+VN49qlk~p%xuaLx%?PY@AOA2xivb|zR;>h-zBZ(v1TZ1HyZ0{;0ab$ZB zA&Dc~dksk(+1~F+;>h;eK<`NfxgXiyEF^Jcdz+BNk?oy^B#vzFb|i6Rd;cJbBipM5 zy`L529%Or+ki?Pg4MP$~wznKf9NFGINaD!$zCsd5wwDQdFD%GC$o7gMi6h%<jwFt3 zZw-<-vc0R2#F6bigd~n^?=>WGWP86Oi6h%<13f?<<bGs(vyjA*?QKF5N49qwk~p%x z+mXbP?fru!j%=?M^nP5BdywsQLJ~)|Hw;M}+1_#_ab$b<Ac-T}`wB@M*<L2-y}Tgz zAloa3B#vyaIg&WCy){VU$o8&65=XZ85Ry2uz1NV$k?sACB#vya4fLL1ko%GC%|a4K zwzmmM9NFG!NaD!$ZbuSFw)YQ`II_K3(EEu&?m@QK2}vB;-Y_I_WP8hz#F6dYgCve@ z?<*v6WP6#Q_acMbgKV!Dk~p%x=1Ahm_SPVYBip+QNgUbULrCJt_Fh90N4EDnk~p%x zHqeVaK<-DjHw#G|+1@53ab$a^A&Dc~yB$d!+1@`$;>h-DK@Ua%xd+)^CnRxXd&7{# zk?k!<5=XXo50W^ty|0kOk?m!I-b)Q~53;>tNaD!$nj?uL+gpPqj%@ELBynVW4<U&o z+j|X39NFIQNaD!$+CcBg2Du;E-Yg_>WP6*C#F6cth9r(`?{*|{WPAT0i6h&q1-+je z<Q`;uosh(l?F~Z`N4B>dNgUbUJxJon_P#<AN4A#<dM`N0J;?ToA&Dc~YmOw2Y;O&c zII_K~ki?PgJ%l8VZ0|KBab$bHBZ(v1YXiOT1>}BYd$W+lk?n0l5=XXo8j?7&z1xw* zk?sA1B#vya7W95}kb98rbwUzHwl@q(9NFG-BynVW_aKQQ+xrSh9NAtb=)LS9_aNIV zh9r(`uQ`%9vb{A(;>h-{LJ~)|_Yjgevc1=k#F6d&jwFt3uMPB`c#!*%?ae|GN4B>K zNgUbUX-MM8_HIWKN4ED5k~p%xTG0FHLGD4e*9l1++1@ZDab$bTk;IYh-Gd~KZ0{>1 zab$a$pchMl+=Fbd7?L=$z2->b$oAGCi6h&)3P~K<-a|;@$o5`C5=XZ8JCZoEy*AJb zyg=?pwl@n&9NFF`BynVWry+?W+q)e}9NFGKNaD!$Y8^wYmq51H2}vB;-Y_I_C#3zJ z<w)Yl_U=IvN4EDBk~p%xOve%KLAF;6NgUZ;b0l$Odux!yk?mcDB#vzFAtZ5Rd#@ph zBis8ONgUZ;8|Xzj81aiFj%;rek~p%x(~!iG?cI(fj%@EABynW_YMn%cC$haxNaD!$ zh9QX~+gpw#j%@E9BynVWUm=Mj+skwc;T~jr#gN32?KMXdN4B>HNgUbURY>B<_8vkK zN4EDGk~p%x-;u<T?X@|La6huWSxDl@_BJ7jBilO-NgUbU?MULt_WnT<N48h%48lFg z_BtVnBikE>B#vxvIg&WCy?c<vk?nnjB#vw^(^-UjknI&i5=XYz97!D6-WnuvWP4X3 zi6h&42uU2--fKwW$o76m5=XYz<{ZNP$o6I-i6h(Fgd~n^?=&QFWP7(Gi6h(l2T2^+ zUaj*8_aNKrgd~n^Zy1s|vc2U<;>h;yK@vx{_Z5;jvb{_f5bi;?R}4uU*<N!bab$aI zki?PgU4<l$Z0{i?ab$b1A&Dc~`yELf*<PEA2=^n~n}sBfY;O~iII_Ldki?Pg-Hs%V zZ0{c=ab$b7E+O25Y_AiNII_KANaD!$mLrKH+q(xz9NFGiNaD!$GF?Wv2iaaRBynVW z&5^{B?X5u)N49qrk~p%xhmgdP?Y)L1j%@FDBynVWZLT2Pk8E!ik~p%xO-SO%_D(|* zN49r6k~p%xe~`qH?bW)9a1XM*PDtX&_J$#eBimb!B#vzF9wc#OdtV`mBiqY#4dEVS zd&Q8%k?l1{5=XYT21y**-c?BA$o3vW5=XZ88j?7&z2A|<k?pm)j&MJ+y;(@&$o4iN zi6h%P4M`l?-t9=@$oBq05=XXI>juI-$o4uRi6h$^h9r(`Z#j}Uvb}qd#F6cNg(Qw_ zFVjtgdywrFLlQ@}*BnV4+1?r?ab$Z}A&Dc~dk9G!+1_hN;>h-XM-oT2*X9<&{mAxa zA&Dc~+k_;JZ0|HAab$b9BZ(v1`v*xJ*<P*N2=^e{>x3kZY;PEnII_LvNaD!$?m-eq zw)Yj1II_J=cM$GDwpR>E9NAuTBynVWYmmf|?OlZ=j%@ECBynVWuOW#e+xs0!9NAu* zy9oCq+na?Xj%;rek~p%x(~!iG?cI(fj%@EABynVWwV)T&g8FO7_BtVnBikE>B#vxv zIg&WCy?c<vk?nnjB#vw^(|ttvAloa3B#vyaIg&WCy){VU$o8&65=XZ85Ry2uz1NV$ zk?sACB#vya%>#t{k?qYw5=XYT2}vB;-f2kU$o6hW5=XZ850W^ty;=_u?m@QK2}vB; z-Y_I_WP8hz#F6dYgCve@?<*v6WP6z&A>4y(uNaazvc2X=;>h;aAc-T}y9!Ah+1^7) z;>h-1LlQ@}_dAj}vb{Es5$;E}Hw#G|+1@53ab$a^A&Dc~yB$d!+1@`$;>h-DJwdn! z*<L3kab$bLki?PgEk_bZws#MbII_L3ki?PgWqOKm53;>tNaD!$nj?uL+gpPqj%@EL zBynVW4<U&o+j|X39NFIQNaD!$+B`$JAKBh4BynVWn~=nj?VW}sj%@FCBynVW{~(DY z+pF~);T~jrosh(l?F~Z`N4B>dNgUbUJxJon_P#<AN4A&g1;RbZ_KG2iBin0^B#vxv z4U#yry{nMKk?lQ%B#vzFH6(Fld%q)zBin2965)Pid$W+lk?n0l5=XXo8j?7&z1xw* zk?sA1B#vya)+>a2knMFs5=XW-3`rc>-f|>yWPA4@i6h(l3P~K<UZ&Rw_aNIVh9r(` zuQ`%9vb{A(;>h-{LJ~)|_Yjgevc1=k#F6d&jwFt3ugx2T`;qO<LJ~)|w+Trc+1_bL z;>h-HM-oT2_Yaadvb|bw5$-{@*9l1++1@ZDab$bTk;IYh-Gd~KZ0{>1ab$a$-XYwB zY_AxSII_LwNaD!$)*y)^+q()$9NFGONaD!$UPBT`w)Z=dII_Jq?-A}twl@n&9NFF` zBynVWry+?W+q)e}9NFGKNaD!$YJEVs2iaaHBynVW!;r+0?JY+VN49qlk~p%xuaLx% z?PdCia1XM*Vo2i1_L?J!BimbpB#vzFDkO1adk-OrBinloNgUbU??~dv_S$?xxF6Zx zEF^Jcdz+BNk?oy^B#vzFb|i6Rd;cJbBipO>8Q~sed!3NPk?jpb5=XYT97!D6-aSa- z$o9TM5=XX|=?lU=$o7gMi6h%<jwFt3Zw-<-vc0R2#F6bigd~n^?=>WGWP86Oi6h%< z^A+KKWP7ua#F6c7LJ~)|cN&s7vc21p#F6d&gCve@uhut&dywsQLJ~)|Hw;M}+1_#_ zab$b<Ac-T}`wB@M*<Pma2=^e{D~2SFY_B<zII_JpNaD!$u0j$=w)YT{II_Lhki?Pg z{f;D#Y_H7^g!_^0%|a4KwzmmM9NFG!NaD!$ZbuSFw)YQ`II_K3KN0Rhw$}+s9NFG5 zBynVW%aO#9?cIYUj%@EMBynVWnSLSMgKV!Dk~p%x=1Ahm_SPVYBip+QNgUbULrCJt z_Fh90N4EDnk~p%xHop<>N47T$NgUbUCM0oWd#53ZBip+jNgUbUKS<)p_G<k>xChx@ zCnRxXd&7{#k?k!<5=XXo50W^ty|0kOk?m#ri*OIJy<$k>$o85ei6h%vgCve@?<yp5 zWP1-Gi6h&44M`l?-tS1_$oAU&L%1K=-Yg_>WP6*C#F6cth9r(`?{*|{WPAT0i6h&q z^&jCLWP6>E#F6a{LlQ@}w;V|v+1@=!;>h;CLJ~)|mx%##AsJ}A6xm)eBynVW&5^{B z?X5u)N49qrk~p%xhmgdP?Y)L1j%@FDBynVWZ5R>mN47T$NgUbUCM0oWd#53ZBip+j zNgUbUKS<)p_G&R9+=Fbd6OuTxy<te=$o7^ai6h&)2T2^+-d9NC$o4WZBiw^*uNaaz zvc2X=;>h;aAc-T}y9!Ah+1^7);>h-1LlQ@}_dAj}vb{De2=^n~n}sBfY;O~iII_Ld zki?Pg-Hs%VZ0{c=ab$b7SP||)w$}+s9NFG5BynVW%aO#9?cIYUj%@EMBynVWnb;8S zLAF;6NgUZ;b0l$Odux!yk?mcDB#vzFAtZ5Rd#@phBis8ONgUZ;8+L^Ik?qYw5=XYT z2}vB;-f2kU$o6hW5=XZ850W^ty;>Xy_aNKrgd~n^Zy1s|vc2U<;>h;yK@vx{_Z5;j zvb{{42=^e{D~2SFY_B<zII_JpNaD!$u0j$=w)YT{II_Lhki?Pg{f;D#Y_AO$!u`nh zW+90q+uMXBj%@EVBynVWw<C!o+xrJe9NAtiZiIV~?R7#DN47T%NgUbUawKtNd-ouT zBis85NgUZ;CLV-)knI&i5=XYz97!D6-WnuvWP4X3i6h&42uU2--fKwW$o76m5=XYz zh8N*}WP7ua#F6c7LJ~)|cN&s7vc21p#F6d&gCve@uNEJ|J;?StA&Dc~8-^r~Y;QS| zII_Kaki?PgeT5{BY%dc(!ad0LiXn+3+iQ*_j%;rYk~p%xtB}Nz?LCAfj%@EWBynVW zzaxnw+iN3$a6huWSxDl@_BJ7jBilO-NgUbU?MULt_WnT<N48f>5aAwVd!3NPk?jpb z5=XYT97!D6-aSa-$o9TM5=XX|NeJN{WP8Ps#F6baM-oT2w+2ZZ+1^!1;>h+MLJ~)| z_ZpHovc2Dt#F6c_5k|Nl+1@N9ab$a&ki?PgorWZiZ0~j?ab$b{Ac-T}t0jVP53;>Z zNaD!$h9QX~+gpw#j%@E9BynVWUm=Mj+sh=1a1XM*Vo2i1_L?J!BimbpB#vzFDkO1a zdk-OrBinloNgUbU??~dv_S%Rc+>dN;7Lqu!y-i5s$o5V{5=XXoJCZoEy?>Czk?qwI zN4N*sUMD1RWP8Jq#F6bSM-oT2cMp;{vc0d6#F6b~l0diz*<LXuab$bVk;IYhtw9n; zws#ehII_Kmki?Pgy@n)?Z0~m@ab$aKBoXdMwl@n&9NFF`BynVWry+?W+q)e}9NFGK zNaD!$YDpp7gKV!8k~p%xVMyZ0_Ld`wBip+NNgUbUS4iT>_A*H$+=Fbd7?L=$z2->b z$oAGCi6h&)3P~K<-a|;@$o5`C5=XZ8JCZoEy*4rk_aobzg(Qw_ZxfO@vc1!g#F6dY zjwFt3?;j*_WP7z_5$-{@*9l1++1@ZDab$bTk;IYh-Gd~KZ0{>1ab$a$<Ph#bwpR>E z9NAuTBynVWYmmf|?OlZ=j%@ECBynVWuOW#e+xs0!9NAtQd4&6s?ae|GN4B>KNgUbU zX-MM8_HIWKN4ED5k~p%xS_%mFAlvJNB#vxv7?L=$z2!*a$oB3*5=XZ86_Plzy-bP- z_aNIVh9r(`uQ`%9vb{A(;>h-{LJ~)|_Yjgevc1=k#F6d&jwFt3uZ<GI{mAxaA&Dc~ z+k_;JZ0|HAab$b9BZ(v1`v*xJ*<LMWgnN+fbwUzHwl@q(9NFG-BynVW_aKQQ+xrSh z9NAtb6@+_`?G-~3N4D1-NgUbU8YFRKdsiWeBinljNgUbUYe?eA_I^haN4D2S72$ql zd$W+lk?n0l5=XXo8j?7&z1xw*k?sA1B#vyamKwr6$o4uRi6h$^h9r(`Z#j}Uvb}qd z#F6cNg(Qw_FOxdLJ;?ToA&Dc~YmOw2Y;O&cII_K~ki?PgJ%l8VZ0|KBab$bHBZ(v1 zYomd1KeD}9NaD!$HX(^4+dB<O9NFIONaD!${y`E)wpU9N;T~jrosh(l?F~Z`N4B>d zNgUbUJxJon_P#<AN4A$q3*jDQd&Q8%k?l1{5=XYT21y**-c?BA$o3vW5=XZ88j?7& zz2A|<k?pn7Mz|l@-Yg_>WP6*C#F6cth9r(`?{*|{WPAT0i6h&qrGsz}vb|17;>h-f zA&Dc~TaF}-Z0{Z<ab$a6A&Dc~%cP5N53;>tNaD!$nj?uL+gpPqj%@ELBynVW4<U&o z+j|X39NFIQNaD!$+UOzNk8E!ik~p%xO-SO%_D(|*N49r6k~p%xe~`qH?bXspxChx@ zCnRxXd&7{#k?k!<5=XXo50W^ty|0kOk?mzNK)46lUNIzbWP8n##F6c-K@vx{cNLO2 zvb~3p#F6d2h9r(`?{_3|WP5E45$;E}Hw#G|+1@53ab$a^A&Dc~yB$d!+1@`$;>h-D z86n(*Y_AiNII_KANaD!$mLrKH+q(xz9NFGiNaD!$G8rS>gKV!Dk~p%x=1Ahm_SPVY zBip+QNgUbULrCJt_Fh90N4EDnk~p%xHYN!7BioyWB#vxv6OuTxz0;7yk?q}%B#vzF zA0%;Pd$mjv?m@QK2}vB;-Y_I_WP8hz#F6dYgCve@?<*v6WP6#+5bi;?R}4uU*<N!b zab$aIki?PgU4<l$Z0{i?ab$b1A&Dc~`yELf*<KrSg!_^0%|a4KwzmmM9NFG!NaD!$ zZbuSFw)YQ`II_K376|ts+v|iRj%;rjk~p%x<w)Yl_U=IvN4EDBk~p%xOqK}uAloa3 zB#vyaIg&WCy){VU$o8&65=XZ85Ry2uz1NV$k?sACB#vyajTOTE$o6I-i6h(Fgd~n^ z?=&QFWP7(Gi6h(l2T2^+UM*{cdywsQLJ~)|Hw;M}+1_#_ab$b<Ac-T}`wB@M*<L0a zgnN+f6+;q7w$~g<9NFF)BynVWS0RZb+j|H}9NFG$NaD!$en%2Vw%5iM;eKR$vyjA* z?QKF5N49qwk~p%x+mXbP?fru!j%=@%9l|}x_BtVnBikE>B#vxvIg&WCy?c<vk?nnj zB#vw^lRd&c$o7gMi6h%<jwFt3Zw-<-vc0R2#F6bigd~n^?=>WGWP86Oi6h%<<A88K zvb|YI;>h+kA&Dc~I}J%3+1~9);>h;?K@vx{SIZIM9%Or+ki?Pg4MP$~wznKf9NFGI zNaD!$zCsd5wwK8X;T~jr#gN32?KMXdN4B>HNgUbURY>B<_8vkKN4EDGk~p%x-;u<T z?X_`6xF6ZxEF^Jcdz+BNk?oy^B#vzFb|i6Rd;cJbBipOxf^ZMAy-rBt$o7UIi6h%v zjwFt3?;a#^WP4vBi6h&~<ce?)vb|zR;>h-zBZ(v1TZ1HyZ0{;0ab$ZBA&Dc~dksk( z+1~F+;>h;exFOt+Y;P8lII_J>NaD!$PD2t$ws$*{II_Keki?Pg)pAF;2iaaHBynVW z!;r+0?JY+VN49qlk~p%xuaLx%?Pc;nxChx@F(h$hd(Dx=k?pNP5=XXo6_Plzy@!y* zk?p;PB#vzFcO-FSdu==s?nkya3rQT=-X<h*WP7I}i6h&)9Z4M7-akm<$o6V^A>4y( zuM?6uvb|wQ;>h-vBZ(v1y9Y@e+1^)3;>h+gc_Z9|Y_AxSII_LwNaD!$)*y)^+q()$ z9NFGONaD!$UPBT`w)Z=dII_JqJ_z?C+na?Xj%;rek~p%x(~!iG?cI(fj%@EABynVW zwR{ooLAKWkNgUbUFeGtgd&`l;k?q}sB#vzFD<pAbdzt(Y?m@O!3`rc>UUMXIWP59n z#F6b?g(Qw_?;#{{WP7h6i6h(l9Z4M7UK@Xe`;qO<LJ~)|w+Trc+1_bL;>h-HM-oT2 z_Yaadvb|aX2=^e{>x3kZY;PEnII_LvNaD!$?m-eqw)Yj1II_J=fe7~?+bf17j%=?v zk~p%xHAv#f_O3z_N4ED6k~p%x*O0`K?fs4<j%=?@5W@Y)_GTf8Biq}AB#vzFG$e6k zd$%KrBis82NgUZ;tzd+EknMFs5=XW-3`rc>-f|>yWPA4@i6h(l3P~K<UZxO)dywrF zLlQ@}*BnV4+1?r?ab$Z}A&Dc~dk9G!+1_hN;>h-XM-oT2*CrI<eq?*Iki?PgZ9)=9 zws#tmII_Llk;IYh{evWqY_C=r!ad0LIw6T8+Z%=?j%;r^k~p%xdyvGD?R|wLj%+Ve zIKn;1_KG2iBin0^B#vxv4U#yry{nMKk?lQ%B#vzFH6(Fld%q)zBim~efp9;vy;(@& z$o4iNi6h%P4M`l?-t9=@$oBq05=XXID-z)zWP6>E#F6a{LlQ@}w;V|v+1@=!;>h;C zLJ~)|mnjP29%OsPki?PgHAfOhwzmdJ9NFGgNaD!$9zqgFw)Yy6II_Lpk;IYhwTVW! zAKBh4BynVWn~=nj?VW}sj%@FCBynVW{~(DY+p86Wa1XM*PDtX&_J$#eBimb!B#vzF zDkO0ir1RdtBZ;RXiHpP{+~bQRZiFO`tll3<99exXk~p&ZE+lbe^(&CXk<}kX5=U15 z7)cyiJwqIJ_sb!PBdfPY5=S;a5=k6ceHoHCvid1V;>hYB;Sm3iB#vy3Y&^pK$mUof zi6g6zKoUn*Uy3A-tbQ_*II{W;NaD!q&moB;tAC9oj;x+D0lWLvki?PIyC8`po1cgz zj;y{3NgP@Id?ayX^?Q-Tk=1i2Vt1zok~p$CZb;(D<|HGDBdc#j5=T})4@n$Z{az$- zWc9C*#F6u_ViI=uC*crZi9`H4k~p&Y49N)d-I2<H03>lcB=JNfab$BgA&Gk-so#qv zj;#JM4sqTT?Cz;Y5_d&1e-V;6vilDqiRU4ye~ToJ>>jREguQM^>a~!>k=2JFi6h&4 z7)jg~$(%1p;>hZS(-8I|yHgWM963A<k;H9~%y&T&M|Ni(k~p%xcag-A!;>K$;T~l5 z@<`&y?leUbM|Ni_k~p$^b|Z-+oBst#+#AVX;u#3{Ae&=}B#vxO9+Eh+IZKhmbCJy7 ziX`rcBz_r5+yhDc36eN+IB;ho-06v=-W5q4+1^kjab$aok;IYhZ9x)8ws$p>II_J@ zk;IYh{fZ=xY_D(@!u`nhsvwCY+v|-aj%;r$k~p%x%aO#9&EJ6}j%@yYBynW(MY9p^ zL^j_XNgUaH4<vD9^Yf9!k<DL<B#vzUaU^kM^KT%DBb)ypNgUaH(;V#X4@VM5Ha`PN z9NGMSBynW(k0Oa9yZ<?oII{Uaki?PAm(NAG6WRPwBynW(%aO#9&F?@GM>c;wk~p&Y ze~`qH+sP7n2=^ejlg*ICvyk##IFh(Ol6X3jIC8tX8%Z2l{cI$0Wc3G-#F5osKoUn* z{}o9bSv_k$!e7YhwUES-)mtHnBdd=`5=T~_k0g$)ehQK}vic=R;>hYxB8elbzlkJ{ zto|R8II?=a0_^@ZMiNI>?~EjlY<>okII{W*BynW*bCJZ6)vrYoM^=9cNgP@IBP4NT z_3VWRe<7<EM-oR?Z-XR`tlkGn99exKk~p&ZMkH}$^^=jrk^70ek;F5R@&!*3!u`nk zP6bIEIo~-Wi6iH`U?g#5_oN|-BfF;qNgUZdcaX%9-SY)W9N9g>#R&H!yGI#G9N9f) zNaD!ui9ixZcF!6lab))#K@vxH&toKUWcPeV5=V9qTM5Gb$nMcV5=VAV4U#yrd!`_X zBfDoak~p$^4kL*pyXP8`II??wAc-Tp$EOtGeq{HgAc-Tprx{5c**%ky#F5>z3`rc> zJtvUFk=-LxhTT0TNaD!u2}Tk}c26>rII?@nki?PQGXqH+**$NN#F4{?r5xc-WcMf| zi6gtm3P~K<-WVitWP8^ji6h&41W6p(-p5Gd$oBq15=XXIrUK!9WP6j4#F6bSK@vx{ zw*e~7#K6G7%D}(?>f3-$RO^C@gU&H?Mk=osBZ(usXDbfzJy3DD`4DF_JcNpa%#TGf z-=Pws03?p={%9m|WcL>%i6gsb0g`wOlKBi(*v*$l5=S=Q7)c!2`~)O%Wb^kRi6guJ z29h|k`QMPlk?R-XYJ@xekle42B#zvVaKa(pgd~n^{z4>iWb=0*i6fhT7fBr1oIgn7 z$mR&wAl#2^js=o9vN_>M;>hOYA&DcKGaE@9*_`!A;>hL3c_eXU_3x0xk?m!z#qNGB zBynVOJdwna%}GEKM|OWJk~p$COOV8o&Do74j%?0-BynVO{vnAYn<H9>-Cs^f;>hNN zA&Dc0XBm<>vihk=;>h-{K@vxH|3xHmWOF_si6fiCUXR`VI!NNk=6EBCBb$?iB#!K! zHY9OmbCx2BBb&1aNgUanmq_Bs=KMtxM-ETf286$m)!QJ6BikE{B#vxv1(G<jIn$BE zk<D3$B#vy(Wh8NAb3P%7Bb&q7h;To$Ihsh~$mVz;i6fg6k0g$4P9c&waydK)NgTNx zeuN~BTn_(35=SnF#hMWAk3cGKO_9Wr-Q$5nyc9_s*`14##F5?k0ZAO$o!rd`_aM7d z8A%-3`~W0zWb-#5i6fhT8c7`4{KrV*$mR>QAl!p&egTp=viaRe;>hODK@vw!|Lc*& zk?p;MB#vyaK`X*N$o6_8i6h&aj3kb1{sbg(Wb;2Di6fiO-G;Ci*?eUrab)uYki?PA z-+&~JZ2oB^ab)u!BZ(uMFVK!~53>0MNaD!mcO!`-n?DCh93@_m#F6d2gCve@uR#aG zJ;?TYBZ(v1n~WrmZ2kl!ab)v9Ac-TJ&)tcz7ukGeBynW(1CYd#&EJ3|j%@yEBynW( zA0vq)n=jCXa1XNi1xVt^=655BBbz@5NgO3!ki?Pgy@Mo<Y_CB#!ad0LdLxM=+nbCe zj%@w}BynW(KOl)Co6p^Yuou~UWh8NA^8=8?k<H(LB#vzUX(VxE^B*ILBbzVKi*OIJ z`2|Sg$mVw=i6fi87)c!2{0m6p$mSdLA?!sq-y2CB+5BWAab)u+Ac-TJ{{~4M*?g9M zguTe-OCX6Orz>M5ab$Zlki?PAuSOC_Hopf+9NGNkNaD!mAHpI214$g&UhWCl{Uw7W zj%=?vk~p%xK{&)aki?PgosA@p?B6v=;>h+MM-oT2_Yn?piHQh*A=|5sB#vya4U#yr zz2QjW$o3ZD5MO~Lj%@F4BynVW&mf5-+xr|z9NAu`NeF)-i(4RxBirkZB#vxv43apq zz2!*a$mUPNA$|f$9NFI6NaD!$zCjX4wwHY}c7G}15RX6-N47T`NgUbU8YFRKd#59b zBl~v~4)GUA;>h;?MiNK1muCvXU&!{VBZ(v1>x4tR0!bX%-fkpuWP9fzi6h&)9Z4M7 z-b*;dIi@1qk8H0rk~p%xI!NNk_PQg9BioyVLwqTcII_LFk;IYhy@Mo<Y`*F=?C!Tl z5=S;C21y**oTW(ODDFWLM>gjUk~p$Cs?%|}2T2^+oERi=WOJ4xiKDm&NgUanJ4oWl z=BUoV;T|M$WOHJW#F5QeiX@KW9wc#ObM7FCBb%c-6Nh_{#F5R3K@vwcXDO06ihGd6 zk<Gb-B#vy3>MR`YK@vwcCk9Cz*__!(;>hbN&mf5-yYnfMII{U1vk~q=9tV&{5=YK= z)=1*W?x{f%M|RIjBynW-97GaFcF%Jpab)v#<{;dOY<?t?II{VLNaD!mPe&3*HvbNi zII{U%a}o9;o3Df<j%>aek~ng>g(Hb0+dBtI9NFHJNaD!$K132nwwHY#!kx(G`yh!U zn_r0}j%@x!BynW(w<C!ooBsz%9NB!m`3UzQo9~7sj%<Dkk~s2sPAif)vb|@J#F6d& zha`?{uh;^FdywrlK@vx{Hxx-6+1@!w;>h-%L=s1~_aTxvvc2pJ5$-`Y-v>z?+5Ac* zab)u+B8elLza2>&+5A69;>hM}E<(5m*?dPNab)w;k;IYBUxOr$Z2nCoab)v9B8elL zFTNPz9%S=lki?PA??e(uHh&?KII{W2k;IYB=UIZV7ukGEBynW(1Chj$%`Zn1M>c;C zk~p&YFOkHN&1YPSa1XNi>PX_q=I0=ZBbz@9NgUbyO-SO%<{v{6M=r;nBZ(v1tFsK@ zPGozdki?PgEkY7UwzmyQ9NFIGNaD!$zCjX4wpVgF!ad0L8X}1!+Z&D~j%@xMBynW( zPa=sUoBt3=9NB#K6$tkro9}}pj%<D%k~p&Y(~!iG&EJ9~j$DpiMG{B0S7s%`J;?TY zA&Dc~n}j5eY;PHoIC6YVM-oT2_YRUcvb|iZ5bi;?R|!cR*<Ldwab$bLk;IYhor5Hf zZ0{)~ab$ZRA&Dc~`wdAP*<SJ02=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aC z)*#%2Y_AuRII_J-NaD!$mLZ8F+dCae9NFGGNaD!$a;-(U2iaaFBynVW&5*>A?F~l~ zN49qkk~p%xr;x;v?R|tKj%@EYBynVW#n&O+k8E!Yk~p%xT}a}{_AWvaN49qxk~p%x z*OA1L?Uh-Na1XM*UP$7|_9h{TBimbsB#vzFbR=<Pd+#8LBiqZh0pT8GdzFyHk?l1@ z5=XW-97!D6-Z@C($o8H>5=XZ85t2Bvz2A_;k?j@Vh;To$y)j7Q$o6(2i6h&)2uU2- z-fc+Y$o5`G5=XXIW)s3a$o6_6i6h&agd~n^ZyAy}vc1!h#F6d2gCve@FV|*-dywr_ zLJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$+1^J;;>h-XLlQ@}S9}Y?{mAylAc-T}+l3^K zZ0{l@ab$b9A&Dc~dmTv}*<P8g2=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K5 z+Ys(SwpR&B9NAtoBynVW!;!?1?VW=pj%@EKBynVWA0de&+xrbk9NAv+?FjcH+Z%%< zj%;rik~p%xi;%>T?cIhXj%@FBBynVWWp*IkgKV!Ck~p%xNl4<z_Ld=uBilP2NgUbU zJ4oWl_Hyk+xChx@B_wfVd(Du<k?jpf5=XXo4w5*sy{C}Gk?nniB#vzFHzaXnd&PGl z+>dN;43apqy<JG+$o4Km5=XXo8<IG(z1NY%k?ob)jc^aLy<SM-$o3{7i6h%vh9r(` z?{p+_WP9%*i6h&~wFluIWP6p6#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds z#F6b4--~cRvb`}#;>h-PA&Dc~y9h}f+1_nP;>h-1M-oT2S7sl=J;?TYA&Dc~n}j5e zY;PHoII_Ldk;IYhy@Mo<Y%kY-gnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGS zNaD!$enS#RwpaWB!u`nh#vq9!+uMaCj%@EDBynVWw;_om+j|{J9NAu(g9!H^+v|lS zj%;rdk~p%xWk}-4_D)9<N4ED4k~p%xT!#?uLAF;3NgUZ;GbC|jd&7~$k?oy>B#vzF zDI{@ZdmkZ*Bis88NgUZ;@xuuBBikE;B#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu5Iw z+=Fbd7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6s_MYspqUL_=PWP8n!#F6a{M-oT2 zcMg&`vc0E}#F6cNgd~n^?>8iIWP8PrA>5B_Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l z#F6clIgW4-vb|nN;>h+UA&Dc~TZSZ#Z0~d=ab$b%Ac-T}%XI?b9%Or!ki?PgHA50d zwl^F}9NFGENaD!$o<b5ww)YW|II_Lpki?Pg6+ek^KeD|sNaD!$b|Hx)+q(!!9NFG& zNaD!$UPlr~wpZp9!ad0LdLfA;+na<Wj%;rkk~p%x(~-oH?Y)B}j%+X2X@q-_?Nve& zN4D1tNgUbUa3pbLd*>jDBinllNgUbUM@Ztx_I^VWN48h|48r}$_QoKIBiq}BB#vzF zA|!ESd$%EpBinl&NgUZ;nX?G@AlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<F!I z?m@O!2}vB;UNa<dWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?Uh(q?_aoaIgCve@ zZx@m{vb~Ft#F6dYh9r(`?{y?`WP4>UAl!p&uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y z;>h-LT|~GC*<K|iab$bVki?Pg4M!43ws#JaII_K`ki?PgeS{>AZ0|QDab$bNFCpBI zY;O#bII_K6NaD!$E<zGVws#wnII_Lhk;IYhmAQ;?53;>pNaD!$CLxI<+gpYtj%@FA zBynVW?;wdI+skzY;T~jrm5{`d?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x-;l(S z?G?X@a6huWF-YRb_I4qOBip+ONgUbUZAjwC_FhL4N48hy8p1uu_Ie?SBioyVB#vxv z8Im}%z0;Azk?p;MB#vw^*L8$@knL4M5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{ z$o76i5=XXI{074P$o9q{i6h(Fg(Qw_?;<2|WP7(Ei6h&49Z4M7UYVN+_aNKrg(Qw_ zZxWI?vb|+U;>h++M-oT2_YRUcvb|il5bi;?R|!cR*<Ldwab$bLk;IYhor5HfZ0{)~ zab$ZRA&Dc~`wdAP*<SJ62=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aC?jYQQ zY_AuRII_J-NaD!$mLZ8F+dCae9NFGGNaD!$a@|F^2iaaFBynVW&5*>A?F~l~N49qk zk~p%xr;x;v?R|tKj%@EYBynVW#qS~9k8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L z?UlKYa1XM*UP$7|_9h{TBimbsB#vzFbR=<Pd+#8LBiqaM0O1~FdzFyHk?l1@5=XW- z97!D6-Z@C($o8H>5=XZ85t2Bvz2A_;k?j?Kh;To$y)j7Q$o6(2i6h&)2uU2--fc+Y z$o5`G5=XXI<`Kd@$o6_6i6h&agd~n^ZyAy}vc1!h#F6d2gCve@FV|y)dywr_LJ~)| z*9=J<+1_v@ab$bvAc-T}dkRS$+1^J;;>h-XLlQ@}SNsXW{mAylAc-T}+l3^KZ0{l@ zab$b9A&Dc~dmTv}*<P8a2=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K5&k*iG zwpR&B9NAtoBynVW!;!?1?VW=pj%@EKBynVWA0de&+xrbk9NAv+=Lq*B+Z%%<j%;ri zk~p%xi;%>T?cIhXj%@FBBynVWWnLiMgKV!Ck~p%xNl4<z_Ld=uBilP2NgUbUJ4oWl z_Hw;MxChx@B_wfVd(Du<k?jpf5=XXo4w5*sy{C}Gk?nniB#vzFHzaXnd&OTN+>dN; z43apqy<JG+$o4Km5=XXo8<IG(z1NY%k?oavjc^aLy<SM-$o3{7i6h%vh9r(`?{p+_ zWP9%*i6h&~^#<V{WP6p6#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4 ze~WNGvb`}#;>h-PA&Dc~y9h}f+1_nP;>h-1M-oT2SLPkUJ;?TYA&Dc~n}j5eY;PHo zII_Ldk;IYhy@Mo<Y%kY)gnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$ zenS#RwpaWE!u`nh#vq9!+uMaCj%@EDBynVWw;_om+j|{J9NAu(j|lf5+v|lSj%;rd zk~p%xWk}-4_D)9<N4ED4k~p%xT%Qo`LAF;3NgUZ;GbC|jd&7~$k?oy>B#vzFDI{@Z zdmkZ*Bis88NgUZ;@y`hNBikE;B#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu6^L+=Fbd z7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6u5MYspqUL_=PWP8n!#F6a{M-oT2cMg&` zvc0E}#F6cNgd~n^?>8iIWP8QGA>5B_Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6cl z`HpZ8vb|nN;>h+UA&Dc~TZSZ#Z0~d=ab$b%Ac-T}%k=}{9%Or!ki?PgHA50dwl^F} z9NFGENaD!$o<b5ww)YW|II_Lpki?Pg75|BFKeD|sNaD!$b|Hx)+q(!!9NFG&NaD!$ zUPlr~wpZpC!ad0LdLfA;+na<Wj%;rkk~p%x(~-oH?Y)B}j%+X2Z-jf0?Nve&N4D1t zNgUbUa3pbLd*>jDBinllNgUbUM@Ztx_I^VWN48h|55oP(_QoKIBiq}BB#vzFA|!ES zd$%EpBinl&NgUZ;nZF44AlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<Gng?m@O! z2}vB;UNa<dWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?Uh)43_aoaIgCve@Zx@m{ zvb~Ft#F6dYh9r(`?{y?`WP4>8KqrebFo4brMYh)qNgUbUBqVWUd&`i-k?oz1B#vzF z9VBsNd$|}9?m@O!2}vB;UNa<dWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?UU4Rb z`;qO9K@vx{w+l%e+1^D+;>h-HLlQ@}_d1d|vb{3Q2=^e{>xCqaY;O{hII_KENaD!$ zPDc_)w)YN_II_K5EC}}?+pB~mj%=?Pk~p%x;Yi}h_Rc{PN4EDAk~p%xkC4QX?fr%% zj%=?uE5iNA_QoKIBiq}BB#vzFA|!ESd$%EpBinl&NgUZ;88(D_knQzC5=XW-2}vB; z-ZCU{WP7J0i6h&42T2^+UM_Zodywr_LJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$+1^J; z;>h-XLlQ@}SDXXkeq?)Nki?Pg?Lrbqws#SdII_Llki?Pgy^bV~Y_AL_!ad0LdLfA; z+na<Wj%;rkk~p%x(~-oH?Y)B}j%+U%7s5Tr_9`KXBin0+B#vxvIFdNBy>pPnk?lQ& zB#vzFBP4NTd%q!xBik#^jc`A*y)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXIh6mvu zWP81k#F6byLJ~)|w+u-f+1}|$;>h;iK@vx{mx~wS9%Or!ki?PgHA50dwl^F}9NFGE zNaD!$o<b5ww)YW|II_Lpki?Pg73V{^AKBg*BynVWyO6|@?OlW<j%@EXBynVWuOo>g z+bhG5a1XM*UP$7|_9h{TBimbsB#vzFbR=<Pd+#8LBiqX*fN&48y-G;p$o85ci6h$^ zjwFt3?;Ip?WP48`i6h(l2uU2--fu|a$o7g0BHWK`Zw!(+vb|kM;>h+cLJ~)|cN>y8 zvc1=l#F6cl5kj~J*<LRsab$awki?PgEkhDVws$&`II_KWki?Pg<q}4?2iaaFBynVW z&5*>A?F~l~N49qkk~p%xr;x;v?R|tKj%@EYBynVW#YGVAN47TxNgUbUE+lbedlw;z zBip+TNgUbU>qz3r_R5GN+=Fbd7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6uHA>4y( zuM(0tvb|<V;>h-fBZ(v1I|oS|+1^t~;>h+sLJ~)|_ZyNpvc2Ns2=^n~8-pZ{Y;PBm zII_Kqki?Pg-G(HNZ0~g>ab$aCBoOXFw$}?u9NFF^BynVW%aFv8?VXM!j%@E8BynVW zxg-(pLAF;3NgUZ;GbC|jd&7~$k?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;aVdoRk?oB^ z5=XYT3rQT=-bF~_$o6hS5=XZ8I+8fDy)x1W_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2 z_YRUcvb|g~2=^e{tAr$uY_A!TII_LrNaD!$&Os7Kw)Yg0II_Kuki?Pg{e~otY_GU1 z!u`nh#vq9!+uMaCj%@EDBynVWw;_om+j|{J9NAtOIfQ$V?e#(uN47T!NgUbUG9+<i zd#59bBinliNgUZ;E_sA|knL4M5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i z5=XXITmj*JWP4+f#F6doLJ~)|cM*~}vc21o#F6d2jwFt3uZ$wXJ;?TYA&Dc~n}j5e zY;PHoII_Ldk;IYhy@Mo<Y%iA*!ad0LDj|s@+iQj-j%;r@k~p%xbCAT5?LCDgj%@EE zBynVWzafbu+bgb&a6huWF-YRb_I4qOBip+ONgUbUZAjwC_FhL4N48f+1>qiKd%cjv zk?l=F5=XYT3`rc>-swo<$oAer5=XX|OBLZBWP6p6#F6baLlQ@}HylYE+1@!w;>h-% zLJ~)|_Ysmfvc2Ds#F6b4S3|fT+1?l=ab$bDki?PgU4$f#Z0|NCab$b1BZ(v1E2EBZ z53;>pNaD!$CLxI<+gpYtj%@FABynVW?;wdI+smbaa1XM*N=V|!_L?DyBikE}B#vzF z93*jMdru*WBis83NgUbUZ%E?E_KIsF+>dN;43apqy<JG+$o4Km5=XXo8<IG(z1NY% zk?obyLbwOnUN0nZWP6j4#F6bSLlQ@}cRG?dvb}eZ#F6dg(nh!k*<K|iab$bVki?Pg z4M!43ws#JaII_K`ki?PgeS{>AZ0|QDab$bNbr9}Hwl@Yz9NFG3BynVW7a@rw+q(@( z9NFIMNaD!$%IG58gKV!Ck~p%xNl4<z_Ld=uBilP2NgUbUJ4oWl_HyYV+=Fbd5|TKw zy=F+_$o7UKi6h%P2T2^+-cv~8$o4)$5=XZ88<IG(z2f=^_aoaIgCve@Zx@m{vb~Ft z#F6dYh9r(`?{y?`WP4={5bi;?*9%D;+1?~1ab$bTki?PgosJ}qZ0{W;ab$bB3=!@@ zwpR&B9NAtoBynVW!;!?1?VW=pj%@EKBynVWA0de&+xrbk9NAuRBZT{r?TtYaN4B>M zNgUbUMM&bv_HIKGN4EDmk~p%xGR6q^AlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*s zy<8>;_aNJ=gd~n^uNjg!vc2I*;>h;SK@vx{_Y{&ivb~Rx#F6d&h9r(`ued3~{mAyl zAc-T}+l3^KZ0{l@ab$b9A&Dc~dmTv}*<KklgnN+f^+FOywl@h$9NFG7BynVWrz43Y z+j|E|9NAtjbA)@4?Nve&N4D1tNgUbUa3pbLd*>jDBinllNgUbUM@Ztx_I^VWN48ho z0^xpSdt;Eqk?rk55=XXo5t2Bvz1xt)k?p;XB#vyaj3vT7$o6_6i6h&agd~n^ZyAy} zvc1!h#F6d2gCve@FP9a<J;?SdA&Dc~Ylb9_Y;QP{II_KSki?PgJ%uEWZ0{o^ab$bH zA&Dc~D{hT&KeD|sNaD!$b|Hx)+q(!!9NFG&NaD!$UPlr~wpYdm;T~jry^zF_?M*@w zN4B>NNgUbU=}6+p_TE7fN4A&C7U3RbdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ8 z5t2Bvz2A_;k?j??L%1K=-WVitWP7`i#F6b?gd~n^?=~cHWP7h8i6h%9V~=nTvb|nN z;>h+UA&Dc~TZSZ#Z0~d=ab$b%Ac-T}%jJM@53;>VNaD!$njwiJ+Z&D~j%@E7BynVW zPa%mT+xrMf9NFG)NaD!$iaR3Qk8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?Uivt zxChx@FC=kfdy|mFk?k!*5=XXoI+8fDy?2nrk?rMjMz{yrUL_=PWP8n!#F6a{M-oT2 zcMg&`vc0E}#F6cNgd~n^?>8iIWP8P35bj5|HwH-@+1@TBab$ZJA&Dc~yA4Sk+1~3& z;>h;OxFXzxY_AuRII_J-NaD!$mLZ8F+dCae9NFGGNaD!$a=9VggKV!7k~p%xW=P`5 z_J$*gBilO%NgUbUQ%K^-_C7)qN4EDHk~p%x;_e9dBikE;B#vxv7m_%#y^D~<k?q}v zB#vzFbtG|Qdu2Qj?m@QK3rQT=-XtV(WP8hy#F6ctjwFt3?;Rv@WP7<h5$-{@R|!cR z*<Ldwab$bLk;IYhor5HfZ0{)~ab$ZRA&Dc~`wdAP*<Nukg!_^0jX@GewzmsO9NFGQ zNaD!$ZbK4Bw)Z-cII_Jm-U#;~+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4k~p%xTs{c* zAls{iB#vya8Im}%z2QjW$o9@b5=XZ86p}cyy^oN@k?sA4B#vyaxG%!}$o9q{i6h(F zg(Qw_?;<2|WP7(Ei6h&49Z4M7UKu}xdywt*LJ~)|Hwj4`+1@fFab$a^BZ(v1dk0Az z*<LPxgnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$enS#RwpTm=;eKR$ zW01s=?d?JmN49qnk~p%x+mOVO?Y)j9j%=??Ai_P!_Ie?SBioyVB#vxv8Im}%z0;Az zk?p;MB#vw^R}jKI$o48Bi6h%<h9r(`Z#a@Tvb}SV#F6big(Qw_?;|8}WP86Mi6h%9 z9*l55vb`}#;>h-PA&Dc~y9h}f+1_nP;>h-1M-oT2S0)7E9%OsHki?PgO+pe!wzmvP z9NFIKNaD!$-a!&awwEgu;T~jrm5{`d?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x z-;l(S?G+D0xF6Zx7$k9Id%KXtk?mcCB#vzFHY9Omd#@vjBikzzj&KjMy<SM-$o3{7 zi6h%vh9r(`?{p+_WP9%*i6h&~6@hRMvb{=3;>h-zA&Dc~8;&H7Z0{T-ab$Z>A&Dc~ z`v^%K+1_tR;>h-jM<U#hY;O#bII_K6NaD!$E<zGVws#wnII_Lhk;IYhm5D;Q2iaaP zBynVWlaR!b?JYwRN49r5k~p%xcaX%9?d6I_xChx@B_wfVd(Du<k?jpf5=XXo4w5*s zy{C}Gk?nniB#vzFHzaXnd&OfA?nkya21y**-Yz6@WP2AOi6h&)4M`l?-s?!>$o9&_ zBHV*)uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-L#Ub2-Y_AfMII_KFNaD!$h9ik1 z+dBtI9NFGeNaD!$K0*>lw)Y#7II_Ls@d)=L+Z%%<j%;rik~p%xi;%>T?cIhXj%@FB zBynVWWfBnXLAKWmNgUbUBqVWUd&`i-k?oz1B#vzF9VBsNd$|%3?m@O!2}vB;UNa<d zWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?UhyP^`;qO9K@vx{w+l%e+1^D+;>h-H zLlQ@}_d1d|vb{3N2=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K5DG2u<+pB~m zj%=?Pk~p%x;Yi}h_Rc{PN4EDAk~p%xkC4QX?fr%%j%=@ZD#HE9_QoKIBiq}BB#vzF zA|!ESd$%EpBinl&NgUZ;nKXoZknQzC5=XW-2}vB;-ZCU{WP7J0i6h&42T2^+UaoY6 zdywr_LJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$+1^J;;>h-XLlQ@}S3CpZeq?)Nki?Pg z?Lrbqws#SdII_Llki?Pgy^bV~Y_Civ!ad0LdLfA;+na<Wj%;rkk~p%x(~-oH?Y)B} zj%+Vi7Q#Kq_9`KXBin0+B#vxvIFdNBy>pPnk?lQ&B#vzFBP4NTd%q!xBik#Ujc`A* zy)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXICI{gjWP81k#F6byLJ~)|w+u-f+1}|$ z;>h;iK@vx{mn#?H9%Or!ki?PgHA50dwl^F}9NFGENaD!$o<b5ww)YW|II_Lpki?Pg z70*MsAKBg*BynVWyO6|@?OlW<j%@EXBynVWuOo>g+bffga1XM*UP$7|_9h{TBimbs zB#vzFbR=<Pd+#8LBiqYWfN&48y-G;p$o85ci6h$^jwFt3?;Ip?WP48`i6h(l2uU2- z-fu|a$o7gCBHWK`Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6clDMGjh*<LRsab$aw zki?PgEkhDVws$&`II_KWki?Pg<tj$F2iaaFBynVW&5*>A?F~l~N49qkk~p%xr;x;v z?R|tKj%@EYBynVW#Y+(GN47TxNgUbUE+lbedlw;zBip+TNgUbU>qz3r_R5qZ+=Fbd z7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6uTA>4y(uM(0tvb|<V;>h-fBZ(v1I|oS| z+1^t~;>h+sLJ~)|_ZyNpvc2Nv2=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aC zDiH2Lw$}?u9NFF^BynVW%aFv8?VXM!j%@E8BynVWxhfIvLAF;3NgUZ;GbC|jd&7~$ zk?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;@hXJ-k?oB^5=XYT3rQT=-bF~_$o6hS5=XZ8 zI+8fDy)xAZ_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2_YRUcvb|h22=^e{tAr$uY_A!T zII_LrNaD!$&Os7Kw)Yg0II_Kuki?Pg{e~otY_E7N!u`nh#vq9!+uMaCj%@EDBynVW zw;_om+j|{J9NAu(I)r<W?e#(uN47T!NgUbUG9+<id#59bBinliNgUZ;u6l%fknL4M z5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXIyaC~UWP4+f#F6doLJ~)| zcM*~}vc21o#F6d2jwFt3uS_GtJ;?TYA&Dc~n}j5eY;PHoII_Ldk;IYhy@Mo<Y%f<6 z!ad0LDj|s@+iQj-j%;r@k~p%xbCAT5?LCDgj%@EEBynVWzafbu+biCTa6huWF-YRb z_I4qOBip+ONgUbUZAjwC_FhL4N48g{1>qiKd%cjvk?l=F5=XYT3`rc>-swo<$oAer z5=XX|s}<oMWP6p6#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4Z$r2r z+1?l=ab$bDki?PgU4$f#Z0|NCab$b1BZ(v1E7Oi}53;>pNaD!$CLxI<+gpYtj%@FA zBynVW?;wdI+soB~a1XM*N=V|!_L?DyBikE}B#vzF93*jMdru*WBis83NgUbUZ%E?E z_KJ5R+>dN;43apqy<JG+$o4Km5=XXo8<IG(z1NY%k?ob~LbwOnUN0nZWP6j4#F6bS zLlQ@}cRG?dvb}eZ#F6dg>PEN+*<K|iab$bVki?Pg4M!43ws#JaII_K`ki?PgeS{>A zZ0|QDab$bNdl2qNwl@Yz9NFG3BynVW7a@rw+q(@(9NFIMNaD!$%Jd@KgKV!Ck~p%x zNl4<z_Ld=uBilP2NgUbUJ4oWl_Hy+h+=Fbd5|TKwy=F+_$o7UKi6h%P2T2^+-cv~8 z$o4)$5=XZ88<IG(z2f}{_aoaIgCve@Zx@m{vb~Ft#F6dYh9r(`?{y?`WP4>MAl!p& zuNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-LO+>f{*<K|iab$bVki?Pg4M!43ws#Ja zII_K`ki?PgeS{>AZ0|QDab$bNCn4OAY;O#bII_K6NaD!$E<zGVws#wnII_Lhk;IYh z<(rIfj|&3>!=L{UP>Up<iX^@PNgP>y+*E`)fk^77A&DcKa|ua214;cKBynW(^QIxp zPe4-Nj3kb%eif29vif~U;>hadrX$Qp7GIAfj_m#?NaD!u=bM4uoDv-3oltR*E>;Ex z1|0?nm<<&Nox7fh<lhZQ;>hmY4i$%)BLgvp;RsY5WKI&2IX95Rk<IyoL)>O2$YjVl z@W|$bAc-TJGZ%;WCa5^bG?0Jc?u3ei+==YpUr6G}<{QldnE-JQa=b(#i6g6@gd~ov zejk!JvU;=G*v*ea5=T~l6G<G|e7-pdbCA_{B8elb--jfQtln}i!W?Avc}U{O>R%#> zBdeF2hcE|O{Y)frWcBBe#F5pT&PSM&jg+pkk;IYBnTI5fY!2%J?B-Y_i6fg+gd~of z?|P8L(~;b>5J?=_-cvZlpCE}Nm#gv%5$;4zpC(A+$maVYi6fiSi6o9}&RisMWOM#N z#X+`%@(ZkdU|j?f!A$=;P;rnfNc|khbrK8~P;pTHLJrRus5neLEI+0~#nIJILJ~&~ z|7A$x$nHN16^EG*t6wfc#X;sHhtFfEIJ)}xP;roY<a+Q2R2*Hs&0>%UBz%zF;{_E5 z$%4WM=AJ;PILQ2Xr1X=9B#vxO2~-^2oI0pDx;fL3#F5R}3Kd5;=O9!ZWDaupT!xCH ztG^Ew2dPI6AMGU|m5^`<L-N-aB=KY<@q0MLxt1c#L3Y0lk~ng@ib4`cR^Njpj;wwa zk~p&Z`$*!*>baI-caJ@iII{Y5Byr?)I}=G9+1}+)agZ&@>0}*L92CyT>EsxaII=lc zpyKG}+=GgvoAVJ#967!?mxD}z#3Qo#;!tsrEXbWa(0X4EDvoZx36eOnIZjY<baQ;5 z;^^k2Ac-TJlLHk8nFGSG{52UW4l*YPDZOn+5=V}g7f9mB=6{2VgUkl`7iK=g3XljS ze30Ws7)cyCJhhR;k<GV+ii1o8nGXw3XQ(*H{mAA=A&DcK(}E<9?BC@`;>iBJjU<k2 z&P%8`%snvweuj#pyN7KhNF^j3ko~KIB#vyp5mX$c3*=vz`8H5-bn}Ce#F5R3hKhsC zVMdDI7N|JL9Ax#ApyD9)AbVlqJO?TcQjZ+YTad(&&DjGL2bqIx?_;Pq$Q<PG=UN3) z2?-x$bL^4Ck;{!dByr^UYC;l6HfJGJ9Apc~U$F383l#^s2e~}o4;4pOe;O(dQjc7o zUxA9Ft7l&g62bJB8dMx4i|igFs5r=cWcRp0#nIIVK*d4ok=+vs6-QU!0~H6UM-HFW zP;qqi+o9qh^~mly2^B|Ie-$bYQjhGOdr)z7^@3|aBADT002K$xB8QI+R2*bJvU~iX z;^^w5pyD9)$nHsoileKa1{DXXM-HE@P;r=gkUXeJKL`~E@sZtg87hvh{ytP3q#oHl zFQDS+>ZR9$L@>k03Mvkg1%(gDJdk_bpyD7tvU?(+;^^vApyD9)$nMF7ileJv02K$R zM-HF;P;qqir=j8?^~mnI3l&FK{}L(=QjhGOPf&4m^&0CyBADUh4iyK<B8N{fR2*bJ zvU^gY;^^uNq2eI*$nL3vileJv0~H6UM=s|TK#{}10KPp9BnRa(fW~}4Y>+u1@d9YS zpaLX_r2YX&0RscW4K(oupu&}bf#D6B_ywpq57a!6c98iOKm-E=g94hk04R_c7#L#E z#2eTk2G2kfKfnnQUxOyjzy%S%f+k)76^9<r1`h`VZixCRAO|A(_XAWMTAjkxD?lrx z18C|mK*evMi8t^<%ol-%2S^$e1P}Ni;yP&J0sIhg4>WNF0f=}Ens@?Kd=Hwqf*?e_ z49GGhe?5ST*Pw|n5Q3<`gC?FJ3=y}1#t+Q>3L+5kIcVY!pyGef#21J{)W<;M6J}0= z7({#znz({EL|ld)Lc`QQfQr|ki7$|VsJnwEo*)Skx8Z=$Fmn{7Ap9OQ@ek0B^a?a_ z2VIEzA86tmtRdnvpy?52{)9S+_zyJkfO?2{1T;Ou)C)8~#CM>HUx12BK+_{k{e(t{ z`U*7hfF_9e4K#6qW{9{2G@ZiCxd0WPfhIno1)}~3ns`7fL_7kTu3_c~v_Zsopow3A zic3J#J52qAc8K~4H1U8Ai1-aOae+>VxCJzSz|6S-6`z47KA{Vu{s)?PKsQ7@0-A4N z<_Ppa#CM>HUx12BK=Tbu{e)hK`U*7hfIf)$4K#6qeu%gQG@rrDxd0WPfhIm-0z~}} zH1U9m5b+3TzJ!@0FbN{Q15Nw_R9pg@FJbB@OophhKobv`0ujG~CN3})B5ncA$1rm) zK*eXEiBFgYQU3!?JYYIRJOY~UVde<TfQauv6Tbizmw@JbnEDAbA?hp8!~<qQ#BZR9 z3(SUyTR_VRm^l}q;xo|1C(MDU|A8hRFc%^o0WDWx<_OG#i0?oXzW^1NfR;Bf^%Lep z)K{R12P}Yy-#`-=SO^ifkcQAOb1p!|XP}8sSOih`15G?&F+@B9I-mzLM_>s=d<UBN z1*o`$EJPlre!@}+zXDA>U>QXG2Aa6Qa)`Kv9E66Ma{($o15JFw3W&NNXyO4YA>tA8 z5E^EVz$yrT2b%Z=sJH~Q0R&S&VKqd31)6xk8i@D}G;x8o5OE7d2n{pm0#tkkn)rlu z5OqJ$!~@nt#3Pg-G|U`<4G{hgH1P{iaS3IJJWTzBjSzkXns~q_i1-aOae>VcaSIg) z4KwEgRD1@S_=GJGbwAL=1GYlMBUB+Y%p8Gj5dID{@e5FK2{nj3O#OuI5Pk)kc)$*b z_zg61ft?U>3v~z$Gv@+Sd<L5Mgk2DIKhVSjc0<G?G$1t09DzL${th(p3s7+hO^7^9 z{e-;`eg&F%z&?oh4K#6q{Sa{rEeH)W=K@rG2AcSU0}ypT(8L1{Lc}AqAvDY!fkP1f z4m9x#P;m)py9TCy!eNN|3N-P6BM|W$XyO7#A>tO$b`Z>*3sCVHXyOx&LDc_16Aw5J z5s%P=&@giZPC)oO(8Mo5#U=D1@-X!iPD1z<XyO5<AmTUB#05@6#4QXUG|Zd}Q1KaP z;uFq5)crsc4>$`Ek1&MLFmnXXLHIk+#4kX_C5#~QF!dA8L--YF;sF;R;y2L51ujCw zEsP;F%$y5Q@fm316D~p2{Xi2BxC{}GFoDo8a|EtH_&d<VFF?g5Od;|x^%Jf__!Vg4 z0oNenH_*fdu0zBv%pf$(oC{F#8EE1YpdHO0XyO4kA^IcCAvDY!fm;y%4m9x#P;m(h zh&)XFgxe5)1)6xk9f<f1G;x8u5OE7j2n{pm0#tkkn)rl!5OqJ$!~^a_#3QUAG|U`< z2N3=aH1P{iaS3QY1Ezk$Lx}ncH1U8(5b+ym;sTE$;uba#8fMM~sQ3&t@d-~L>VBY! z2Rwy{N7zDWm^lK^Ap9L@;uoOe5_S-InEDCNA^Zw7@qiZ)@f&F30xu!r7WNPtX3hnu z_zX1h39lgPexQj5yoQKJI6!EaIRelDj2&p=7og%2j;QJ<yoK;9(8L4YLBwyMi3_}k zh+BXb-yrqJFF?g-povfT08#$~O+4TuL_ES7Lc`tv3BuojCVl}bE&&=WL$Y_mXNdX= zH1U8h5b+ym;sReG;ufwD8fNbWsQ3&t@d@7`>VBY!2YiQ!N4P;~m^lJJAp9L@;uoOe z67CRrnEDAnA^Zw7@qk|t@f&F30>2^R79J29X3hnu_zX1h34b8!exQj5{Dp`|ctU8H zIRgJ6{2gfG7og%2UJ!Yh`U(Fb{0cPj00zjw)eSUp0Y-?pg*QYW%$y5Q@fm316PO_C zf1rs6Fhj&6paXv}a|Bo*;ycj9FF?g5d{OP4zzR`cfhHcn1`)r3CN97Z5x4L|HRl3U zd<L5M1P+M$A86tMoDlH{e^he>xFF&?(8Mo5#U%nz)lcAtsINd14}cC>-ar!<;DxBS z04-ue%10NV;xo|1C-6bk|3DKD;D?Au1fjY|Kma1X15Nw_R9qq$Rs94(i24dN@c<!+ z_zg610bz)^MF^@n7og%Z(8MQ*K-B+06AuuDh(~}1qmjZvKnx<j15Nw_R9pfy7>%TU zf;dEd1)6w(1VsD>nz(=@MBE}A&HYgE8EE1Yq#){lpos@aL&PH@P|XpLfr#%w6Tbiz zmjErkM0UR{M12LCcz_&4{05r1fILLpA_~>s3sCVHXyOx~1LQx@!~+x|=0rrJnj@eD z5#NC(egP^j5re9Jf-*#X1)6w(3Pk({nz(=}MBE}4)tn1Z@fm316VxE;f1rs6s6)gf z;!w>I(13{VKoh?J6_)@_`XYzFCPaM&ns|T~MEnMtxPUf9+yb=d6Um$lQ1KaP;uCZr z>VKe#2k1h?BS4F^kjxR#gNW}y6TbizmjEq}L{dLNAELejO+3H=B7Or+T)+?_ZUI`9 zgJjMHsQ3&t@d-u{^*_+W1B@Z!5h-ZlZvqkDfhK+dDlP$<{6?~Of+<9O1)6w(8ASXB znz(>DMBE|`)!qwG@fm316D%O=f1rs6SVF`jK#Td1+#_HG5#NC(egP^j0h**oQa`~O zqP_x6JirDbegjQhz!oBIk%{JhsQ3&t@d<Vi^*_+W1MDH<5m~6_2sl8*cc6)1fQn0i z7E>a--w~p|0!=)?2_k+2O<cekB5na%^nhf}1*rH8H1P>85cNOM!~<L*;t{!M?stQT z??4m302P<WLsdV)9iqMhO+3H@B7Or+T)-0|Zjp~_&IPFW3^efxUJ&&^(8L40A>t7Q zsOAXxK*V>TiC=(<OBAB2pWq8oUx6kb;0F=EfhI2C4-vO0LN(_CRD1@S_=Et6`X6ZG z0f7+lh+<T81cD&qJJ7^0K*c3WP}NTehN!PV6AuW1h~GdH7YK!jTa==ja{($o15JEF z7)1RKH1U9Nh<HR9syPA?5b+&o;uoOe66L7sCqzQjSD=XpL_x%Fpot4aL&Pnh6Wg$M z?**v%3^efx(23|RXyO+VA?92_6W@>o5tpe%b!R|2MBD>SoFM}uUVtXv02SYZCa#bP zQO{9@YW@MJcnF$!LKZ~*0yOatQ1Lft;tkml^%m8r<_qLN#9PqB7eK`?potsgLe$ID zpqg_4D&Bx5exVSe{tTM<f+C2xL@la01;r5Y6f|*%5{UQ)G;x7ai1;5g@dr?G59mBC zEIn)}gQ%Z^CSFhu5r2RtZcqUccYx08!pvc)gorOd6F&eIXMoP@!qhiZLDZ+9i7#k` zh#x@{-_QgRSAot0!^|mYhKRSIi5s*)#Gjyve}Ia+K<ABN=4@z%s9%C6UeE>+XMxTe z!_*tJL&Q_i#6LjAkD!Tf=zyqKfzC6-%qi%Eh_|4L8+1X$pP-3<fQq|7=cQrhZ0Lrl zUxFrH&;t=?fzC_A)Eo3d#8c43KS0HgpowqjgQypP&SS&Oap;GL$DoN{fQqj`6Hk}` zQU3)^`~y_n0XiQJGrwUXM12pMxWFWc_zg7i1yFGn=sY>h9D~Ua^#y3+2cY76(8L3# zK-6<U=hb26Jb;Rapoteug{WVECjJ2`{sv9FU>Zcd1$2HMX8s4Lcng|%!E}iF3uxjW zpyD#nd3%^S1<(aV8EE1kpyFH5#0zFZ%x8ek^TW*f02TK^6EBzrQNIRFJYY6NoCmrt z0A>yYbOS~Xn)n8&_!%_ug1HcLbfD`6VCE>ygNXN_iC=(<zd;jUFdw4c2fDrhW=_EZ zi1->bafO8taUSTp1DN^?Q1Kiz@rFeZ^=Ht;4HiShb)f4JVCFo4iua(2Pgnv`{{~Im zVJSr12fBU%W)8zLi1->b@dHqC9_YFTnEHa{5cN4|;tDGu;%CsrKS0HGpz9rA=4@CA zQQw0mUa$%x{sv9lU^PVC2f982X3htw_!>0v4bTN{JkWI$F!cp%A?D<ui5skgh@U|d z{{R)&fv%^3nX_R%M12pMc)<pU_!~5FgN+bzAL#lEm^mMy;%m^vH*A8a=Yg)vfT=Io z3=z*k6F1lb5kG?_{sAhk16{8HGiSqAi25Eh@q%p-@i%DV2HPRxKG5|YFmpaY#n+&T zZ`c7*&jVfe0aIVF6C$32CT_3`B7O!<`~y^62f7{vX3mD)5cNH1;stvk;&0Hz4faCB zeW2?{VCH;)imyQv->?s&o(H<F1g5@VKSVqSP2AuBMEnez_y?%C4s^W<%$yAeA?kb3 z#0w5V#NVKa8ytp+`#{&Hz|8po6<>oUzTpT&Jr8u<3QT>$QHXdBnz+F+i1-;a@efdO z9q4)%m^mAcL)7=6i5HxJh`&J-H#i9q_kpf|ftm9GD!v9ye8VY-dLHPy7?}Ek(-83- zG;xD75b-l;;vb;mI?(kpFmpDXg{bdA6E8Ri5r2awZg3tV?gL$412g9XRD2DZ_=XD* z^*qpZH!$@D7a`&~XyOK!AmV4x#6LjAb)f5UVCHPN3{l^MCSCwt!21SG+~6uiy$^K# z4$PbnQ1LZr;v23()bl{s^}y5@T!)C~potsYfQX+#6aN4e*MYA0ftj=6CPaM?ns~u2 zi1-^caf90saUbaVAecEHpyF%L#5df5sON#M8-l4XxC;@_K@&H)2N6GmCjJ2`t^-|9 z1T$yDeTe!VH1UE55b-x?;sy^P;y%#zM=*0fK*iUfiEnrWQO^TimjqK^@E9VVgC=h9 z1R{P0P5c8?TnD;d31-fQrx5i$XyOIWAmVS(#0{Q9#C@Ran_%XAfQqj{6W{OxqMir3 z?g^&8;3Y&n2Tk1I6-4|Dn)nB(xDIqZ6wI6ruOaGt(8LSgK*Zmmi5t9yi2Fd-Pr=Oj z02N<@CcfbvL_H65T@_4y!Fz~!4w|^Z2Z;C?H1Q8maUJM-E0{SOK0?&@potfJf{4FC z6F2w_5%+<v&w`or0V=)*O?<-_h<YCAx-FRcg0B$q95iu*ZxHb_XyPBB;yTdvTrhJs ze21v-K@%_d0TF+LCT{Q(BJKlS{{=JW15|tsn)rra5cNFJbzv~|1-~KUIcVYre<0## z(8NDL#dV<T#bD-a_zO|rgC<_^4<h~sP2Au=MBE3uz6@s02dMZOH1Q4KoevBQJkWJ# zF!cqDAQl5d4w|?D6GZ$Bn)nB(xDIqZ8b}prtHB0l5Q~AK2Ti<y1tR_iP27MLBJKlS zzXsxg%=rKnUxOyTfeoaBfq@6Qt_{QksV`s$u^1R~(8LWmAmV4x#6LjAb)f6rK&n9I zY~Td37#Moc#0$6};&0Hz4Y(oVKG5}XARfq^4^Z(nXyO}qKq?p*c%bX%Ks=E80$vb{ zfguM?+<*@veg;ka15{iGx}FZC3S`a(eh`a+p$AR8Kma2C22I>R5F+jaU4IASfz0^; z6<>oUzCj42f`Netx-JjI1F0_%2C*0za?r#LL?Gg4(8NDL#dV<T^+2jX=4=oJu^1S7 z(8LSGAmVS(#0|tD;y%#zeIOploDWd(HE7}+BtR+{7<i!T{y;pC`T|K1i-92rP24~V zB7O!<`~y^62f7{*qzYut25AtBfuRRYyg&vb{sv9lKo%nI16@A|;(^Th02N<@CcZ%q zq=JEg2fD5h!~>}>kO#3C7;@0W4HO{aXVAnyK*e>S>kUDwK;~>v1hE(xdeFoRlpx}7 z(8LXtA>uyJ^@$)J$ea&Q@il1T8&p6l7#Mh<>lQ&gkop2u5Q~8!2Tj~S4I+L9P5c8? zTnD<I5u^%a&IWZ5i-DmBO}sz@BK`(V+&~i|?gL%_2;zav`2ZDPgC@Q~3#5X9fd{%S z62t?kFVF_D7#MQU#0_*H;%CsrKS0HGuA`2JZ_tIP??DqU(1VD-K@&I7hlu+?*H?mg zAoqNLimyQv-(Ubz!N9-+U3UrMfz%fmf>;a;IcVYrMiB8cXyPBB;yTdvm>^Xkb2b=* zSPTq3XyOIXje2j;#0^X#>V2T=H$gm*IUk_nYtY0un1NI<Fz`Uvb%J;x^#$f276U^L znz(@lMEnez_y?%C4s^XINEOJO4VEAl149p*c!3o}{0*A8fi*<j2f98K!~>c00V=)* zO?-n5NCg7}4|LrqhzC+%U<+a~Fyx?#8`wd_&!CBafQsut*OP)&fy~)p4`MMe^q`3s zI6%bTpotqm@3Z%Tu0I8-0-5sxD!v9yd;|2pc^>GxRG9h#=soT^XyOLY`_#{%iGP5K z>p<75!pzwKz3;pSO}xMjq=JFr4Vt(C^geGN==xTWDzN*Z;%m^vH$d;(=7Fwzg{d!q z-eaAECT;+|Px=g+_y?%C4s<;%%$yC-`<{Ey#0#MJD8E4yH-O$}>;qjt3p3{fRD2DZ z_y*{G!#vP+wJ`Ms(0hDy(8LX(_vxNN6aN4e*MY9Lg_*Mfdf#mins@>99@#f&;s((B zTz#PHb7AIufQqj{6W;*6Z<PnSZWpG$0D6yU4w|?D^ghuuXyPBB;yTdvyfAY%go9WN z3_WP#1<-pm-=K*bK<~5kfv*1r=?14CsQ4N*@eR=XCV8Oif??_lp!YcDpotqm?^8U3 zCjJ2`t^-{!3^Qi~^uEI$H1PuHJ%Vr0#0{YL`T0QC7sJf?02N<@CcXiB-yRQi-7!pk z0rVcb95itQ=zVf$(8NDL#dV<TkzwX+fZq4kgC<@8y+`d0nz#Y<J~JQa`em3oAE4rE z(8M=D?;GQRu4{&=FM!_Tm4ha30KHG^44U`{sJISvy)(?54bc0pdeFoRp!Z0<K@&HC z-sj{4T^|iI=L1xH4Vw4{=zU8(&~?)=^##y-jB?P#4WRc4ok0`-02SAPuBV2XvjKYF zPY;@S0rVc7H)!Gp(EDtBpzE(;=6ryPuR#;v0KIR92f8jBroI4rk4p}kxB>J&l{0AK zAE4qo(Dm9db2dQlJLy3aFM!@7@&--Z0D7N?4|IJu%$yHU@il1T8=&`X@Icpn!_*f* z@3F{16E}d~CvgT%`~y^62f7{{X3hraeGffo;swxq6yBhT8$j<f@PV!$hne#MD!v9y zd;|2p0UqeOa+vx8=sEs5XyOLY^YqW4iGP5K>p<6=!_3(LJ@38;O}qelj{F-maRcai z?mp1<=`eFXK*iUfiEn_Ox6T7yw+>TZ06oV%2Tj}ndY<?hH1Q8maUJM-c9=OEpyz$} zpotei&(VH^CT;*d&)NsN{vBq{2dMZOH1Q44^QL*A>*8VR3!vvX=b(ujK+jV?gC_m~ zDy{=vFAp<k1N6M(9yIX+=sCh~(8LX(=lS|T*Vn_$`2ZDPgC@QKdfqM%blp8neF5|w z+Z;4;1L%3OXVAnyK*isniBEu@8>;|arw=oK1N6Mt5HxWH==rK8XyON;;v3M!A3)Cu zJ%c9h06pLH1)BH*s5l37e*nxq4$yNvHPFOAK*c@K#1}x%>&!qC4}hM(*?}g`06kB0 z1)BH<sQ4K)@doI*nIF)^1EA+rszCQKz})`;dS0Xjnz#V;oJ1cqaR;b)0-AUMRD2Ga zxB>Khzzt~P2cY6d(8NDL#UG%FJ3!C%`-3Jv0V*y5-ERT&*9WM$37U8S^t`+VH1P${ zbK=gRiBEtY^dbS>w*faFdR~|bns@;8ye)>z?9BM$<c!pm(wx-zf};H7)Z*g!f}+g) zqRf&?uxwdQetLXTW=VW<egTq5aY<2XUV2FeL^wA!HyK$Lras;vz5-%OMoJFCqLQM- zyyC><lFa<P_~Oj;yu_UN;+*_)2EC%xoJ75n)QS>_CXm%|*J06=mRO9eGPNW=xwNP# zHLnEjm6ZIV+{8R2MalVj$%#n(w9LHB;tZI2u(fa-q2U1*1P4QUD#YaY<ovvn_~MeH z(&Uo(f|4Szip<p1)cCy8+|;7X<oM!}l=#v-kl#{M;!E=5GxJJP(^DY|!A8L?LH1Ts zPJVKBW?p)HSt62Bh?C=slM{2G!YKv$1z<bNK*5@vk(!(xUzC`ao*JK@7N41yl3Edu zM?rB;W^yV>0VvjTp$3728XBj`$b!ka1@UExIq`nMLH=c_MLCH`8bETPE&-`2>8V)d zGZOPsa#G{d@{5vF<BL*rQWJ|);}i2z;!}z;%TkNti&Bg8b4o$U0OA{vBQo>K^0QOp z(@OKe=>sAG@@7F%enDb-Vo7Q|QjkHULHY<tr=;ejmLQCc2U(Mx2)4Q;zaS^4EEi!^ zacW6?Nk&m>Vv1)9$no)|c}0nNDftj3nR%HdnTa`>RY;~KC+6fJ>4#+~B!|Z5<>$r2 z<2NNWC$SQm^hwbNN>GV840?I_C8>JuexbU>C5g$|5EDRQlv)N#{2<pP!Noy=gG(H1 zDuh@P56WEedHL~0sp%jpF+Ck(56C<s^&vE*q$U=F%mtZ-5KS&h1;r;+3Q85FLM;M$ zD6yz0u`)h6Ker&UC^fz~vnmy#yF4+o1e}^c@s*ca0m_kyB~S$*&G=jiDsSQw)6<Jm zK}ia1XmLqyNql}@PG%m|43JOZnHW-}<tBk-A>~wRMtoXPVlG56+%9OL0WU=3b4znd zG7EAb%D{OaYf{Cc4piKfrWC}3@)y{J7)1;yNK;clrAvHbN(zHsaY<20ViJR1ab+%; zF3L|yEJ<WQPKRcemX;_2rsik@M(6^jCg>{A1<Z`mR2ZWRm>Qy~FhUnFGeA>ej4ohk zX@TZ+3p4>EbOB>?G!>?%XaYv)0%j&?DvZ$uOpVY~7@-T88KS8$K^HJa7cjLnNA;W$ zx`3GlnhIld0aG(H6-MX+W~OK=OpVb5jL-$ljL=jVqYIcAqNy-7Koc-R7cjFlL-nmO zx`3%UnhGOy0aFun73czH#%L;x(FIHm(Nq|r3z!+8sW3(tFtId6_Y0bUA-W1v3p5o* z=mN&(Xevxi(FBap1<Xv)R2ZWRm>Qv}FhUnFGc>hiNUcasE-guoHvw^w%MN370doU1 z15GVW(8B^vzzAK1nFX2(V{`#iGc*-O=mKV@XevyN(FBap1<Z`lR2ZWR7#X0cFtap9 z1dfF<sz(jc1x(G+R2ZQPn3|xgKo>AGMpI#oE?{birosqaz{~(mg)zE-sf7`$UyRTN zjLp$hn3|#q7@-T8nV_jKMi($OLQ`RcE?{PerotFqz}x^$g{h?>nqSZbj4jYqn3|yp z7@-T8nWCvMHAWLK0tvwKjS-rp8M=T8x_~jdfRTYABB_~M8ld{g3|+t&UBJ{FO^Xq_ zfSDPZ3R4p_0V8w)Gh;Lr#^?g3hG;5G&;^Xp1<VZ4v>1Z~^dK!4z2xE&3vkmXH!&wC zKiLAM<zixKi7H@dfi7TffvUp95KX|)!W>-%nt-vT8JY?s3;|OM<U$jPV_=G^*Vxho zA!}ibDrsVAgeG8Qgivi{h$?AnV2F@3w=_VNH9;3Jv_Ml~YH4YX5}Zcp0%jIyDvZ$u zOwG_#7@-T8nWCvMHAWLKLKiSILQ`RkE?{barosqaz|7JD)pMriXaYv)0;VSDD$oVY zjL}pWqYIcCqNy-J7cfE>Ff%~YVvH_eXlah-7Yj52BXj{{b2JsErf332=mKUYXex}+ z1x$_5R2ZQPm>Hs}FhLhEMi(%(G(+{AF}i>ex`3Glx)yW+Q!_LbM(6@&rf4cmjnM>* z&;`tl&{UY93mBsdm>QsIF+vwGvotk_7aiuNs6k<hE?@)_fYmZ4rs&E|&;*Ro1<Z`m zR2ZWRm>MFv#{|SdZvPpf3z!)oD+h6ql^dfA7+RX3`OpGQzzAKy*c?rTsVSO(5xRhx z37QIHbOBQ%G!;hZ0%nG2DvZ$u%ni^~m|7a6dd>)4z}Ny!g{c{ufDyWYnJJnIQ)4s% zBXj{XBQzBz=mN$d0a!9JGDK5uWPm1MW@&`#F=KQAQ*$&GM(6^jCg>{A1<Z`mR2ZWR zm>Qy~FhUnFGeA>ej4ohmVTkG%BXj{%Q*;&R0%j&?DvZ$uOpVY~n4k+7p$nK9qG>Tk z7ce(4L@FvQ4N(1IiY{P;E?{hduEh*ZzzAKy%oI(9sWF;>5xRhx5t<5PbO9p+G!-V6 z7G@|75fei+0Yh_hbQNd<#+GKNDhw^q1Wb&~%#cc21lz>a6p3SKifWFDr3tElF-QQG zc}z@A5PFRajZu}F7#bpE%`FWO98&`{l}2a+hL)D5C}Co1fhJ&tE?{hqroz+|O~43U zz{~_qg)zE-sS%nABXj{XLo^k}=mMse7N~wPLKiTzKvQ9iE?{bgrosqaz|0g)g{d)` zfDyWYnGu=_V{`!%Lo^kp2516C=mKVz=BU0kHAfRLLKiSKL05q;U}lV_!Wdn^)DTUD z3A%t0x`3GhnigYp0TW9zRKFOb3mBpcm|CD|F+vwGHb+xoYKkUcgf3uaf~LY4UBJ`` zO@#@%fDyWYnIW1MV~_wmXB(i&np&EohJ_KjfSCoF3S*D}%s_KANmDa40V8w)GgDJj zc!^~K;vhG!OpVd?qYIcBp{Xzi3BcTAVu&VdWPm1MW@&=zV`FpyLklz&rsik@Mj!#W zm8NL2rY2|tM(6@&#%L;x(FIHm(NvhA3mBmbm>HmHF-8|KwJ=8Yx)Hj7u{oLwQ&Thn zBXj{X6EqdZ=mMrjXex}*1<VZ5R2ZWRm>U=)QjHmigOX}2jnF)XE?{hdroz+=O~43U zz|0g)g{d)`fDyWYnGu=_V{`!{12h$8mWHTKH%1pQHAhongf3uahNi;Q1WmvQUBJv3 zO@%SKfT<yx3KMhzBXj{X12iqhAOToDF}E~8^{J@^nt&0yfT=0E3UmQ86EqdZ=mMrj zXex}*1<VZ5RG6R(7^4fA8yJ`}6qhCy6y>MK=f)={8>A%~7^Rt5S|(W<r>4dyC7C3e zCdONuptN>O3@y+EjLgvl4ABIPEzL|2rKN!xs&ZpXQzThKWR9r`s!|h6V}w#O17lQK zQv)M}thuEjs;mjRfT0Dt3L|s@LqlW(4bTiUL=!MIFfbt|JdIJp)6CKmRlw97O~43U zz|;g?1-gKlF`5cvbOBRCG!-W30!HWpW(H_ljL`)QEiKUeVu2=Lgf3ugj;6xY6ivVg zUBJu)O@%SKfT<Ch3L|s@Gea~LCg=jj=mMse=BS=CLKiTzKvQ9iE?{bgrosqaz|0g) zg{d)`fDyWYnGu=_V{`!%Lo^kp2516C=mKVzW~jb3Mi($OM^j-05`YzyCZ=ezrY2|t zM(6@&#%L;x(FIHm(Nq|r3z!+086y`pXnp|6BG<XbmZqpG4ABKlEzndLp$izBqp2`8 zMH4VW7cetHQ(=rQU}}V>!U$c!%n(h5F}i@c0h$U^OA}Pz8lejqTcD{hHA53HLKiSI zH9-n-5C=JcO^wm@qYIcBp{X!N7ceqFQ(<OljOq_#bOA#PG!>@iXaYv)0;VSDD$oVY zjL}pWqYIcCqNy-K7cetGQ(=rQU}|B6>Nz8H0b_GC6{e<W0!HWpW+rGVjL`*5jnGt> zpbHqG3z!+AYe5$<Mi($QFhV32b4x>1pPHfz7@-RoTcB$(LlZDU7cetLQ(<b1CSZgv zU}l7-!Wdn^#1Kt|k%1wy#|%(CW@d>dV2mzcYL2GD2wlL;3{8cp37UWrx`3H6nhIld z0TUxM6{d!00w(AJM(6@&254H0(FIH_EsapxJcbr%0w#uN0*2-ms9H=6(F6=F%u!Vs zTbdamihfgMjv+F~zzkiVDXM^pk%<v_VjH|75Wad4xmZJ4F$!AgmzWa|UdRbr-x;5i zT3l?5aErO6A({n-=mM4os45IC&;(43&;<<91WYY04N(Hw2wlL;0!@W6x`3$}nhGOy z0W(uH6{f~$0!HWpW=3c#jL`*54AE4W8lVZ7pbHqG3z%72pnBgJUBJ{FO@$G<fT;<( z3UmQ8V>A`U=mMsOXevz51&q)I%nZ=97^4fASem1H&JbO|)B;U~5xRh}IhqPnQ#1i1 zbOAFHG!@3^0;Wc2DvZzt%nZ>~7^4fATAHDH&KO<52wlL;0$mHbfT<ap3L|s@GgC7| z<l+L=4W`EE`q2f<jL=jVg9PBsUqfWm4bYStp$nK<nxfioj4ohmj;6v0UBJ`?T?M*; znK7CQV{`#iLo^je=mKU2Xex}+1q>}sQ2k<RfhJ&tE?{hqroz+|O~43Uz{~_qg)zE- zsS%nABXj{XLo^k}=mO>jXevxCjZr;kgf3ugfu_RL3{AiYUBJu~O@*m3nt&0yfSD1R z3KMhzV{`!{12ipWmPV+4F-8|KHAhongf3uahNi;Q1WmvQUBJv3O@%SKfQb>B3R6Qg z0V8w)GXpdg#vlP$ZZx+vMD?ku1)6{nx`3%Ex(ajwGZQow#^?g3MrbNb&;^Xp1<VZ5 zwV(?aqYIcDplLC+G(h#O5xRh}1)2&|Gc*AsbOAF{G!>@CXaYv)0%k^NDvZ$uObpRf z7#SEC5@>qCH|mrn7R48*mVkEC7!c3{ZJ~iTmZYR0sfKK`iZ@`;^KlOFG&i+CFwNo( zP?}RFhL-387N`QomgWYC8pRx4(hOC=(83H!wJ9>k5Se3urq9^Y6xARTOA|B!LlcC_ zM#iX;h89K$Npnj>R9O=vbOA#Q0R!m8m5}3t$R^LTGB7}|mPaw;#W~0Y?{lE{B*G*i zr^~^33=E)SltE%_2q7>Fdd(ighIOEwmS7<;0lj`3%mxvl<EugEYk=6ucmp>?^g%Vq zbOr{{HR~Xgpx3iAK(B3Pn90Wg-pLNVMjLL#2dKU1_QCYS==-b?{UEd9nn8^95E1CH z&>#^oMmKLeGekdF5{X!`0iqvC2+V}|2FwH#HW2%vr!jzqzywTx1ax02Sdf8%0p@NP z-<*|!0TNO$5ePk@9TI;L(0lSg;m3}o9~OSsSs9=w07CS^$^DR2u>pEt9LU|EIEL8| G(+>cU3CJG+ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c new file mode 100644 index 0000000..cc3096d --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c @@ -0,0 +1,1437 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_218(char*, char *); +IKI_DLLESPEC extern void execute_219(char*, char *); +IKI_DLLESPEC extern void execute_4057(char*, char *); +IKI_DLLESPEC extern void execute_4058(char*, char *); +IKI_DLLESPEC extern void execute_4064(char*, char *); +IKI_DLLESPEC extern void execute_4065(char*, char *); +IKI_DLLESPEC extern void execute_4154(char*, char *); +IKI_DLLESPEC extern void execute_4155(char*, char *); +IKI_DLLESPEC extern void execute_4156(char*, char *); +IKI_DLLESPEC extern void execute_4157(char*, char *); +IKI_DLLESPEC extern void execute_4158(char*, char *); +IKI_DLLESPEC extern void execute_4159(char*, char *); +IKI_DLLESPEC extern void execute_4160(char*, char *); +IKI_DLLESPEC extern void execute_4161(char*, char *); +IKI_DLLESPEC extern void execute_4162(char*, char *); +IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_7903(char*, char *); +IKI_DLLESPEC extern void execute_7904(char*, char *); +IKI_DLLESPEC extern void execute_7905(char*, char *); +IKI_DLLESPEC extern void execute_7906(char*, char *); +IKI_DLLESPEC extern void execute_7907(char*, char *); +IKI_DLLESPEC extern void execute_7908(char*, char *); +IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_4150(char*, char *); +IKI_DLLESPEC extern void execute_4151(char*, char *); +IKI_DLLESPEC extern void execute_4066(char*, char *); +IKI_DLLESPEC extern void execute_6(char*, char *); +IKI_DLLESPEC extern void execute_7(char*, char *); +IKI_DLLESPEC extern void execute_8(char*, char *); +IKI_DLLESPEC extern void execute_9(char*, char *); +IKI_DLLESPEC extern void execute_10(char*, char *); +IKI_DLLESPEC extern void execute_11(char*, char *); +IKI_DLLESPEC extern void execute_12(char*, char *); +IKI_DLLESPEC extern void execute_13(char*, char *); +IKI_DLLESPEC extern void execute_14(char*, char *); +IKI_DLLESPEC extern void execute_15(char*, char *); +IKI_DLLESPEC extern void execute_16(char*, char *); +IKI_DLLESPEC extern void execute_17(char*, char *); +IKI_DLLESPEC extern void execute_18(char*, char *); +IKI_DLLESPEC extern void execute_19(char*, char *); +IKI_DLLESPEC extern void execute_20(char*, char *); +IKI_DLLESPEC extern void execute_22(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void execute_25(char*, char *); +IKI_DLLESPEC extern void execute_26(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_30(char*, char *); +IKI_DLLESPEC extern void execute_31(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_36(char*, char *); +IKI_DLLESPEC extern void execute_37(char*, char *); +IKI_DLLESPEC extern void execute_38(char*, char *); +IKI_DLLESPEC extern void execute_39(char*, char *); +IKI_DLLESPEC extern void execute_40(char*, char *); +IKI_DLLESPEC extern void execute_41(char*, char *); +IKI_DLLESPEC extern void execute_42(char*, char *); +IKI_DLLESPEC extern void execute_43(char*, char *); +IKI_DLLESPEC extern void execute_44(char*, char *); +IKI_DLLESPEC extern void execute_45(char*, char *); +IKI_DLLESPEC extern void execute_46(char*, char *); +IKI_DLLESPEC extern void execute_47(char*, char *); +IKI_DLLESPEC extern void execute_48(char*, char *); +IKI_DLLESPEC extern void execute_49(char*, char *); +IKI_DLLESPEC extern void execute_50(char*, char *); +IKI_DLLESPEC extern void execute_51(char*, char *); +IKI_DLLESPEC extern void execute_52(char*, char *); +IKI_DLLESPEC extern void execute_53(char*, char *); +IKI_DLLESPEC extern void execute_54(char*, char *); +IKI_DLLESPEC extern void execute_55(char*, char *); +IKI_DLLESPEC extern void execute_56(char*, char *); +IKI_DLLESPEC extern void execute_57(char*, char *); +IKI_DLLESPEC extern void execute_58(char*, char *); +IKI_DLLESPEC extern void execute_59(char*, char *); +IKI_DLLESPEC extern void execute_60(char*, char *); +IKI_DLLESPEC extern void execute_61(char*, char *); +IKI_DLLESPEC extern void execute_62(char*, char *); +IKI_DLLESPEC extern void execute_63(char*, char *); +IKI_DLLESPEC extern void execute_64(char*, char *); +IKI_DLLESPEC extern void execute_65(char*, char *); +IKI_DLLESPEC extern void execute_66(char*, char *); +IKI_DLLESPEC extern void execute_67(char*, char *); +IKI_DLLESPEC extern void execute_68(char*, char *); +IKI_DLLESPEC extern void execute_69(char*, char *); +IKI_DLLESPEC extern void execute_70(char*, char *); +IKI_DLLESPEC extern void execute_71(char*, char *); +IKI_DLLESPEC extern void execute_72(char*, char *); +IKI_DLLESPEC extern void execute_73(char*, char *); +IKI_DLLESPEC extern void execute_74(char*, char *); +IKI_DLLESPEC extern void execute_75(char*, char *); +IKI_DLLESPEC extern void execute_76(char*, char *); +IKI_DLLESPEC extern void execute_77(char*, char *); +IKI_DLLESPEC extern void execute_78(char*, char *); +IKI_DLLESPEC extern void execute_79(char*, char *); +IKI_DLLESPEC extern void execute_80(char*, char *); +IKI_DLLESPEC extern void execute_81(char*, char *); +IKI_DLLESPEC extern void execute_82(char*, char *); +IKI_DLLESPEC extern void execute_83(char*, char *); +IKI_DLLESPEC extern void execute_84(char*, char *); +IKI_DLLESPEC extern void execute_85(char*, char *); +IKI_DLLESPEC extern void execute_86(char*, char *); +IKI_DLLESPEC extern void execute_87(char*, char *); +IKI_DLLESPEC extern void execute_88(char*, char *); +IKI_DLLESPEC extern void execute_89(char*, char *); +IKI_DLLESPEC extern void execute_90(char*, char *); +IKI_DLLESPEC extern void execute_91(char*, char *); +IKI_DLLESPEC extern void execute_92(char*, char *); +IKI_DLLESPEC extern void execute_93(char*, char *); +IKI_DLLESPEC extern void execute_94(char*, char *); +IKI_DLLESPEC extern void execute_95(char*, char *); +IKI_DLLESPEC extern void execute_96(char*, char *); +IKI_DLLESPEC extern void execute_97(char*, char *); +IKI_DLLESPEC extern void execute_98(char*, char *); +IKI_DLLESPEC extern void execute_99(char*, char *); +IKI_DLLESPEC extern void execute_100(char*, char *); +IKI_DLLESPEC extern void execute_101(char*, char *); +IKI_DLLESPEC extern void execute_102(char*, char *); +IKI_DLLESPEC extern void execute_103(char*, char *); +IKI_DLLESPEC extern void execute_104(char*, char *); +IKI_DLLESPEC extern void execute_105(char*, char *); +IKI_DLLESPEC extern void execute_106(char*, char *); +IKI_DLLESPEC extern void execute_107(char*, char *); +IKI_DLLESPEC extern void execute_108(char*, char *); +IKI_DLLESPEC extern void execute_109(char*, char *); +IKI_DLLESPEC extern void execute_110(char*, char *); +IKI_DLLESPEC extern void execute_111(char*, char *); +IKI_DLLESPEC extern void execute_112(char*, char *); +IKI_DLLESPEC extern void execute_113(char*, char *); +IKI_DLLESPEC extern void execute_114(char*, char *); +IKI_DLLESPEC extern void execute_115(char*, char *); +IKI_DLLESPEC extern void execute_131(char*, char *); +IKI_DLLESPEC extern void execute_4067(char*, char *); +IKI_DLLESPEC extern void execute_4068(char*, char *); +IKI_DLLESPEC extern void execute_4071(char*, char *); +IKI_DLLESPEC extern void execute_4072(char*, char *); +IKI_DLLESPEC extern void execute_4084(char*, char *); +IKI_DLLESPEC extern void execute_4085(char*, char *); +IKI_DLLESPEC extern void execute_4086(char*, char *); +IKI_DLLESPEC extern void execute_4087(char*, char *); +IKI_DLLESPEC extern void execute_4088(char*, char *); +IKI_DLLESPEC extern void execute_4089(char*, char *); +IKI_DLLESPEC extern void execute_4090(char*, char *); +IKI_DLLESPEC extern void execute_4091(char*, char *); +IKI_DLLESPEC extern void execute_4092(char*, char *); +IKI_DLLESPEC extern void execute_4093(char*, char *); +IKI_DLLESPEC extern void execute_4094(char*, char *); +IKI_DLLESPEC extern void execute_4095(char*, char *); +IKI_DLLESPEC extern void execute_4096(char*, char *); +IKI_DLLESPEC extern void execute_4097(char*, char *); +IKI_DLLESPEC extern void execute_4098(char*, char *); +IKI_DLLESPEC extern void execute_4099(char*, char *); +IKI_DLLESPEC extern void execute_4100(char*, char *); +IKI_DLLESPEC extern void execute_4101(char*, char *); +IKI_DLLESPEC extern void execute_4102(char*, char *); +IKI_DLLESPEC extern void execute_4103(char*, char *); +IKI_DLLESPEC extern void execute_4104(char*, char *); +IKI_DLLESPEC extern void execute_4105(char*, char *); +IKI_DLLESPEC extern void execute_4106(char*, char *); +IKI_DLLESPEC extern void execute_4107(char*, char *); +IKI_DLLESPEC extern void execute_4108(char*, char *); +IKI_DLLESPEC extern void execute_4109(char*, char *); +IKI_DLLESPEC extern void execute_4110(char*, char *); +IKI_DLLESPEC extern void execute_4111(char*, char *); +IKI_DLLESPEC extern void execute_4112(char*, char *); +IKI_DLLESPEC extern void execute_4113(char*, char *); +IKI_DLLESPEC extern void execute_4114(char*, char *); +IKI_DLLESPEC extern void execute_4115(char*, char *); +IKI_DLLESPEC extern void execute_4116(char*, char *); +IKI_DLLESPEC extern void execute_4117(char*, char *); +IKI_DLLESPEC extern void execute_4118(char*, char *); +IKI_DLLESPEC extern void execute_4119(char*, char *); +IKI_DLLESPEC extern void execute_4120(char*, char *); +IKI_DLLESPEC extern void execute_4121(char*, char *); +IKI_DLLESPEC extern void execute_4122(char*, char *); +IKI_DLLESPEC extern void execute_4123(char*, char *); +IKI_DLLESPEC extern void execute_4124(char*, char *); +IKI_DLLESPEC extern void execute_4125(char*, char *); +IKI_DLLESPEC extern void execute_4126(char*, char *); +IKI_DLLESPEC extern void execute_4127(char*, char *); +IKI_DLLESPEC extern void execute_4128(char*, char *); +IKI_DLLESPEC extern void execute_4129(char*, char *); +IKI_DLLESPEC extern void execute_4130(char*, char *); +IKI_DLLESPEC extern void execute_4131(char*, char *); +IKI_DLLESPEC extern void execute_4132(char*, char *); +IKI_DLLESPEC extern void execute_4133(char*, char *); +IKI_DLLESPEC extern void execute_4134(char*, char *); +IKI_DLLESPEC extern void execute_4135(char*, char *); +IKI_DLLESPEC extern void execute_4136(char*, char *); +IKI_DLLESPEC extern void execute_4137(char*, char *); +IKI_DLLESPEC extern void execute_4138(char*, char *); +IKI_DLLESPEC extern void execute_4139(char*, char *); +IKI_DLLESPEC extern void execute_4140(char*, char *); +IKI_DLLESPEC extern void execute_4143(char*, char *); +IKI_DLLESPEC extern void execute_138(char*, char *); +IKI_DLLESPEC extern void execute_195(char*, char *); +IKI_DLLESPEC extern void execute_196(char*, char *); +IKI_DLLESPEC extern void execute_197(char*, char *); +IKI_DLLESPEC extern void execute_198(char*, char *); +IKI_DLLESPEC extern void execute_4152(char*, char *); +IKI_DLLESPEC extern void execute_4153(char*, char *); +IKI_DLLESPEC extern void execute_173(char*, char *); +IKI_DLLESPEC extern void execute_174(char*, char *); +IKI_DLLESPEC extern void execute_175(char*, char *); +IKI_DLLESPEC extern void execute_176(char*, char *); +IKI_DLLESPEC extern void execute_177(char*, char *); +IKI_DLLESPEC extern void execute_178(char*, char *); +IKI_DLLESPEC extern void execute_179(char*, char *); +IKI_DLLESPEC extern void execute_180(char*, char *); +IKI_DLLESPEC extern void execute_181(char*, char *); +IKI_DLLESPEC extern void execute_182(char*, char *); +IKI_DLLESPEC extern void execute_183(char*, char *); +IKI_DLLESPEC extern void execute_184(char*, char *); +IKI_DLLESPEC extern void execute_185(char*, char *); +IKI_DLLESPEC extern void execute_186(char*, char *); +IKI_DLLESPEC extern void execute_187(char*, char *); +IKI_DLLESPEC extern void execute_188(char*, char *); +IKI_DLLESPEC extern void execute_189(char*, char *); +IKI_DLLESPEC extern void execute_190(char*, char *); +IKI_DLLESPEC extern void execute_191(char*, char *); +IKI_DLLESPEC extern void execute_192(char*, char *); +IKI_DLLESPEC extern void execute_193(char*, char *); +IKI_DLLESPEC extern void execute_194(char*, char *); +IKI_DLLESPEC extern void execute_200(char*, char *); +IKI_DLLESPEC extern void execute_201(char*, char *); +IKI_DLLESPEC extern void execute_203(char*, char *); +IKI_DLLESPEC extern void execute_204(char*, char *); +IKI_DLLESPEC extern void execute_205(char*, char *); +IKI_DLLESPEC extern void execute_206(char*, char *); +IKI_DLLESPEC extern void execute_207(char*, char *); +IKI_DLLESPEC extern void execute_208(char*, char *); +IKI_DLLESPEC extern void execute_209(char*, char *); +IKI_DLLESPEC extern void execute_210(char*, char *); +IKI_DLLESPEC extern void execute_211(char*, char *); +IKI_DLLESPEC extern void execute_212(char*, char *); +IKI_DLLESPEC extern void execute_213(char*, char *); +IKI_DLLESPEC extern void execute_214(char*, char *); +IKI_DLLESPEC extern void execute_215(char*, char *); +IKI_DLLESPEC extern void execute_216(char*, char *); +IKI_DLLESPEC extern void execute_217(char*, char *); +IKI_DLLESPEC extern void execute_230(char*, char *); +IKI_DLLESPEC extern void execute_2136(char*, char *); +IKI_DLLESPEC extern void execute_2137(char*, char *); +IKI_DLLESPEC extern void execute_233(char*, char *); +IKI_DLLESPEC extern void execute_234(char*, char *); +IKI_DLLESPEC extern void execute_235(char*, char *); +IKI_DLLESPEC extern void execute_236(char*, char *); +IKI_DLLESPEC extern void execute_237(char*, char *); +IKI_DLLESPEC extern void execute_238(char*, char *); +IKI_DLLESPEC extern void execute_239(char*, char *); +IKI_DLLESPEC extern void execute_240(char*, char *); +IKI_DLLESPEC extern void execute_241(char*, char *); +IKI_DLLESPEC extern void execute_6034(char*, char *); +IKI_DLLESPEC extern void execute_6035(char*, char *); +IKI_DLLESPEC extern void execute_271(char*, char *); +IKI_DLLESPEC extern void execute_4196(char*, char *); +IKI_DLLESPEC extern void execute_4197(char*, char *); +IKI_DLLESPEC extern void execute_4198(char*, char *); +IKI_DLLESPEC extern void execute_306(char*, char *); +IKI_DLLESPEC extern void execute_4248(char*, char *); +IKI_DLLESPEC extern void execute_4249(char*, char *); +IKI_DLLESPEC extern void execute_4250(char*, char *); +IKI_DLLESPEC extern void execute_4251(char*, char *); +IKI_DLLESPEC extern void execute_4252(char*, char *); +IKI_DLLESPEC extern void execute_4253(char*, char *); +IKI_DLLESPEC extern void execute_4254(char*, char *); +IKI_DLLESPEC extern void execute_4255(char*, char *); +IKI_DLLESPEC extern void execute_4247(char*, char *); +IKI_DLLESPEC extern void execute_308(char*, char *); +IKI_DLLESPEC extern void execute_309(char*, char *); +IKI_DLLESPEC extern void execute_310(char*, char *); +IKI_DLLESPEC extern void execute_311(char*, char *); +IKI_DLLESPEC extern void execute_312(char*, char *); +IKI_DLLESPEC extern void execute_313(char*, char *); +IKI_DLLESPEC extern void execute_314(char*, char *); +IKI_DLLESPEC extern void execute_315(char*, char *); +IKI_DLLESPEC extern void execute_316(char*, char *); +IKI_DLLESPEC extern void execute_317(char*, char *); +IKI_DLLESPEC extern void execute_318(char*, char *); +IKI_DLLESPEC extern void execute_319(char*, char *); +IKI_DLLESPEC extern void execute_320(char*, char *); +IKI_DLLESPEC extern void execute_321(char*, char *); +IKI_DLLESPEC extern void execute_322(char*, char *); +IKI_DLLESPEC extern void execute_323(char*, char *); +IKI_DLLESPEC extern void execute_324(char*, char *); +IKI_DLLESPEC extern void execute_325(char*, char *); +IKI_DLLESPEC extern void execute_326(char*, char *); +IKI_DLLESPEC extern void execute_327(char*, char *); +IKI_DLLESPEC extern void execute_328(char*, char *); +IKI_DLLESPEC extern void execute_329(char*, char *); +IKI_DLLESPEC extern void execute_330(char*, char *); +IKI_DLLESPEC extern void execute_331(char*, char *); +IKI_DLLESPEC extern void execute_332(char*, char *); +IKI_DLLESPEC extern void execute_333(char*, char *); +IKI_DLLESPEC extern void execute_334(char*, char *); +IKI_DLLESPEC extern void execute_335(char*, char *); +IKI_DLLESPEC extern void execute_338(char*, char *); +IKI_DLLESPEC extern void execute_339(char*, char *); +IKI_DLLESPEC extern void execute_340(char*, char *); +IKI_DLLESPEC extern void execute_341(char*, char *); +IKI_DLLESPEC extern void execute_342(char*, char *); +IKI_DLLESPEC extern void execute_343(char*, char *); +IKI_DLLESPEC extern void execute_344(char*, char *); +IKI_DLLESPEC extern void execute_345(char*, char *); +IKI_DLLESPEC extern void execute_346(char*, char *); +IKI_DLLESPEC extern void execute_347(char*, char *); +IKI_DLLESPEC extern void execute_348(char*, char *); +IKI_DLLESPEC extern void execute_349(char*, char *); +IKI_DLLESPEC extern void execute_350(char*, char *); +IKI_DLLESPEC extern void execute_351(char*, char *); +IKI_DLLESPEC extern void execute_352(char*, char *); +IKI_DLLESPEC extern void execute_353(char*, char *); +IKI_DLLESPEC extern void execute_4256(char*, char *); +IKI_DLLESPEC extern void execute_4257(char*, char *); +IKI_DLLESPEC extern void execute_4258(char*, char *); +IKI_DLLESPEC extern void execute_4259(char*, char *); +IKI_DLLESPEC extern void execute_4260(char*, char *); +IKI_DLLESPEC extern void execute_4261(char*, char *); +IKI_DLLESPEC extern void execute_4262(char*, char *); +IKI_DLLESPEC extern void execute_4263(char*, char *); +IKI_DLLESPEC extern void execute_4264(char*, char *); +IKI_DLLESPEC extern void execute_4265(char*, char *); +IKI_DLLESPEC extern void execute_4266(char*, char *); +IKI_DLLESPEC extern void execute_4267(char*, char *); +IKI_DLLESPEC extern void execute_4268(char*, char *); +IKI_DLLESPEC extern void execute_4269(char*, char *); +IKI_DLLESPEC extern void execute_4270(char*, char *); +IKI_DLLESPEC extern void vlog_simple_process_execute_1_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_4311(char*, char *); +IKI_DLLESPEC extern void execute_4316(char*, char *); +IKI_DLLESPEC extern void execute_4331(char*, char *); +IKI_DLLESPEC extern void execute_4333(char*, char *); +IKI_DLLESPEC extern void execute_4335(char*, char *); +IKI_DLLESPEC extern void execute_4347(char*, char *); +IKI_DLLESPEC extern void execute_4348(char*, char *); +IKI_DLLESPEC extern void execute_4349(char*, char *); +IKI_DLLESPEC extern void execute_4351(char*, char *); +IKI_DLLESPEC extern void execute_4352(char*, char *); +IKI_DLLESPEC extern void execute_4353(char*, char *); +IKI_DLLESPEC extern void execute_4354(char*, char *); +IKI_DLLESPEC extern void execute_4355(char*, char *); +IKI_DLLESPEC extern void execute_4356(char*, char *); +IKI_DLLESPEC extern void execute_4357(char*, char *); +IKI_DLLESPEC extern void execute_4358(char*, char *); +IKI_DLLESPEC extern void execute_4360(char*, char *); +IKI_DLLESPEC extern void execute_4361(char*, char *); +IKI_DLLESPEC extern void execute_4362(char*, char *); +IKI_DLLESPEC extern void execute_4363(char*, char *); +IKI_DLLESPEC extern void execute_4364(char*, char *); +IKI_DLLESPEC extern void execute_4365(char*, char *); +IKI_DLLESPEC extern void execute_4366(char*, char *); +IKI_DLLESPEC extern void execute_4367(char*, char *); +IKI_DLLESPEC extern void execute_4368(char*, char *); +IKI_DLLESPEC extern void execute_4369(char*, char *); +IKI_DLLESPEC extern void execute_4370(char*, char *); +IKI_DLLESPEC extern void execute_4375(char*, char *); +IKI_DLLESPEC extern void execute_4376(char*, char *); +IKI_DLLESPEC extern void execute_4377(char*, char *); +IKI_DLLESPEC extern void execute_4378(char*, char *); +IKI_DLLESPEC extern void execute_4379(char*, char *); +IKI_DLLESPEC extern void execute_4380(char*, char *); +IKI_DLLESPEC extern void execute_4381(char*, char *); +IKI_DLLESPEC extern void execute_4382(char*, char *); +IKI_DLLESPEC extern void execute_4383(char*, char *); +IKI_DLLESPEC extern void execute_4384(char*, char *); +IKI_DLLESPEC extern void execute_4385(char*, char *); +IKI_DLLESPEC extern void execute_4386(char*, char *); +IKI_DLLESPEC extern void execute_4387(char*, char *); +IKI_DLLESPEC extern void execute_4388(char*, char *); +IKI_DLLESPEC extern void execute_4389(char*, char *); +IKI_DLLESPEC extern void execute_4390(char*, char *); +IKI_DLLESPEC extern void execute_4391(char*, char *); +IKI_DLLESPEC extern void execute_4392(char*, char *); +IKI_DLLESPEC extern void execute_4393(char*, char *); +IKI_DLLESPEC extern void execute_4394(char*, char *); +IKI_DLLESPEC extern void execute_4395(char*, char *); +IKI_DLLESPEC extern void execute_4396(char*, char *); +IKI_DLLESPEC extern void execute_4397(char*, char *); +IKI_DLLESPEC extern void execute_356(char*, char *); +IKI_DLLESPEC extern void execute_4399(char*, char *); +IKI_DLLESPEC extern void execute_4400(char*, char *); +IKI_DLLESPEC extern void execute_4401(char*, char *); +IKI_DLLESPEC extern void execute_4402(char*, char *); +IKI_DLLESPEC extern void execute_4398(char*, char *); +IKI_DLLESPEC extern void execute_359(char*, char *); +IKI_DLLESPEC extern void execute_360(char*, char *); +IKI_DLLESPEC extern void execute_363(char*, char *); +IKI_DLLESPEC extern void execute_364(char*, char *); +IKI_DLLESPEC extern void execute_470(char*, char *); +IKI_DLLESPEC extern void execute_506(char*, char *); +IKI_DLLESPEC extern void execute_755(char*, char *); +IKI_DLLESPEC extern void execute_756(char*, char *); +IKI_DLLESPEC extern void execute_757(char*, char *); +IKI_DLLESPEC extern void execute_4543(char*, char *); +IKI_DLLESPEC extern void execute_4544(char*, char *); +IKI_DLLESPEC extern void execute_4545(char*, char *); +IKI_DLLESPEC extern void execute_4546(char*, char *); +IKI_DLLESPEC extern void execute_4555(char*, char *); +IKI_DLLESPEC extern void execute_4556(char*, char *); +IKI_DLLESPEC extern void execute_4557(char*, char *); +IKI_DLLESPEC extern void execute_4560(char*, char *); +IKI_DLLESPEC extern void execute_4561(char*, char *); +IKI_DLLESPEC extern void execute_4562(char*, char *); +IKI_DLLESPEC extern void execute_4563(char*, char *); +IKI_DLLESPEC extern void execute_838(char*, char *); +IKI_DLLESPEC extern void execute_839(char*, char *); +IKI_DLLESPEC extern void execute_4060(char*, char *); +IKI_DLLESPEC extern void execute_4061(char*, char *); +IKI_DLLESPEC extern void execute_4062(char*, char *); +IKI_DLLESPEC extern void execute_4063(char*, char *); +IKI_DLLESPEC extern void execute_7909(char*, char *); +IKI_DLLESPEC extern void execute_7910(char*, char *); +IKI_DLLESPEC extern void execute_7911(char*, char *); +IKI_DLLESPEC extern void execute_7912(char*, char *); +IKI_DLLESPEC extern void execute_7913(char*, char *); +IKI_DLLESPEC extern void execute_7914(char*, char *); +IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_20(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_21(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_23(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_24(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_25(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_26(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_27(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_28(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_63(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_64(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_65(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_66(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_67(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_69(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_70(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_71(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_72(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_73(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_74(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_75(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_76(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_77(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_78(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_79(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_83(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_84(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_85(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_86(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_87(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_88(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_710(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_711(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_712(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_714(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_725(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_770(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_811(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_819(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_820(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_822(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_823(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_824(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_825(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_826(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_827(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_828(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_833(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_834(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_835(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_836(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_837(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_838(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_839(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_840(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_841(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_842(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_843(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_844(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_855(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_965(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_974(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_975(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_976(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_977(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_978(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_979(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_983(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_984(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_985(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_986(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_987(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_988(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_989(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_990(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_997(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1014(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1019(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1047(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1048(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1049(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1050(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1051(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1052(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1053(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1054(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1055(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1056(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1057(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1058(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1059(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1060(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1061(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1062(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1063(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1064(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1065(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1066(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1067(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3937(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3945(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3946(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3948(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3949(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3950(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3951(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3952(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3953(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3954(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3959(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3960(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3961(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3962(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3963(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3964(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3965(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3966(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3967(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3968(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3969(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3970(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3981(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4091(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4100(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4101(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4102(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4103(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4104(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4105(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4109(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4110(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4111(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4112(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4113(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4114(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4115(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4116(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4123(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4140(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4145(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4173(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4174(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4175(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4176(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4177(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4178(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4179(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4180(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4181(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4182(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4183(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4184(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4185(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4186(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4187(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4188(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4189(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4190(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4191(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4192(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4193(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_194(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_195(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_263(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_264(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_265(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_266(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_296(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_707(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_708(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_709(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1076(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1077(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1080(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1084(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1085(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1088(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1091(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1093(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1095(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1097(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1102(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1105(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1111(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1132(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1139(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1140(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1141(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1142(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1148(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1776(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1782(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1788(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1802(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1808(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1814(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1820(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1833(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1839(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1845(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1860(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1866(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1872(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1878(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1892(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1898(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1941(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1947(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1953(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1959(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1965(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1971(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1977(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1983(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1989(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1995(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2001(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2007(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2013(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2019(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2025(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2031(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2037(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2043(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2049(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2055(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2061(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2067(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2073(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2079(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2085(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2091(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2097(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2103(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2109(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2115(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2121(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2127(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2133(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2139(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2145(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2151(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2157(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2163(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2169(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2175(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2181(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2187(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2193(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2199(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2205(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2211(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2217(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2223(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2229(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2235(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2241(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2247(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2253(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2259(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2265(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2271(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2277(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2283(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2289(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2295(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2301(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2307(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2313(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2319(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2325(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2331(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2337(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2343(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2349(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2355(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2361(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2367(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2373(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2379(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2385(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2391(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2397(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2403(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2409(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2415(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2421(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2427(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2433(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2439(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2445(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2451(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2457(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2463(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2469(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2475(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2481(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2487(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2493(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2499(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2505(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2511(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2517(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2523(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2529(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2535(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2541(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2547(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2553(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2559(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2565(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2571(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2577(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2583(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2589(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2595(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2601(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2607(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2613(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2619(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2625(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2631(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2637(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2643(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2649(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2655(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2661(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2667(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2673(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2679(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2685(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2691(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2697(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2703(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2709(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2715(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2721(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2727(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2733(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2739(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2745(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2751(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2757(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2763(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2769(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2775(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2781(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2787(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2793(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2799(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2805(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2811(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2817(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2823(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2829(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2835(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2841(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2847(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2853(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2859(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2865(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2871(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2877(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2883(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2889(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2895(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2901(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2907(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2913(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2919(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2925(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2931(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2937(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2943(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2949(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2955(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2961(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2967(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2973(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2979(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2985(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2991(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2997(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3003(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3009(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3015(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3021(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3027(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3033(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3039(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3045(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3051(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3057(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3063(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3069(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3075(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3081(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3087(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3093(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3099(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3105(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3111(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3117(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3123(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3129(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3135(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3141(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3147(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3153(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3159(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3165(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3171(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3177(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3183(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3189(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3195(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3201(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3207(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3213(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3219(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3225(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3231(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3237(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3243(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3249(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3255(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3261(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3267(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3273(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3279(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3285(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3291(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3297(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3303(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3309(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3315(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3321(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3327(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3333(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3339(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3345(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3351(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3357(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3363(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3369(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3375(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3381(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3387(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3393(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3399(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3405(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3411(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3417(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3423(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3429(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3435(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3441(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3447(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3453(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3459(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3465(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3471(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3477(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3483(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3489(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3495(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3723(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3729(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3735(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3741(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3747(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3753(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3759(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3765(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3771(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3777(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3783(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3789(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3795(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3801(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3807(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3813(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3819(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3825(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3831(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3837(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3843(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3849(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3855(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3861(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3867(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3873(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3879(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3885(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3891(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3897(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3903(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3909(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3915(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3921(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3927(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3933(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4202(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4203(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4206(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4210(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4211(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4214(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4217(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4219(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4221(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4223(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4228(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4231(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4237(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4242(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4258(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4265(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4266(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4267(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4268(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4274(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4902(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4908(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4914(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4928(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4934(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4940(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4946(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4959(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4965(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4971(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4986(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4992(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_4998(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5004(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5018(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5024(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5067(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5073(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5079(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5085(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5091(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5097(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5103(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5109(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5115(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5121(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5127(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5133(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5139(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5145(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5151(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5157(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5163(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5169(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5175(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5181(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5187(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5193(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5199(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5205(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5211(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5217(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5223(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5229(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5235(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5241(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5247(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5253(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5259(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5265(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5271(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5277(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5283(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5289(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5295(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5301(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5307(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5313(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5319(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5325(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5331(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5337(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5343(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5349(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5355(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5361(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5367(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5373(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5379(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5385(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5391(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5397(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5403(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5409(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5415(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5421(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5427(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5433(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5439(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5445(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5451(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5457(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5463(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5469(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5475(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5481(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5487(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5493(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5499(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5505(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5511(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5517(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5523(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5529(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5535(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5541(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5547(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5553(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5559(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5565(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5571(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5577(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5583(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5589(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5595(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5601(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5607(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5613(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5619(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5625(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5631(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5637(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5643(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5649(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5655(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5661(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5667(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5673(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5679(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5685(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5691(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5697(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5703(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5709(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5715(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5721(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5727(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5733(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5739(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5745(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5751(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5757(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5763(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5769(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5775(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5781(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5787(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5793(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5799(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5805(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5811(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5817(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5823(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5829(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5835(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5841(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5847(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5853(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5859(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5865(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5871(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5877(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5883(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5889(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5895(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5901(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5907(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5913(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5919(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5925(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5931(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5937(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5943(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5949(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5955(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5961(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5967(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5973(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5979(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5985(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5991(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_5997(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6003(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6009(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6015(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6021(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6027(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6033(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6039(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6045(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6051(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6057(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6063(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6069(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6075(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6081(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6087(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6093(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6099(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6105(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6111(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6117(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6123(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6129(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6135(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6141(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6147(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6153(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6159(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6165(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6171(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6177(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6183(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6189(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6195(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6201(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6207(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6213(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6219(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6225(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6231(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6237(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6243(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6249(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6255(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6261(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6267(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6273(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6279(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6285(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6291(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6297(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6303(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6309(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6315(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6321(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6327(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6333(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6339(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6345(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6351(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6357(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6363(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6369(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6375(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6381(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6387(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6393(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6399(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6405(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6411(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6417(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6423(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6429(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6435(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6441(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6447(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6453(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6459(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6465(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6471(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6477(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6483(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6489(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6495(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6501(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6507(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6513(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6519(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6525(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6531(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6537(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6543(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6549(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6555(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6561(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6567(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6573(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6579(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6585(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6591(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6597(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6603(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6609(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6615(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6621(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6849(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6855(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6861(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6867(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6873(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6879(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6885(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6891(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6897(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6903(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6909(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6915(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6921(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6927(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6933(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6939(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6945(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6951(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6957(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6963(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6969(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6975(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6981(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6987(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6993(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_6999(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7005(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7011(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7017(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7023(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7029(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7035(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7041(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7047(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7053(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_7059(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[1270] = {(funcp)execute_218, (funcp)execute_219, (funcp)execute_4057, (funcp)execute_4058, (funcp)execute_4064, (funcp)execute_4065, (funcp)execute_4154, (funcp)execute_4155, (funcp)execute_4156, (funcp)execute_4157, (funcp)execute_4158, (funcp)execute_4159, (funcp)execute_4160, (funcp)execute_4161, (funcp)execute_4162, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_7903, (funcp)execute_7904, (funcp)execute_7905, (funcp)execute_7906, (funcp)execute_7907, (funcp)execute_7908, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_4150, (funcp)execute_4151, (funcp)execute_4066, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_19, (funcp)execute_20, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_58, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_82, (funcp)execute_83, (funcp)execute_84, (funcp)execute_85, (funcp)execute_86, (funcp)execute_87, (funcp)execute_88, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)execute_94, (funcp)execute_95, (funcp)execute_96, (funcp)execute_97, (funcp)execute_98, (funcp)execute_99, (funcp)execute_100, (funcp)execute_101, (funcp)execute_102, (funcp)execute_103, (funcp)execute_104, (funcp)execute_105, (funcp)execute_106, (funcp)execute_107, (funcp)execute_108, (funcp)execute_109, (funcp)execute_110, (funcp)execute_111, (funcp)execute_112, (funcp)execute_113, (funcp)execute_114, (funcp)execute_115, (funcp)execute_131, (funcp)execute_4067, (funcp)execute_4068, (funcp)execute_4071, (funcp)execute_4072, (funcp)execute_4084, (funcp)execute_4085, (funcp)execute_4086, (funcp)execute_4087, (funcp)execute_4088, (funcp)execute_4089, (funcp)execute_4090, (funcp)execute_4091, (funcp)execute_4092, (funcp)execute_4093, (funcp)execute_4094, (funcp)execute_4095, (funcp)execute_4096, (funcp)execute_4097, (funcp)execute_4098, (funcp)execute_4099, (funcp)execute_4100, (funcp)execute_4101, (funcp)execute_4102, (funcp)execute_4103, (funcp)execute_4104, (funcp)execute_4105, (funcp)execute_4106, (funcp)execute_4107, (funcp)execute_4108, (funcp)execute_4109, (funcp)execute_4110, (funcp)execute_4111, (funcp)execute_4112, (funcp)execute_4113, (funcp)execute_4114, (funcp)execute_4115, (funcp)execute_4116, (funcp)execute_4117, (funcp)execute_4118, (funcp)execute_4119, (funcp)execute_4120, (funcp)execute_4121, (funcp)execute_4122, (funcp)execute_4123, (funcp)execute_4124, (funcp)execute_4125, (funcp)execute_4126, (funcp)execute_4127, (funcp)execute_4128, (funcp)execute_4129, (funcp)execute_4130, (funcp)execute_4131, (funcp)execute_4132, (funcp)execute_4133, (funcp)execute_4134, (funcp)execute_4135, (funcp)execute_4136, (funcp)execute_4137, (funcp)execute_4138, (funcp)execute_4139, (funcp)execute_4140, (funcp)execute_4143, (funcp)execute_138, (funcp)execute_195, (funcp)execute_196, (funcp)execute_197, (funcp)execute_198, (funcp)execute_4152, (funcp)execute_4153, (funcp)execute_173, (funcp)execute_174, (funcp)execute_175, (funcp)execute_176, (funcp)execute_177, (funcp)execute_178, (funcp)execute_179, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_183, (funcp)execute_184, (funcp)execute_185, (funcp)execute_186, (funcp)execute_187, (funcp)execute_188, (funcp)execute_189, (funcp)execute_190, (funcp)execute_191, (funcp)execute_192, (funcp)execute_193, (funcp)execute_194, (funcp)execute_200, (funcp)execute_201, (funcp)execute_203, (funcp)execute_204, (funcp)execute_205, (funcp)execute_206, (funcp)execute_207, (funcp)execute_208, (funcp)execute_209, (funcp)execute_210, (funcp)execute_211, (funcp)execute_212, (funcp)execute_213, (funcp)execute_214, (funcp)execute_215, (funcp)execute_216, (funcp)execute_217, (funcp)execute_230, (funcp)execute_2136, (funcp)execute_2137, (funcp)execute_233, (funcp)execute_234, (funcp)execute_235, (funcp)execute_236, (funcp)execute_237, (funcp)execute_238, (funcp)execute_239, (funcp)execute_240, (funcp)execute_241, (funcp)execute_6034, (funcp)execute_6035, (funcp)execute_271, (funcp)execute_4196, (funcp)execute_4197, (funcp)execute_4198, (funcp)execute_306, (funcp)execute_4248, (funcp)execute_4249, (funcp)execute_4250, (funcp)execute_4251, (funcp)execute_4252, (funcp)execute_4253, (funcp)execute_4254, (funcp)execute_4255, (funcp)execute_4247, (funcp)execute_308, (funcp)execute_309, (funcp)execute_310, (funcp)execute_311, (funcp)execute_312, (funcp)execute_313, (funcp)execute_314, (funcp)execute_315, (funcp)execute_316, (funcp)execute_317, (funcp)execute_318, (funcp)execute_319, (funcp)execute_320, (funcp)execute_321, (funcp)execute_322, (funcp)execute_323, (funcp)execute_324, (funcp)execute_325, (funcp)execute_326, (funcp)execute_327, (funcp)execute_328, (funcp)execute_329, (funcp)execute_330, (funcp)execute_331, (funcp)execute_332, (funcp)execute_333, (funcp)execute_334, (funcp)execute_335, (funcp)execute_338, (funcp)execute_339, (funcp)execute_340, (funcp)execute_341, (funcp)execute_342, (funcp)execute_343, (funcp)execute_344, (funcp)execute_345, (funcp)execute_346, (funcp)execute_347, (funcp)execute_348, (funcp)execute_349, (funcp)execute_350, (funcp)execute_351, (funcp)execute_352, (funcp)execute_353, (funcp)execute_4256, (funcp)execute_4257, (funcp)execute_4258, (funcp)execute_4259, (funcp)execute_4260, (funcp)execute_4261, (funcp)execute_4262, (funcp)execute_4263, (funcp)execute_4264, (funcp)execute_4265, (funcp)execute_4266, (funcp)execute_4267, (funcp)execute_4268, (funcp)execute_4269, (funcp)execute_4270, (funcp)vlog_simple_process_execute_1_fast_no_reg_no_agg, (funcp)execute_4311, (funcp)execute_4316, (funcp)execute_4331, (funcp)execute_4333, (funcp)execute_4335, (funcp)execute_4347, (funcp)execute_4348, (funcp)execute_4349, (funcp)execute_4351, (funcp)execute_4352, (funcp)execute_4353, (funcp)execute_4354, (funcp)execute_4355, (funcp)execute_4356, (funcp)execute_4357, (funcp)execute_4358, (funcp)execute_4360, (funcp)execute_4361, (funcp)execute_4362, (funcp)execute_4363, (funcp)execute_4364, (funcp)execute_4365, (funcp)execute_4366, (funcp)execute_4367, (funcp)execute_4368, (funcp)execute_4369, (funcp)execute_4370, (funcp)execute_4375, (funcp)execute_4376, (funcp)execute_4377, (funcp)execute_4378, (funcp)execute_4379, (funcp)execute_4380, (funcp)execute_4381, (funcp)execute_4382, (funcp)execute_4383, (funcp)execute_4384, (funcp)execute_4385, (funcp)execute_4386, (funcp)execute_4387, (funcp)execute_4388, (funcp)execute_4389, (funcp)execute_4390, (funcp)execute_4391, (funcp)execute_4392, (funcp)execute_4393, (funcp)execute_4394, (funcp)execute_4395, (funcp)execute_4396, (funcp)execute_4397, (funcp)execute_356, (funcp)execute_4399, (funcp)execute_4400, (funcp)execute_4401, (funcp)execute_4402, (funcp)execute_4398, (funcp)execute_359, (funcp)execute_360, (funcp)execute_363, (funcp)execute_364, (funcp)execute_470, (funcp)execute_506, (funcp)execute_755, (funcp)execute_756, (funcp)execute_757, (funcp)execute_4543, (funcp)execute_4544, (funcp)execute_4545, (funcp)execute_4546, (funcp)execute_4555, (funcp)execute_4556, (funcp)execute_4557, (funcp)execute_4560, (funcp)execute_4561, (funcp)execute_4562, (funcp)execute_4563, (funcp)execute_838, (funcp)execute_839, (funcp)execute_4060, (funcp)execute_4061, (funcp)execute_4062, (funcp)execute_4063, (funcp)execute_7909, (funcp)execute_7910, (funcp)execute_7911, (funcp)execute_7912, (funcp)execute_7913, (funcp)execute_7914, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_20, (funcp)transaction_21, (funcp)transaction_23, (funcp)transaction_24, (funcp)transaction_25, (funcp)transaction_26, (funcp)transaction_27, (funcp)transaction_28, (funcp)transaction_40, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_63, (funcp)transaction_64, (funcp)transaction_65, (funcp)transaction_66, (funcp)transaction_67, (funcp)transaction_69, (funcp)transaction_70, (funcp)transaction_71, (funcp)transaction_72, (funcp)transaction_73, (funcp)transaction_74, (funcp)transaction_75, (funcp)transaction_76, (funcp)transaction_77, (funcp)transaction_78, (funcp)transaction_79, (funcp)transaction_83, (funcp)transaction_84, (funcp)transaction_85, (funcp)transaction_86, (funcp)transaction_87, (funcp)transaction_88, (funcp)transaction_710, (funcp)transaction_711, (funcp)transaction_712, (funcp)transaction_714, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_725, (funcp)transaction_770, (funcp)transaction_811, (funcp)transaction_819, (funcp)transaction_820, (funcp)transaction_822, (funcp)transaction_823, (funcp)transaction_824, (funcp)transaction_825, (funcp)transaction_826, (funcp)transaction_827, (funcp)transaction_828, (funcp)transaction_833, (funcp)transaction_834, (funcp)transaction_835, (funcp)transaction_836, (funcp)transaction_837, (funcp)transaction_838, (funcp)transaction_839, (funcp)transaction_840, (funcp)transaction_841, (funcp)transaction_842, (funcp)transaction_843, (funcp)transaction_844, (funcp)transaction_855, (funcp)transaction_965, (funcp)transaction_974, (funcp)transaction_975, (funcp)transaction_976, (funcp)transaction_977, (funcp)transaction_978, (funcp)transaction_979, (funcp)transaction_983, (funcp)transaction_984, (funcp)transaction_985, (funcp)transaction_986, (funcp)transaction_987, (funcp)transaction_988, (funcp)transaction_989, (funcp)transaction_990, (funcp)transaction_997, (funcp)transaction_1014, (funcp)transaction_1019, (funcp)transaction_1047, (funcp)transaction_1048, (funcp)transaction_1049, (funcp)transaction_1050, (funcp)transaction_1051, (funcp)transaction_1052, (funcp)transaction_1053, (funcp)transaction_1054, (funcp)transaction_1055, (funcp)transaction_1056, (funcp)transaction_1057, (funcp)transaction_1058, (funcp)transaction_1059, (funcp)transaction_1060, (funcp)transaction_1061, (funcp)transaction_1062, (funcp)transaction_1063, (funcp)transaction_1064, (funcp)transaction_1065, (funcp)transaction_1066, (funcp)transaction_1067, (funcp)transaction_3937, (funcp)transaction_3945, (funcp)transaction_3946, (funcp)transaction_3948, (funcp)transaction_3949, (funcp)transaction_3950, (funcp)transaction_3951, (funcp)transaction_3952, (funcp)transaction_3953, (funcp)transaction_3954, (funcp)transaction_3959, (funcp)transaction_3960, (funcp)transaction_3961, (funcp)transaction_3962, (funcp)transaction_3963, (funcp)transaction_3964, (funcp)transaction_3965, (funcp)transaction_3966, (funcp)transaction_3967, (funcp)transaction_3968, (funcp)transaction_3969, (funcp)transaction_3970, (funcp)transaction_3981, (funcp)transaction_4091, (funcp)transaction_4100, (funcp)transaction_4101, (funcp)transaction_4102, (funcp)transaction_4103, (funcp)transaction_4104, (funcp)transaction_4105, (funcp)transaction_4109, (funcp)transaction_4110, (funcp)transaction_4111, (funcp)transaction_4112, (funcp)transaction_4113, (funcp)transaction_4114, (funcp)transaction_4115, (funcp)transaction_4116, (funcp)transaction_4123, (funcp)transaction_4140, (funcp)transaction_4145, (funcp)transaction_4173, (funcp)transaction_4174, (funcp)transaction_4175, (funcp)transaction_4176, (funcp)transaction_4177, (funcp)transaction_4178, (funcp)transaction_4179, (funcp)transaction_4180, (funcp)transaction_4181, (funcp)transaction_4182, (funcp)transaction_4183, (funcp)transaction_4184, (funcp)transaction_4185, (funcp)transaction_4186, (funcp)transaction_4187, (funcp)transaction_4188, (funcp)transaction_4189, (funcp)transaction_4190, (funcp)transaction_4191, (funcp)transaction_4192, (funcp)transaction_4193, (funcp)transaction_50, (funcp)transaction_194, (funcp)transaction_195, (funcp)transaction_263, (funcp)transaction_264, (funcp)transaction_265, (funcp)transaction_266, (funcp)transaction_296, (funcp)transaction_707, (funcp)transaction_708, (funcp)transaction_709, (funcp)transaction_1076, (funcp)transaction_1077, (funcp)transaction_1080, (funcp)transaction_1084, (funcp)transaction_1085, (funcp)transaction_1088, (funcp)transaction_1091, (funcp)transaction_1093, (funcp)transaction_1095, (funcp)transaction_1097, (funcp)transaction_1102, (funcp)transaction_1105, (funcp)transaction_1111, (funcp)transaction_1116, (funcp)transaction_1132, (funcp)transaction_1139, (funcp)transaction_1140, (funcp)transaction_1141, (funcp)transaction_1142, (funcp)transaction_1148, (funcp)transaction_1776, (funcp)transaction_1782, (funcp)transaction_1788, (funcp)transaction_1802, (funcp)transaction_1808, (funcp)transaction_1814, (funcp)transaction_1820, (funcp)transaction_1833, (funcp)transaction_1839, (funcp)transaction_1845, (funcp)transaction_1860, (funcp)transaction_1866, (funcp)transaction_1872, (funcp)transaction_1878, (funcp)transaction_1892, (funcp)transaction_1898, (funcp)transaction_1941, (funcp)transaction_1947, (funcp)transaction_1953, (funcp)transaction_1959, (funcp)transaction_1965, (funcp)transaction_1971, (funcp)transaction_1977, (funcp)transaction_1983, (funcp)transaction_1989, (funcp)transaction_1995, (funcp)transaction_2001, (funcp)transaction_2007, (funcp)transaction_2013, (funcp)transaction_2019, (funcp)transaction_2025, (funcp)transaction_2031, (funcp)transaction_2037, (funcp)transaction_2043, (funcp)transaction_2049, (funcp)transaction_2055, (funcp)transaction_2061, (funcp)transaction_2067, (funcp)transaction_2073, (funcp)transaction_2079, (funcp)transaction_2085, (funcp)transaction_2091, (funcp)transaction_2097, (funcp)transaction_2103, (funcp)transaction_2109, (funcp)transaction_2115, (funcp)transaction_2121, (funcp)transaction_2127, (funcp)transaction_2133, (funcp)transaction_2139, (funcp)transaction_2145, (funcp)transaction_2151, (funcp)transaction_2157, (funcp)transaction_2163, (funcp)transaction_2169, (funcp)transaction_2175, (funcp)transaction_2181, (funcp)transaction_2187, (funcp)transaction_2193, (funcp)transaction_2199, (funcp)transaction_2205, (funcp)transaction_2211, (funcp)transaction_2217, (funcp)transaction_2223, (funcp)transaction_2229, (funcp)transaction_2235, (funcp)transaction_2241, (funcp)transaction_2247, (funcp)transaction_2253, (funcp)transaction_2259, (funcp)transaction_2265, (funcp)transaction_2271, (funcp)transaction_2277, (funcp)transaction_2283, (funcp)transaction_2289, (funcp)transaction_2295, (funcp)transaction_2301, (funcp)transaction_2307, (funcp)transaction_2313, (funcp)transaction_2319, (funcp)transaction_2325, (funcp)transaction_2331, (funcp)transaction_2337, (funcp)transaction_2343, (funcp)transaction_2349, (funcp)transaction_2355, (funcp)transaction_2361, (funcp)transaction_2367, (funcp)transaction_2373, (funcp)transaction_2379, (funcp)transaction_2385, (funcp)transaction_2391, (funcp)transaction_2397, (funcp)transaction_2403, (funcp)transaction_2409, (funcp)transaction_2415, (funcp)transaction_2421, (funcp)transaction_2427, (funcp)transaction_2433, (funcp)transaction_2439, (funcp)transaction_2445, (funcp)transaction_2451, (funcp)transaction_2457, (funcp)transaction_2463, (funcp)transaction_2469, (funcp)transaction_2475, (funcp)transaction_2481, (funcp)transaction_2487, (funcp)transaction_2493, (funcp)transaction_2499, (funcp)transaction_2505, (funcp)transaction_2511, (funcp)transaction_2517, (funcp)transaction_2523, (funcp)transaction_2529, (funcp)transaction_2535, (funcp)transaction_2541, (funcp)transaction_2547, (funcp)transaction_2553, (funcp)transaction_2559, (funcp)transaction_2565, (funcp)transaction_2571, (funcp)transaction_2577, (funcp)transaction_2583, (funcp)transaction_2589, (funcp)transaction_2595, (funcp)transaction_2601, (funcp)transaction_2607, (funcp)transaction_2613, (funcp)transaction_2619, (funcp)transaction_2625, (funcp)transaction_2631, (funcp)transaction_2637, (funcp)transaction_2643, (funcp)transaction_2649, (funcp)transaction_2655, (funcp)transaction_2661, (funcp)transaction_2667, (funcp)transaction_2673, (funcp)transaction_2679, (funcp)transaction_2685, (funcp)transaction_2691, (funcp)transaction_2697, (funcp)transaction_2703, (funcp)transaction_2709, (funcp)transaction_2715, (funcp)transaction_2721, (funcp)transaction_2727, (funcp)transaction_2733, (funcp)transaction_2739, (funcp)transaction_2745, (funcp)transaction_2751, (funcp)transaction_2757, (funcp)transaction_2763, (funcp)transaction_2769, (funcp)transaction_2775, (funcp)transaction_2781, (funcp)transaction_2787, (funcp)transaction_2793, (funcp)transaction_2799, (funcp)transaction_2805, (funcp)transaction_2811, (funcp)transaction_2817, (funcp)transaction_2823, (funcp)transaction_2829, (funcp)transaction_2835, (funcp)transaction_2841, (funcp)transaction_2847, (funcp)transaction_2853, (funcp)transaction_2859, (funcp)transaction_2865, (funcp)transaction_2871, (funcp)transaction_2877, (funcp)transaction_2883, (funcp)transaction_2889, (funcp)transaction_2895, (funcp)transaction_2901, (funcp)transaction_2907, (funcp)transaction_2913, (funcp)transaction_2919, (funcp)transaction_2925, (funcp)transaction_2931, (funcp)transaction_2937, (funcp)transaction_2943, (funcp)transaction_2949, (funcp)transaction_2955, (funcp)transaction_2961, (funcp)transaction_2967, (funcp)transaction_2973, (funcp)transaction_2979, (funcp)transaction_2985, (funcp)transaction_2991, (funcp)transaction_2997, (funcp)transaction_3003, (funcp)transaction_3009, (funcp)transaction_3015, (funcp)transaction_3021, (funcp)transaction_3027, (funcp)transaction_3033, (funcp)transaction_3039, (funcp)transaction_3045, (funcp)transaction_3051, (funcp)transaction_3057, (funcp)transaction_3063, (funcp)transaction_3069, (funcp)transaction_3075, (funcp)transaction_3081, (funcp)transaction_3087, (funcp)transaction_3093, (funcp)transaction_3099, (funcp)transaction_3105, (funcp)transaction_3111, (funcp)transaction_3117, (funcp)transaction_3123, (funcp)transaction_3129, (funcp)transaction_3135, (funcp)transaction_3141, (funcp)transaction_3147, (funcp)transaction_3153, (funcp)transaction_3159, (funcp)transaction_3165, (funcp)transaction_3171, (funcp)transaction_3177, (funcp)transaction_3183, (funcp)transaction_3189, (funcp)transaction_3195, (funcp)transaction_3201, (funcp)transaction_3207, (funcp)transaction_3213, (funcp)transaction_3219, (funcp)transaction_3225, (funcp)transaction_3231, (funcp)transaction_3237, (funcp)transaction_3243, (funcp)transaction_3249, (funcp)transaction_3255, (funcp)transaction_3261, (funcp)transaction_3267, (funcp)transaction_3273, (funcp)transaction_3279, (funcp)transaction_3285, (funcp)transaction_3291, (funcp)transaction_3297, (funcp)transaction_3303, (funcp)transaction_3309, (funcp)transaction_3315, (funcp)transaction_3321, (funcp)transaction_3327, (funcp)transaction_3333, (funcp)transaction_3339, (funcp)transaction_3345, (funcp)transaction_3351, (funcp)transaction_3357, (funcp)transaction_3363, (funcp)transaction_3369, (funcp)transaction_3375, (funcp)transaction_3381, (funcp)transaction_3387, (funcp)transaction_3393, (funcp)transaction_3399, (funcp)transaction_3405, (funcp)transaction_3411, (funcp)transaction_3417, (funcp)transaction_3423, (funcp)transaction_3429, (funcp)transaction_3435, (funcp)transaction_3441, (funcp)transaction_3447, (funcp)transaction_3453, (funcp)transaction_3459, (funcp)transaction_3465, (funcp)transaction_3471, (funcp)transaction_3477, (funcp)transaction_3483, (funcp)transaction_3489, (funcp)transaction_3495, (funcp)transaction_3723, (funcp)transaction_3729, (funcp)transaction_3735, (funcp)transaction_3741, (funcp)transaction_3747, (funcp)transaction_3753, (funcp)transaction_3759, (funcp)transaction_3765, (funcp)transaction_3771, (funcp)transaction_3777, (funcp)transaction_3783, (funcp)transaction_3789, (funcp)transaction_3795, (funcp)transaction_3801, (funcp)transaction_3807, (funcp)transaction_3813, (funcp)transaction_3819, (funcp)transaction_3825, (funcp)transaction_3831, (funcp)transaction_3837, (funcp)transaction_3843, (funcp)transaction_3849, (funcp)transaction_3855, (funcp)transaction_3861, (funcp)transaction_3867, (funcp)transaction_3873, (funcp)transaction_3879, (funcp)transaction_3885, (funcp)transaction_3891, (funcp)transaction_3897, (funcp)transaction_3903, (funcp)transaction_3909, (funcp)transaction_3915, (funcp)transaction_3921, (funcp)transaction_3927, (funcp)transaction_3933, (funcp)transaction_4202, (funcp)transaction_4203, (funcp)transaction_4206, (funcp)transaction_4210, (funcp)transaction_4211, (funcp)transaction_4214, (funcp)transaction_4217, (funcp)transaction_4219, (funcp)transaction_4221, (funcp)transaction_4223, (funcp)transaction_4228, (funcp)transaction_4231, (funcp)transaction_4237, (funcp)transaction_4242, (funcp)transaction_4258, (funcp)transaction_4265, (funcp)transaction_4266, (funcp)transaction_4267, (funcp)transaction_4268, (funcp)transaction_4274, (funcp)transaction_4902, (funcp)transaction_4908, (funcp)transaction_4914, (funcp)transaction_4928, (funcp)transaction_4934, (funcp)transaction_4940, (funcp)transaction_4946, (funcp)transaction_4959, (funcp)transaction_4965, (funcp)transaction_4971, (funcp)transaction_4986, (funcp)transaction_4992, (funcp)transaction_4998, (funcp)transaction_5004, (funcp)transaction_5018, (funcp)transaction_5024, (funcp)transaction_5067, (funcp)transaction_5073, (funcp)transaction_5079, (funcp)transaction_5085, (funcp)transaction_5091, (funcp)transaction_5097, (funcp)transaction_5103, (funcp)transaction_5109, (funcp)transaction_5115, (funcp)transaction_5121, (funcp)transaction_5127, (funcp)transaction_5133, (funcp)transaction_5139, (funcp)transaction_5145, (funcp)transaction_5151, (funcp)transaction_5157, (funcp)transaction_5163, (funcp)transaction_5169, (funcp)transaction_5175, (funcp)transaction_5181, (funcp)transaction_5187, (funcp)transaction_5193, (funcp)transaction_5199, (funcp)transaction_5205, (funcp)transaction_5211, (funcp)transaction_5217, (funcp)transaction_5223, (funcp)transaction_5229, (funcp)transaction_5235, (funcp)transaction_5241, (funcp)transaction_5247, (funcp)transaction_5253, (funcp)transaction_5259, (funcp)transaction_5265, (funcp)transaction_5271, (funcp)transaction_5277, (funcp)transaction_5283, (funcp)transaction_5289, (funcp)transaction_5295, (funcp)transaction_5301, (funcp)transaction_5307, (funcp)transaction_5313, (funcp)transaction_5319, (funcp)transaction_5325, (funcp)transaction_5331, (funcp)transaction_5337, (funcp)transaction_5343, (funcp)transaction_5349, (funcp)transaction_5355, (funcp)transaction_5361, (funcp)transaction_5367, (funcp)transaction_5373, (funcp)transaction_5379, (funcp)transaction_5385, (funcp)transaction_5391, (funcp)transaction_5397, (funcp)transaction_5403, (funcp)transaction_5409, (funcp)transaction_5415, (funcp)transaction_5421, (funcp)transaction_5427, (funcp)transaction_5433, (funcp)transaction_5439, (funcp)transaction_5445, (funcp)transaction_5451, (funcp)transaction_5457, (funcp)transaction_5463, (funcp)transaction_5469, (funcp)transaction_5475, (funcp)transaction_5481, (funcp)transaction_5487, (funcp)transaction_5493, (funcp)transaction_5499, (funcp)transaction_5505, (funcp)transaction_5511, (funcp)transaction_5517, (funcp)transaction_5523, (funcp)transaction_5529, (funcp)transaction_5535, (funcp)transaction_5541, (funcp)transaction_5547, (funcp)transaction_5553, (funcp)transaction_5559, (funcp)transaction_5565, (funcp)transaction_5571, (funcp)transaction_5577, (funcp)transaction_5583, (funcp)transaction_5589, (funcp)transaction_5595, (funcp)transaction_5601, (funcp)transaction_5607, (funcp)transaction_5613, (funcp)transaction_5619, (funcp)transaction_5625, (funcp)transaction_5631, (funcp)transaction_5637, (funcp)transaction_5643, (funcp)transaction_5649, (funcp)transaction_5655, (funcp)transaction_5661, (funcp)transaction_5667, (funcp)transaction_5673, (funcp)transaction_5679, (funcp)transaction_5685, (funcp)transaction_5691, (funcp)transaction_5697, (funcp)transaction_5703, (funcp)transaction_5709, (funcp)transaction_5715, (funcp)transaction_5721, (funcp)transaction_5727, (funcp)transaction_5733, (funcp)transaction_5739, (funcp)transaction_5745, (funcp)transaction_5751, (funcp)transaction_5757, (funcp)transaction_5763, (funcp)transaction_5769, (funcp)transaction_5775, (funcp)transaction_5781, (funcp)transaction_5787, (funcp)transaction_5793, (funcp)transaction_5799, (funcp)transaction_5805, (funcp)transaction_5811, (funcp)transaction_5817, (funcp)transaction_5823, (funcp)transaction_5829, (funcp)transaction_5835, (funcp)transaction_5841, (funcp)transaction_5847, (funcp)transaction_5853, (funcp)transaction_5859, (funcp)transaction_5865, (funcp)transaction_5871, (funcp)transaction_5877, (funcp)transaction_5883, (funcp)transaction_5889, (funcp)transaction_5895, (funcp)transaction_5901, (funcp)transaction_5907, (funcp)transaction_5913, (funcp)transaction_5919, (funcp)transaction_5925, (funcp)transaction_5931, (funcp)transaction_5937, (funcp)transaction_5943, (funcp)transaction_5949, (funcp)transaction_5955, (funcp)transaction_5961, (funcp)transaction_5967, (funcp)transaction_5973, (funcp)transaction_5979, (funcp)transaction_5985, (funcp)transaction_5991, (funcp)transaction_5997, (funcp)transaction_6003, (funcp)transaction_6009, (funcp)transaction_6015, (funcp)transaction_6021, (funcp)transaction_6027, (funcp)transaction_6033, (funcp)transaction_6039, (funcp)transaction_6045, (funcp)transaction_6051, (funcp)transaction_6057, (funcp)transaction_6063, (funcp)transaction_6069, (funcp)transaction_6075, (funcp)transaction_6081, (funcp)transaction_6087, (funcp)transaction_6093, (funcp)transaction_6099, (funcp)transaction_6105, (funcp)transaction_6111, (funcp)transaction_6117, (funcp)transaction_6123, (funcp)transaction_6129, (funcp)transaction_6135, (funcp)transaction_6141, (funcp)transaction_6147, (funcp)transaction_6153, (funcp)transaction_6159, (funcp)transaction_6165, (funcp)transaction_6171, (funcp)transaction_6177, (funcp)transaction_6183, (funcp)transaction_6189, (funcp)transaction_6195, (funcp)transaction_6201, (funcp)transaction_6207, (funcp)transaction_6213, (funcp)transaction_6219, (funcp)transaction_6225, (funcp)transaction_6231, (funcp)transaction_6237, (funcp)transaction_6243, (funcp)transaction_6249, (funcp)transaction_6255, (funcp)transaction_6261, (funcp)transaction_6267, (funcp)transaction_6273, (funcp)transaction_6279, (funcp)transaction_6285, (funcp)transaction_6291, (funcp)transaction_6297, (funcp)transaction_6303, (funcp)transaction_6309, (funcp)transaction_6315, (funcp)transaction_6321, (funcp)transaction_6327, (funcp)transaction_6333, (funcp)transaction_6339, (funcp)transaction_6345, (funcp)transaction_6351, (funcp)transaction_6357, (funcp)transaction_6363, (funcp)transaction_6369, (funcp)transaction_6375, (funcp)transaction_6381, (funcp)transaction_6387, (funcp)transaction_6393, (funcp)transaction_6399, (funcp)transaction_6405, (funcp)transaction_6411, (funcp)transaction_6417, (funcp)transaction_6423, (funcp)transaction_6429, (funcp)transaction_6435, (funcp)transaction_6441, (funcp)transaction_6447, (funcp)transaction_6453, (funcp)transaction_6459, (funcp)transaction_6465, (funcp)transaction_6471, (funcp)transaction_6477, (funcp)transaction_6483, (funcp)transaction_6489, (funcp)transaction_6495, (funcp)transaction_6501, (funcp)transaction_6507, (funcp)transaction_6513, (funcp)transaction_6519, (funcp)transaction_6525, (funcp)transaction_6531, (funcp)transaction_6537, (funcp)transaction_6543, (funcp)transaction_6549, (funcp)transaction_6555, (funcp)transaction_6561, (funcp)transaction_6567, (funcp)transaction_6573, (funcp)transaction_6579, (funcp)transaction_6585, (funcp)transaction_6591, (funcp)transaction_6597, (funcp)transaction_6603, (funcp)transaction_6609, (funcp)transaction_6615, (funcp)transaction_6621, (funcp)transaction_6849, (funcp)transaction_6855, (funcp)transaction_6861, (funcp)transaction_6867, (funcp)transaction_6873, (funcp)transaction_6879, (funcp)transaction_6885, (funcp)transaction_6891, (funcp)transaction_6897, (funcp)transaction_6903, (funcp)transaction_6909, (funcp)transaction_6915, (funcp)transaction_6921, (funcp)transaction_6927, (funcp)transaction_6933, (funcp)transaction_6939, (funcp)transaction_6945, (funcp)transaction_6951, (funcp)transaction_6957, (funcp)transaction_6963, (funcp)transaction_6969, (funcp)transaction_6975, (funcp)transaction_6981, (funcp)transaction_6987, (funcp)transaction_6993, (funcp)transaction_6999, (funcp)transaction_7005, (funcp)transaction_7011, (funcp)transaction_7017, (funcp)transaction_7023, (funcp)transaction_7029, (funcp)transaction_7035, (funcp)transaction_7041, (funcp)transaction_7047, (funcp)transaction_7053, (funcp)transaction_7059}; +const int NumRelocateId= 1270; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/audioProc_behav/xsim.reloc", (void **)funcTab, 1270); + iki_vhdl_file_variable_register(dp + 1557080); + iki_vhdl_file_variable_register(dp + 1557136); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/audioProc_behav/xsim.reloc"); +} + + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + +void wrapper_func_0(char *dp) + +{ + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642776, dp + 1560744, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642720, dp + 1560800, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650416, dp + 1560968, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650304, dp + 1561024, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650360, dp + 1561080, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650224, dp + 1562088, 0, 23, 0, 23, 24, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650264, dp + 1562144, 0, 23, 0, 23, 24, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1654656, dp + 1562704, 0, 23, 0, 23, 24, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2558192, dp + 1562760, 0, 23, 0, 23, 24, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642456, dp + 1640648, 0, 7, 0, 7, 8, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642496, dp + 1640704, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642552, dp + 1640760, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1655152, dp + 1660296, 0, 15, 0, 15, 16, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1656968, dp + 1660520, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657024, dp + 1660072, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657080, dp + 1659960, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657136, dp + 1660184, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657192, dp + 1660632, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657248, dp + 1660408, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2558688, dp + 2563832, 0, 15, 0, 15, 16, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560504, dp + 2564056, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560560, dp + 2563608, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560616, dp + 2563496, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560672, dp + 2563720, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560728, dp + 2564168, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560784, dp + 2563944, 0, 0, 0, 0, 1, 1); + +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/audioProc_behav/xsim.reloc"); + wrapper_func_0(dp); + + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/audioProc_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/audioProc_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/audioProc_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..585e8796c954eb04d1d1afb19bc0674202cacb00 GIT binary patch literal 96880 zcmb<-^>JfjWMqH=Mg}_u1P><4!0@3IA?g4Yc3==<5M=nwFZV0hqx1L9Z!8Q99^IlK zDUV)L5cL8?c=Ya$I3&&hV^4swqYs00dNjY`KvCU%gaa%AGSZ`W`-%(V3?9AvB?KiI zvKX@%9e09^bld?V!OUGC7S!y`55S6dKM)|SxcP{JNAKp2iy+f?f6ybWp?C8Eh~fq! z6hln^0nxC72o1fPUt9${#Q>sM!f~fK0|NupqaY@dM<J#wTmx%}AVNd$=71Yu#SQjg zj}l>e2SmdM4s}qt<JHi+`2>d~gGcY~8~k9?IUIMgBis&RVz`}w6Rd%Oh=}UlYynYh zL4;yR$aI8)O)qc+J4e8ACqKeDASRM?dN*f;ffaXnfED9SU=Y(+gn%_1AVNd$W($bo zA4DjIm@WZvyMZTR=k#vA0dac<5sD$E|A3gjfCvq}n}5iugJSgo7dQ#v4MvFRH{`(@ zeh7j!;0?;&%@PpP4TK0QhM2xW7HoP35gK|ocR);EK!jq5=^hGT({B)=p?7lz#G?Yj zM7bSO&_T*Gq++r2KDgKd7iC};L|tews7UPm?a}!aRKPWW6DzcY^ysxk6nCBPpeCS* zBB?-_92%U(5P)2CdUW%G41$%`-C$>-nA3bjVSfq(1EknSnB>uXgd;lkFi3Mnab~Vw zN@kIMVrfcden3%va(q&1Mq-&hSfVI3CqEgai~-EWsjj%Jq_Q9tq!7Ql<f5|Tl+xS+ z1_I{grsgta7H8(><}&0KGk~fjklCYnGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$( zGz3ONU^E0qLtr!nMnizcA>i)pY^9(PnpB!sQmSBRtY@replenN<{H%*ndljqXhMa- z4q;(nU=UznU|?lnU~u;fWnf}pH~<o32Fo%qh(Kw^svri&3IRrG9(Ilij0_AC3=9l1 zP&q3Q?aC+6%v8e1!2r=?0TN?iV2}jS9()1;d>jln7#J7=Kzs%Uh6^A|z<PrDB%JsZ zviUTe_zdDf3Wpxok&gou9y-t^{u&@Zg8h~R4i8XRctGV=Lgm_+9Qh=gnO*o4nEd%P z9Qh2K_$(ax918gqBKRa6`2-xnIzfuDV~}wy3?KhvlV!%T1Az;y5ERQ`2Alw`=w@JG zgY#hwNW8N#fEJ*`#6UDSJ{edUz<vY?K(QQ{W`)Nun9IPxpbTcPGE{(R1mVTNz#xR= zPH-AxU;vv7N{<MY5SASS1A`y~D0M;j8`L4R8#MhwcnC5Whj=;EUYLCl|1dBV<51rS zRSz-`WI8zAGB7Yqz@h#ORJ}4ZsA1uB6^HttIK=rGA>rc<H3yt-KzWN1l3zh?LB<+5 z#C>sy=im_U#UZ{Fhxm3J;^%OPKVk&MBO+CSiUE*6KHyOQ2ZuNZ6ZUWr#UZYQLtGz+ zxD5_*PaNW5IK-22h!@}xuf-wWg+qKg4)G;8#5dv)e}qH)Jr40dIK(-bvB!%T4sm51 z;s!XxQ*ekE;t;RHA>NHcd@2s{`8dQ^;t=1ALwp|&@sl{juiy~Bk3;+w4)L!z#2Hwy z#}_vaaWNd?ia5k|aEP1Z5O=~M?u$b_0*81q4)Hu3;*~hWTX2Z?;}D;PLwqR?@eMe{ zcjFL0hC}=!4)HrU#Gm63|Aa&QFAi}IR_y6V7>BqV4slH!;wCu6?Qw{E;SdkSA)bIk zJR66284mGA9O6AV#HZsBUxY(^Ee`P=IK&U*5I=`Q{3Z_ZCpg64;}HLaL!6ZjdpZ%o zAuf$WTn&e~Ar5gH9OCXc#Dj2%$Knvrz#(3YL%a@$cqb0=DLBOE;}BnkLwqX^@dG%- zPva23hC}=z4)HfQ#J}SZXJW^mPIz&MOW+V!#v!hUL);RFxC;((e;ndbIK)$Nh!@}x zuf`$XhC_T34)J+7#8=@E--bi{5DxKkIK*$^5Pyb4{1XoGe>lXsIIyP^F&yGbIK=gE zh+E+hcf%nbghM<Yhj<PS@k$)xZ8*dy;}BnfLwqd`@m)B?kK+)(f<ycv4)J$5#DC)u z=itO1zoIzAm2imb;}EyOA?}GoJPe0;G7j+q9OAV&#Jg~aPsbs?1c&%W9OC<Mh@ZwG zeglX2Qyk)-aESlMA<n~vJ$@x|h^yfcH^w3EfJ59Dhj<hY@pK&GB{;+zaftWf5TA`h zd<72itvJLF;SfKML;Ma7@s~Knzu^#P=Efe60yxBFafoZ-5I4sm?t()+5Qlgi4)JUp z;uSc=TX2X^z#%>dhxiH{;#+WtAH*Sk4u|+H9OBP#h=0N%{tt&Z7Z3J$7sDa0ghN~p zhqx6EaW@>|K{&+YaENE&5HG_a-h@NE4~O_H9OBDxh;PCnz7L1^DIDV0aEL#`A^r}B z_%9sdY`oaxT?mJ`91d|U9O7m;#GP=6`{59e!Xci9L%axwcpVP$E*#?1aELF$A-)cW z_--8HCvb>g!6E(thxi*D;y-YRv+!Y$cL5yYGC0IFaEP1W5O>5O?uSD>3Ws<)4)GEk z;*B`OdvS=*!XdsKhxis8;s<evpTi-38;AG{9O7Sbh%@nHk6%6<;!-%o)o_R#;SjgO zA?}4kJPe0;5)Sb^9O6|t#M^L)Pr@NS4~O_F9OBz>h#$fseh!EDEga&{aEO1xA^s1C zIF|tS^dN>qTnUG`9u9FU9O7;`#Dj2%$Kepq!XaLUL%a!xcpnb&SvbU(;Sk@1Lwp|& z@l!a&ui+4XghTut4)I?&#MuO~$GZ>?ad{l#Iyl5FafrL&5D&&7o`6F<7l(Ki4)Jyz z;!|*lFT^3f4u|+|9O5T%h+oAa&L)ICUW9RoE8q~<#UXBmL);yQcnA*hL>%IIIK-=Q zh<D%+pNd0#5f1V7IK=nh5I>1S{2C7N$2i14;1K_dL!3((d;E&y5Ldw=ZiqwN4u`lm z4)F*a;;A^qi*Sh7;}Gw`AwCm__%a;gn{kLAz#)DXhxjcV;?Hr2f59QnD1tp6`EZC! z;}F-tA#RF8+zE%cKMwI29O9Wc#LIAqH{%eWfJ1x^4)K*Z#JAxPKa4~C0uJ%JIK*G# z5dVrpoKX~e{PN-um&75iibLEGhqx^caZeoLVK~H-aflb-5U<4{-i1SaIu7wAIK(&N z5Z{MG{4@^n8#u(D;1K_SL;NoeaV|0J@h*--Tm^@?Ar5gn9OB+M#3OKsr{WMV!Xe&| zLwp_%@wGU__uvpejYIqv4)K>b#DCxrXBWpFFJd^vRdI-$;1GAlAs&Q7JQ0U@0S@tc z9O8XA#OLA=UxPz@HxBVrIK*$_5PyL~{5uYDHVN$UB8o#?1&6pX4sj<O;(<8C6L5&< z;}EaIA>NBad=3ur)i}g=;SfKGL;MB~@#i?izu^#PmBbz|A~?iVaEP1W5O={L9)d%> z5Qlgp4)KXN#24Za--tu}AP(`1IK&^~5dVlnoKXsUI1AzsSHvN1h(p{Fhj<_k@kAWr zg*e0;afnaEA-)iY_(mM!2XTmB#3B9=hxkVv;*8Qb!XJmYA`Wpw9O8~R!~=1NC*lw< z#39~@Lwq6*@r5|VH{uXKh(r7$4)KRL#6RK?XOzJn{z5p!m2rrh;1GAkAs&W9JROI4 z1rG5}9OAQZh_A*Wz6Xc+Ssdc`aEQOhA<iI+J)8w`h%4a`H^w3Ef<rtMhj<ze@p2sE z9XP~i;t*ejLwq+5@iREY@8S@DheP~74siiF?BTD7L)-|5xHAs%5FFyEIK<0vh_~Yq zpMgVsB@XdjIK)rm5Wj;%{4Eage>lYX<*|pe0uFIQ9O6zm#Dj5&r{EAT#Ub8?Lwq_8 z@fA44cj6E~g+u%{4)HfQ#Q)+D=TpEQ&hj|K4RDA%;t&tQA)bswyab1MD-Q8#IK-FZ z5Z{4A{3H(XTR6mD;}HLYL!4I;dpOJC5ZA{c?tnu)5QlgY4)J0f;w?DDr{WM_hC_TS z4)LQn#INEIe~Lr=D-Lm1CG6oWibGr#hqx&YaaSDTp*Y0TaEO=V5bwYtJ`;!dDjedw zafqM6A$}K!_&Xfp|8a;5C}R(QMI7QrIK-WCh=<@1PsJf#hC{p^hxiN};wy28@4_K| z8i)8D9O7?ri2uVO&aZ+!oE3108{!am!XX}vLp%kCcqtC?HXP#9afq+LA-)rb_$eIX zw{eKS!6E(^hd7@q_HdTRA#Q*}+!2R(5DxKV9O5N7#9MKQPs1U;9EbQ09O5T&h~L5? z{u+n)9~|PmYS_bB4u`lt4siz@;(<8ClW>R^;}CDbAwCs{_%a;g+i{4Wz#)DUhxjWT z;=gf-^QdDFXIUKLdN{=Gafk=t5KqJ*UW7xu8He~39O6rHh;PFoejJDR4IJVxaftuI zA<nIVJ)C85i0k4Ix5FXsk3&2Ghj<|l@g^MNlW~YI!6CjChxjoZ;@5GAzrZ2>6NfmL zCiZZa#v!hQL);dJxE~JjcpTydIK&%qh)=>Hz8Hu2798S7afn~TA^seP_zxW7oLbn! zSqg`^HV$zc9OAw>#N%*?=i?A>z#%>nhxj5K;+t`ZAHgAh6^HmU9OB<`h;wLT4`)dn z;#xSwt#OF^;1G|+A)bdrydH=61RUZEafoliA$}N#_!S)DPjQHU!y(SDgFT!jaENQ- z5Vyi1?u|n{28Vbq4)Ho1;{7<p7vK=zh(r7k4)M!4#Gl|0|B6GLO&5DOi{lX2z#(pl zL);68cr*_2930}cIK=yKh|k9%z5$2$K^)?jaEL#~A^ru2IIAA^a2CTMu8u?80*AOK z4)G`);@LRFYjBA7;t-#QLwr3B@dG%-FX9k?ghTu@4sjNJ?BOhmLtG7qxH%4S4;<o= zIK;DXh*#qf@4+EH7l-&d9OC<Nh+n`V{t$=wCmiC;2H3+{1c$gP4skOa;_f)aBXEdk z;t;RGA>NHcd=3urwK&B0;SfKML;L{_@sBvfnGCUqvoH>E6&&KGIK<s>h==14&%hyG zi9@^#hxlw9;%jh-@5Ld04u|-C9O55vh%*{t4`(49;>tM0O>l_2;t&tRA)byyyaI=K zCl2vhIK)@u5Z{AC{45UfdpN}3;}B;s#vaasIK-83h#TV&cflbZibFgNhj=*-@eUl~ zGjWKo!XdsJhxi#B;&*X~zr!K^ABVVr3HI<;#362kL);mMcnA*hR2<@EIK<m=h|j<w zz7mJ{E*#>gafsi+A^sMJ_&*%t{HEB$SpkQ*Ar5gT9OA(^#8YsHm*Nm_!y!H$hxiH{ z;yZDOpTZ%28;AHC9O8d*i1V3Y4`+EC;s!Xx9dU>U;Sf*8Azp$*ycLJ|G#ui~aft80 zA$}5v_$?gbuW^X~!6D9Tjy;^^aER;U5O=^K9*9Fc35R$w4)GQo;!|;mFT)|e9f$Y{ z9O5@|h`+)i{u_rlj|KK{mc=2iheO;Rhj;)E@kAWrML5KpafnaBA-)uc_%<Bk$8m_? zz#;w;hxjiX;@p<l!&wH0xGoNHI~?NvIK&fhh!^4zZ^9uy8He~19O7GXh#$itejSJS z3moD<afowSVGn0%9O61S#BFhi`{59e$01&TL%b1(_#_<Si*bl=!6AMWhxj!d;?Hr2 z|G**6X^lOcrErLA;}EyOA?}MqJPwC=J`V8)9O4skh%dq+z8Q!35gg)Iafm;|A^shQ zIEM}PaF)a&u7yL~8i%+K4)ItV;(0j4>v4!rz#+a6hxjHO;)ijFU%?^%6o>dX9OCS@ z*uz-@hqxvVaVs3+-Z;c#aERyP5U;}_-j73k0S@tvIK&U(5WkE={0R>6uQ<fn?68Nk zI1X_Q9O9NZ#JzBcN8=FB!69CYL%a`%_<S7V8*qpp#36nOhxlV0;$Luxv)W@1XE7Y& z>NvzLaEN>25Rbwko{dAi28Vbr4)J+7#Mk2xKY&C0A`bCKIK)5W5NC0~9?qgT#MN+! zo8u7oz#$%qLp%$Icr_039vtFxafq+OA-*4n_yru|4{?Zp!XeJ=h&`M|aEPno5I4gi z?v6t|0*81e4)H1+;@vpJ=im@ui$i=L4)OCi#2?@g|A<4J$q9Qn3*!)1!69ynL);CA zcsLI63>@N>IK;bfh|k6$z6OW*UL4}*aERZ>A^rh}IHNQ6a2CQLu8c$61c$gQ4)HJ? z;^{cVD{zQ+;t-#OLwq$3@jW=i&*BijheP~54siw-?BOhkLtF`mxG@fK7aZcDIK<O% zh?nCK@4z8G6NmUJ9OAoih@ZhBeiw)MI~?Nwafl1JVh?{s9O6be#GP@7hu{!T#UWmX zL%bb__zWE4D{+YL!XbVdhxi>F;%{+?|HC29?}j~`6>x|f;t+SjAs&oFJOzh%DGu>A z9OBb)h_ApQz7vP|DIDUrafrXcA^sPKIG;QAaF)j*Zh%AF5r=pX4)J6h;w3o5TXBd_ z!y&#LhxiU0;wN#4-@+mO8i)8F9OAql*uz;4hqyitaR(gYfjGpIaEKS<5O2XDJ{5=f zG92RDafqM5A$}8w_$wUZzj283cw!G{SsdbeIK=I7hzH;hPsAZ!ghRX;hxim6;!AOe zZ^I#e9EbP~9O5r=i2uSN&h3RgoMmu`>*5f%!y)dELp%Y8cp(n)CLH3EafmO$A-)xd z_%R&f*Kvryz#;w<hd7rv_HdTQA+Cc%+!lwp9}e+&9O4By#2aylPr@O-7>D>49O6fD zh+o4Y{v3z+4;<p0KG?%q3WvBh4sjbC;=VY<<8X-Q;}CDaAwCg@_#zzQn{kLA!6AMX zhxjub;@@$IbNFHpXGt95S~$e5aftii5Rb(no`*xc9*6h@9O4Uch;PCnei(=N6&&JE zafpAzA<piHJ)9+Qh-=~yx56RrjYB*Jhd4t;ab|A3v0gHRo{zHuh%f{ZMj*l%M3{gG zQ-*kVAAcuDpLl;aw_w+h_z*`YAJ=$>w9>rfki;a0%<RngqST!H<iwIxFsCddB_}>D zGbc5^EU_pvF)1fCz9=<4v$!O+2rOTmnpd1zl3A6?P+pW+P>@;_4>Bs=04!OSlb;@6 zoSc!GQks(*Us9BqSDct!l9`_uU!0kqmzWcumRMX854NH#F{d;&z9cg@HQs=sI5W32 z2j&8}{(_?X<kaHg)Z+NW5{SyG)S`T_MX433$)zQ!@o*&!xrv#1V5yAM#De(Dyv&l! z#2k<_K|U-_Er|yOS4w74JlL-wCuOF^7p3Nc{E!0H2vSsB7GF|XkO~Qag2a-H_`Jki zNWg%klZ(oV<5Nm=3osNEXXZK;Wu~O3#;2#2gr_8h7iGdiEV(E(u_QG<B^49~aGQ#f z<4cM%6LaE|^GoweAi~g4fGPDW%?*M^jb{o2%*#fG7D#MMINQX))Et?EP-S9ZW`ZPR zW{Th#nj*;<nxe><AuB^N+RzlqXhTyZ7Z{ouAn7qPMCKSVKoUo0Zb1$_-r`}NGJvFv zynIk%i_goCPfSmT>o&JEFh=GeoNR7sfN-+8r2)dp=9UHsC!1RuSU{{#&d)0@i7(11 zhNT?hTwr2oitIB}B%c|WAuL6(5OyQN3K39-2*V5!Mj0BxJ!y#WuOY&>h6ukJBCIk* z*oBlb3=y^&A#5{3SYd>)!U$o75yA>1gcU{zD~u5SF+x~jjIhEO;UQy$ZN>=Oj1jgO zBWyE9*k+8d%@|>uF~T+zgl#4W+e{F)nILR4LD*)3u+0Qvn+d`;6NGIh2-}ci9x2{U z5w@8kY(vUTNO{Q=VH;8wMao4;c?c;7A>|)4gl%RB+mLcKQl3Umj|jh+A^c{B@S8az zB+U^aX^ybX9ATR|A|%ZbA!&~An>oTZbA)Z?2-_?Wwpk!-vq0Epfw0X2VVecQHVcGp z76{uc5Vlz$Y_mkzW{I%P5@DMq!Zu5UZI%ezED^R@B5bon*k*~a&Cmd0ouL83K0^b9 zg@#DQn4tl}N<*YV%n+#zGej!F3=I(08X6$ki&B;#*^6A7AQvad<q2|uf|PGf49pPT zH8DU+Iwl54$;89}DWRAcAjO!80aBcq7$C)(i2+i)nHV6&oQVNa+?g04#h!@)Qv8`1 zAjP1G0a6^A7$C)>i2+hPniwF(q=^AiT$&gl#ioe?Qhb^qN2m#MjG7=vsR?qNnjlB2 z339BOAV;eSa=e-#N302Q%$gV?MXiY;Qbl26h!nXdhDfn%Vu%#ICWc7yYhs90WtbQu zRT?ISNY#dkAyUO*Vu)0Am>3!%QkRJ#QcYrFh*X!D7$VgsCWc7$iHRXnjbdVmRHv92 zBGoD;hDh~_i6K(WVq%D#Mh%f_7ZXFI`o+W$sfIBzM5<#<43TOX6GNnW#>5b*rZF)@ zu4@cYY8#}Q1zC$BQff0qN^FKmY0VHhsTm^GA0~!KHHaZniED^d<QgKCxrRuEt|3yX zYlu|r8X}duhDZgkAyUa}h*b0%B9*;{NLkqsDJL5uWn@F7d~Ar6jSZ1<u_00>Hblz9 zhDcf15Ge;6B4uDBr1I1VsXR48DnpHs%1|SuGSmpE3^hV3LyeHiP$Q%=)Ceh~86o8} zBcyC*gp|vSkTRJOQXVrx%3?-HIm`$t5gQ?=K_lceXoQr8jgXSC5mE{^LQ23!Na@!I zDft>9rCuYX#A}4qRx&d{vcSv$*#_hS9yuwSAg5&$<iu=@6x=38$c-o?q-K<f5mH0S z#0aS=WnzS!8H|uJgNYGRgUZARsYzvGgw$>`Mv7Nsq<A$(idSQ#cr`|fS7W4jHAae8 zW2AUBMv7Nsq<A$(Dmaai%1vXWV$&F@)HFsaG>wtUOk<=X(-^75G)5{gjgiVrW2EBJ z7^$>0MoMSKNM)rlQc-D)l*){e5`i&N8ZbsmO~y#6$rvd$86%}8W2C0HF;WR>jMVrx zMrwT<BQ?K`k>e35?HD5^9b=@FV~mt=jFHlfF>10wwA@ULklNfPMo6u06C<Q{w}}x_ z%iF{VsqJlIgw*;rF+ys8n;0Rrz)g&h+TbQeNUd-aBjo0k5pr|N2&pY@Vq|Ur>7*88 z?;{)H>m!>WCvX$w6l;Q<6ikrg-vl|*O^{>4#26_mOpxQk1UUjskYm6EB?^p@bFMLR z&NW8r4w)DuM~*Ra<QOBzhcR+|7$e7rF>-tuBgcm^a(oyg$A>X;d>A9ghcR+|7$fzL zOpKB0UlU`b3fROLsRlMNMyi5MjFIYK6Jw-G*u)sA7B(?Ps)kLBk?LU+W2B1M#2Be2 zHZex3icO4>>S7aPq{`UD7^yZkF-EG6O^lK1V-sVf3faUMsYW(2MyircjFDP7CdNq3 z91~-tb`EO%A~&f`km__36QoMr#006AWMYieP%=i!qR1%%IoeTT9VyOCk?JLLq!eh5 zlmN|<)1N6)*=1siRCt+~B9&eyrbxw?i7Ar7CZ<Rxo0y^)jhvcIkyEoNa%wh3O3fBX zrLYB3DQseZY?cACSq8{v86cTuZi!Sanp+~(i{_R{6{EQ&Qq5>?iBvV3TN;``3McTO zE_lc{wJbHSBsnoBCn+&Gn*l5i9qctSK;;^ua*a{BCa7FfRIV8+*Bq5=fx<OGwb#T5 zRTkA=6I6RmQ0+BERcwl?*c44Msv}KN9chZ{NK;D`SDB%@*$mZQGgN!cQ0+BCwbu;Q zUNcmS%uy{eM~xJ7)UYx~b%r^rGt5z)VUFqyb5v)Tqk7*Q)m0X#7FnQLWPxgt1*%0B zs1{kES!9UrVKg5bqInsV!a<WC;FL@tg_|3py38CcbS(@~EweC0^EO%*u`oh)jD-<e zxEP@Y44VBGMrc7}gcdeNsDWc)jAp(un)$}4{<APfGv64^d}DO;(fo^+H7!ig!Urv{ zT9}}@4=uM^pyfbIw47mymSQc@lB^|Knzckrw3cY8))Fn*TB4;}OSFV*iI#FL(UPtu zTH3WlOT3mAsNrX6iJF)#Em6bY(7+Hi0u2q&Qk<cI3Az>&)HpLVFhRA{(7+VcRzm|* z)Mzs_Fhxzbh6ZQ_r=bB_(P?Oa7IlUOrl@Jl5Hyhm&V<nF#Lxh(2sJc7D?|-Jbt0-3 zGjx}lp}Q2Vs5J!5lA)P_nh6X+b3JG(P{Y*N5-ndCTcXt~#+D|izBRT)iw0v$v}iE4 zM2iMvOSEV(wnU2tV@tGXFt$XC24hRKAT+i_tBH&)(Sp#}5-kXgEzyF|*b=S2GDgpr z#+GPxma!#Ttz~R!hMFvmEzv46V@tHc*4WYlHL}4Y#>f!`9?eA)K+^&m)kRZ*S_FYd zchOX!rVsG&EvgE%ItV<Bi>3lKS%Qai(Nv)3Z1AuynhMk;1s;$^Re@Igni!%bOB2wz zBdQj(64V4V0*R&q-CDFl)C4pjiKYd$gfRgPO`@tmk4n%WC7KHKctI<BO+aIoXj;%+ zidOuZfQB&9w4l2btpqj!jcB53u|$tbOH`MlWn<8AC$a&cL1bh;Xs7{|kD3rb0}iP2 zsJ=9^MCF?spcYo<2B;a$+yK?fh6ZSrq@e*?1REMypjQxRabXCW2|{+HA!yDARlpK8 zCmMn#b<k9x<}*Xk6b_0C^vr7rntVZ3fz}{11kHz_sxU^4K10wn0ICYKTx4j7)`~GS zL~F(v8ln~MhUVyDYHopMtvOm#z|g_~O@#$o#2H$kSN;}g%}Yb{_MRcAM~UJ&3$zl! z&;qSKFtk7`x(zMRIt_*vXssqg3$!d@Xkm$(<_s;-gU}ManSx%G8KT!*hUk@yp(R@9 z#t^-EXNcZ@GemE28CqJRCORVn1JrbCWPp~Rj0_A>^Scpx8_dW6t=Vp5V2o}kS_8t! z0Iel#gx*RsLT^wRfyM-o<JJf?pNlGh*1t0{Kx^L`q32s8&?GFX8E8#VBhXYRiVDzd zCaQoTT9|_76j4>6^;?WUGkd5ij8RJ|BlN1w$Ple{WMqieAvZEaYquB~qBSv$&?`G5 z(7YL{JJ6~SBhXA1stQXqmxAV~P*i{>o6rPMi)bSww0@wGkrBF~XgxI}&^!;Sp=deE z2sFilssgPoXoOL<7@_4TBO|n`z{m)#M`UD#)`c-LLeEjg=sC(5Jx76NXHZ-Unr1;2 zK+jR2(QZ@~=s5~BMS-dUt-);s8m~rGfz}i@0u3RfszA?Cput~M6=+>TBhU~mstWWR zWnzF<pqQXl(MBfdIm*Nctw1qB&rv35U4J7J^c-b^RuveTpywzP^c-b^o}*0AbCd~M z58TKEt)*vVf}W#H(ArN%Cg?fJ)BvqOF-5E9jZ6*Ea+E20jxt5hQKslQ$`q}RGBQQa zQKo3!EhAI(9A%0&Kw)Hxo}*0BbCfB1jxt5hQKslQ$_zb6nW5(>GxR#j3~iji$P7J4 znW5KFX6QM}484vrL(frWXq|o|GxQu~hSm=>GDFW%X6SX48G4Q~Lu*PJnW5(>bMzdA z-sm+lN6%5_=sC(9Jx7_N=O}aZ9A%E4qs-BB6lfv>r7$o@&r#;+Im#S8N13DND0B22 zWsaVs%+Yg{1$vG`AIUVbK+jR=W0gi0=sC&)Jx8Gr{~1}J=O_#G9A$x?qb$&Klm&W@ zvOv#K7U((30zF4rpywz{^c)3RSBw%-plK2`0kkUG5<N#*qUR{|VIw0;^c;mgC}d=b zo}<u*YmCrGR*Wpsa}@fhrV;wErI96ijzS-JG&VrXQN{*nb(FCIT8=U{K+93a2533T z*Z?g@85^MGC}RV(9A%6?G--@Jif9a)5JQeAV*|7tWo&?!ql^vEa+EP>`68+rXgSIl zw1^Nz1$tN17_^iRRRvm(G6pTELsfy6ql`hz+E7)X)ltTVXgSIlw8{)s3tEmcHbl!& z#)fD)${4iT4Al&@9Aykz|AndoEk_xHR&$}K04>o%6+o+_jE&IhC}ShE9A#{TmZOY8 ztD{g2Maxmfpv6h3D$sJ2F=!PCstU9^%Gd}kM;RNT)ltSqXmyma5n7HiHbSeTjE&KA z6lhHoiaS7ylTZcFa};Q~5UL9F90gj~gQ@~OM}by)psGM?^%;X^;Zaqf=P1y!2~-v6 zISRCR0aXQhjxt88ql`_^>L_Cqv{s+733`q)L2LCHo1o_?6SO+Y*aSUCnV{z=6SO+Y z*aSUCnV{z=6Z9Nqf>uWvo1o_?6SO+Y*aSUCnWKBo9Nlx~=$<o2_nbMp=giSPXO8YU zb9B#{qkGOA-E-#Xo-;@HoH@GZEYK=oV+*t<t+54qae+SBYHWdCTv(tN7ZzwuT4VGH zNMj4MCap306r`~QT9ek;0=>AfKrb#V(2ENT^y0z-y|}PIFD^ivqfjysXsrjT0D1*% ziC$b-qF2C{XvKwz5!xW631}fMvMnZ{Rkx@D=#wAl!yP7|g|ui|P<v}8=q1025t`FY z&__s2jL@dKP0;HG6ZE>l#0YKJ)&#Un5Y=I5X&t=44^0KS)6wR!OpMTHR4mcM6tqnZ z#Zb_y8&m;w&sm~P+?!aU4N{w+PdJ*OkDr>LkDr>LkDr>LPhXo@q7CetSfUN=ni?3O z&Q_Qj7@`jSni`<3X)r|}rZhD`%P*$r!<44z!<44z!<44za|Nd8!<44z!<43=eG|x` zZi+rkX$soCfT{v5znG#=9+;vJQ<|a=Q<|a=Q<|a=Q<|a=Q<|a=Q<|a=Q<{R->7%+7 zt$H;zM5|s+(T6Eb(ete-XmLA=q3D^=6n&V|6ts#RRSQ}TWC~i*jj95z1~LV$*F{x< zmMcxshbc|bhbc|bhbc|bhbc|bhbc|bhbc|bhbc|bhbc|bhbc`#%eqmVjy_CjYK&F` znW7I<nu3<$qMCu$U^N9TlSNg5Rs)$Dqvt5}VM<fbGE-DD&}tx4&|*&%73jm1rs%_z zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_z zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_z zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zrs%_zre<he zKvVQ#N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV< zN>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV< zN>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>lV< zN>lV<N>lV<N>lV<N>lV<N>lV<N>lV<N>fX;K^0T<VM<f<VM<f<VM<f<VM<f<VM<f< zVM<f<VM<f<VM<f<VM<f<VM<f<VM<f<VM<f<VM;UfVM;UfVM;UfVM;UfVM;UfVM;Uf zVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfVM;UfH8p1F!<1&|!<1&| z!<1&AO~c6bz8U&3r5R}JE~*N&aR)Q>6*Fe&!<1&|!<1&A6Gl)CMQin$p$}7<p$}7< zfp)i|nt?X%V1~Z<$_#y&(hPl=(hRgE6va^V&Z-&uFr^uKSJVu>D{5whR!5nk4^x_f zwn3s=i#G0H2HJ0issgRmXNEpZX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX$IO1iQ+Ky zVM;U5_B2!#XaoOdppAs6D$u6V%s_j}P*tE!rI~@Yd7-L68~8T^ZO}qhfi{(92HGZt zssgPaWrjXXX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*bX@)*b zX@)*bX@)*bX@)*bX@)*bX=aKxl4oX$Hj-y%iZ+sGW{OrvnVF)E<e8bGjpUh`qV=Q9 zK)bq7BFYqPB+m@AhYM8&dX6$h>qnWHqUR`6w2?eBQ?!vhGgGvFl$j~oNS>J)+Q7e= z8QN5unHkzho|zfiaF`kTFr^v#Fr^v#Fr^v#Fr^v#Fr^v#Fr^v#Fr^v#Fr^v#Fr^v# zFr^v#Fr}F#y64b`Db3J_Db3J_Da|a=iwpGi_h#s8=gln9W;M*vSGAj=FF-d#-%VnM zz5v|}eSe3UCEBoynI&3rVQzpnt6^?{HmhN7fHtdPZh$uMZ*G7#@NW*<+K-&H%?;3o zUChz9g_xu7;4lYmwqQV<eW%61z`)JG!0_ik1c)<0022cP12dAi3`CfLg@J*A2T5E5 zNt_o++ysZXD^wiad@rat$b5bzb6SwZ1(C!z;t+p?L!6%xA^|cV*&GKP;<-4)=iv}P zjYIqo4slIT05C9s!xPy(Q8>igaft80A^sGHxELr>vDoX3L%als_(B}wmvD&x$02S2 ziV!UBiNzt_heLcf4)HfQ#3fm=rw2W#I4Jl)PKM<RW2iXDIANr8XpJN;fF$mUBrbv^ zo(>fUnGZ4xW_})$IEV=oF9va-;Uk7*PA!r+D9^&ww?M@~=CdKG?}Unj?36$fp8ypH zsb@zLp9&QRsh30&pN}Lig(SWmDh?`7gpkDdA&K)Li62E0=R^`e0~H6khXYCcB2*mY z9%&@;`$*!TG71(BFOkG$k<>$Coq++AE#+Yx1_lO@T_7$<Tmi&@Vo;odm>_XQ5Ce)q zVF+S^#Fan{D2C|;i7P|JKoqQ;1c|GF1fbXeN`u5zp==Ok0U{V6?o@-aL6ifCfQqX_ z*&xaTL_o!1c7cQfKm=4=6Uqir5g-C84vI4<mmvYl0J%pS$_7yxAOfmh2gHEl0w@hq zuM1^^s0t7PRj&tSgQx}&0TtJWvO!b_h=7X2!UQBV0YpH>4WVohH3LLI#f_kB5VZhA zK*f!rY!I~qL_o!1brVQv1Bif%n?l(jY6pmbikm^%AnE{!fQp+#*&yl!h=7X2;tnKq z0YpH>Eum}>bpu2|#jT)h5cL2=K*g=0Y!LMVL_o!DpllHJ0YpH>ZJ}%s^#epe#qFSM zFa?SzsJJ~?077seiNn$uNJaoj+z})I#S%#3PEaurrGO;v3=)814J2_Fs2GScKoW<w z4M5@+NaAiF0Vsym*C1(ks2GUy00}_-1<MN{@c<-oPmll<M<9vA+8!XO1SD~9kN^~C zAc^}x#XwX6lDIEO0E#P+#QmURAgTdL+#e(W#T`iEusjQrnt&u82oiwe8A#$mP%#j- z07*O;Bml)Lki<ivVjyY*l6WXc0E%}YiHAYOK-2*w@o<m;6rVs6hm|cLsS8Nrkstvm zzJVkj1r-BP50J#8K>|?x0!cguDh8rHAc@C<1fci_l6V|c3`~J47HB$&2Ma(54kU3{ z83>XQKoU;`2|%#~l6VqS3`8j)i6?^upjZP*JOwHSq70D4Q$YeyY=I=61{DKQ4oKqZ zAOR@$KoW<Q)gY+=B=Jm;02D_ciDyB@KvV*fcs57?iZhVJbD&}%ssKqm7bF116-eTF zP%#kIfFzy|5`f|kBym`s0+O15Bwh#-fZ`cQ;zdv~5VZhFyci?^#Ve4+OQ2#PY6FsZ zDM$c{VeM*AGAe_Lfv5vW>dQd_P<#SO99H*%q%I(dSAqnf_y&@A6;upFJwOt#1_?m% z3ncLxs2GU)fFxcE5`f|#NaA%+F)#&c)I!sLJy-xja3G1p>S&OR0FrnkNC1i@ki?sy zVjxNZNxT^(0L2<e;;=p)NXh_7ycHw>#TH27ZBQ`~<$xsK4ibQ34<vC|TLL5%fF#}t z5`f|eB=Ih&7>G(h67L2HKye0=cn?$zL=_;3_kskVxB^MM4=M(t8j!^MK>|?Rfg}!V z^MIr#Ac;=|2|)1-B=Jd5F%Y!?NqjO$0E$;2iBExwfv62g;!{BaP`m?4d>T{?L>)j9 zpAHg$;uA>Xu(laU>H?DZOppK+-#`+d1r-BP50J!Xg9M=X1(Nt2s2GU)fFwQ_Bml)f zki_Rf#lRG(0|8C{^T7fTf&)n$)`kSh2q1|s1PMT~1d{k7s2GS+KoVaJ5`bb2B=IFs zF%Sjo|ANYyr62()wm?$93@Qeq9FWA9g9M=114$g#)&)rgAc?O82|#fKlK3j97>G(h z5?>7xfZ_}!@ikB}5LJLAz7`|^#T7{6>!4yFssTxSJxBnGJCMX-ZE}#*1SE0Tm=8#N z29o$DkN^}fKoZ{!6$4Q#ki@ru1fX~WlK57r7>L?|B)$zK0L2H8#J5AmK-38&aai90 zBz^%&d?!c%if<r^?}Cbfs0T>myFmg_`~pdQ4^#|9eLxc53lf0hA4uZ+pkiPO+AjvB zxBXxN2*H6Q4(sE9WCW1J4}t`sSOQ7>5L66ADIkd-1_?m129o#@s2GScKoUO+5`bb0 zB=KWVF%ac|Bz_zu0L30i;;_CLNGbqH{3J*KiX)K3PeH{%R05LtX^;REXCR56fr^2s z0wnRXAOR?@KoUO(6$4QXNaE)~0#Mw6Bo6B{f}~*MGobjo2oiwe8A$3cLB&AS0wnRv zAOR>|fh2wfDh8r9Ac<cE2|)1<B=KudF%WeCN&GrU0E$l_iNpG~AgK#T;x|D8P<#VP z{1#LUL_I(fzYP+A;ulEbcc5Y*>I0JaU6242|3DJI2NeTTpg}Qc`F|fQ03kS##9@7K zkc<G5_(PBY6iXn9!^WIJQVK}ok3j-Ztbru{1S$ri43Na1f&`%00!jQCR18EpAc;Q* z2|%$2k~nOv03;QFB>oa40L2kV;;*1$ASwY#{541biZhVJ-$2DcQ~{FsTaW-0S0IVM zgNlKu1|;$KAOR@uKoW<IX@I0AAc=nj2|)1-B=JvBF%Y!?N&GWN0E$;2iGP8Lfv62g z;$J}mP`m?4{2NpZL>)j9{|*v>;uA>Xu(21A)CDB*pCAD!zJVnE3n~Vp9w3ST1_?m% z3ncMBP%#ko0ZIHXNC1j|Ac_Bjih(K6ATKoi{|5^|2o5B1@Zcy!m_YzZoDozALB%DI z#F>!96_CW4k;FBS#95HU4UoiHk;E;K#MzL<9gxJ?k;FZa#9?DuAg2Z(iE|>Uk3bUV zLK06v66Zz|&p;CAK@u-O66Zw{uRs##LlSR55{J!6f!x`FBn}&M1Bp*S5*I`=X9kkE z5R&);BynLR@fAqoB1qyJki<oi#CITxiy?_0KoS>65<h_?4jUT;x&H!^xFnML8%W|( zNa7EW#HEqMUm%IgAc=oK5|>31|A8bfha?Ug=m!bOg9wl#LF50>2>_4~Yzz}5C4eNZ z2$BQ|GB7YmAc-p>i7Oz9D<g?(Ac?CWi5nn^t0IY8Ac?CXi8~;Pt0RefAc@1qT0u?? zKoZwPQXhdNu7xC?fF!PsB%XmJu7f0AfF!PqBwm3eu7@PvfF!PuB;J7}4jU5&xqkwZ zxFM4I8A#$rNa72S#Ep@}S0IU-Ac=245;sK>-+?4<h9rIfN!%Ps`~;FXZ0s83{tHOr zmPqPvAc<Qci9bLRw?-0wfh2B&B>n+O+!jgv2a>oQk~jlsvJG1P+arl{Ac@1q*g@_W zKoWOEQZIod?t~<+fF$mWB(8xZ?t&z4fF$mUByNEu?uI1pfF$mYB<_JE4x0-Axjz6& z+!INC1d_NHl6V4=xHpn`29mfBl6V1<xG$1;1(LWQl6V7>xIdD32a-5!&I08A2}t6B zNa|-Gi3cHxFF+CxMiO6vBp!k!z5z)*6iIvsl6V-B_yHvGa3t{)NaC=$6_EQcAc;pJ zslS0F9)%?S07*O=N&E$pcnp&G2PE-WB=H|e;&Djg3|t^rLFQHCk;FNW#9?zlAe910 z;)zJ=C6L6Eki->`#FLT4HIT$pki-p;#8Z*PEs(_1ki;F3#M6<)J&?p<b5$Vs2Ox=O zBB_r+63;>sPe2mSMiS3J63;;rFF+E9t(gJYTY)5=hors%Njx7(yaP!bHm3$MX9ALV zA(HwTNa96E;tP<(i;=`vAc>bCiEls>FGUjHfh1mrBz^!%yc|jV1d=#x?hoYt3rOOX zNa}AOiB}<sKR^<%MiPI4Bwm9g{sBq67D@aEl6W1GIC!xawEV9}66XLd0)vXf<|sk# z7eEqkL{cw-B;JH1u7D)oj3lmsB;JA~Zh$1-iX?7<B;JN3?tmoTjwJ4ZBo3QP1-U-} zNxTzDeFTzt7m|1al6W_gcm|Sq50ZERl6WtYcm<MpACh<jl6XIocn6X=Y|a<t{s~Cp z6Oq)<KoXyXB)$Mid@_>w3MBC<Na7oi#HS*O??4ith9rIfNqjnz_z5I&*xWS8{TGnL zXCkS;fh0Z)N&Eql_-rKc7f9lBki<VAiO)q6|A8b9TeAmp4|wq+G@Z{!QqKWe)Cd)a z&7p(T3m}OvL{cw-B)$kqTmeaZF_O3jlK2uNaRVgrrAXoyNaD+o#2t{tmm`ULAc@1~ z`a$jwKoVbxq&@;kd=-*-0+RS@B=HO+@ij=|1xVs+k;E&I#MdE-Hz0|xM-uNq5{Iox z0J(nxlK4g>^)ryfHzA2HKoZ}KB)$Skd<&BJ1|;#VNa8z?#J3@dA3ze{jwF5pNgTFz z0_6S+Na8z@)Zai7--RUp07-l|lK2ZG@jXc5ACScNB8mS%65od;&H!4Z3r*+yk;FNW z#9?bZK<*bn5<iHfUIIz{5R$k8lK5dHaSbH#BS_)~Na9D4#4V7-k0FUWAc-GG68At7 zhpj~cxjz6&{3Me42qf`SNa6`d;;=QnAagR1#Lpn9FF+DMizHrwBz_J_ya7r4Jd$_^ zk~nP549MOINa7cf)XzW?zl0>d07?8ZlK2WF@heE;8<51WB8l%n62FEdegH}QI+FMa zByrf<9+3MlAc@~ZQhx(U{1%e<10?a=Na8P$#P1-9e?StyizNO7N&Fs?I0I-ADm4Ay zM-t~i5{Iop0=ZuRN&F#_dI==)M@ZrdNaBx?#5It_pCE}FAc;Rk61PASe}*LPfF%AL zN!$ZT9JW>p<o*C8@s~*IBap;jA&Dm-iN8h?&p;A?gCt&nB>omjyaGx59g=thlK6Wh z@eU+$*qSbo`zIiYe?(G014;Z7lK287@y|%&E0Dy$Ac=24690-Mz5_}88<O||B=PS^ z;wO;AVQbGo?!SN}{u4?44J7ejNa7EW#D61+zd#a)?I{46{{cz-FOvEnNaFvH#2G-F zE1>EBKaw~Hk~jl&?GVU(0VHuoBykBOaV8{j1tf81BykNSaTX+T10-=)BykHQaW*7z z2PAQJBykTUaoAcukoyCW#5s}FM<9uFA&Dm-iE|@~XCR65Ac+?siSr_fS0IV=A&EC2 ziSr|gcOZ$w)*OP|KLJTx5J~+EByk}m@dZfY!bsvPki<oh#5W*`iz12dKoS>25<h?> zE{-IA0!bXUHWK9i3rOOUNa}AOiAy1gKR^<fMiPI4Brby_{sBo`7D@aElDHg_I0JM+ zKPc(TBZ+e$iNn@#g4{2FB(8|0UIIy62}xW5Nn9C8Tmwm51xefhNn904+yY5l4N2Sq zNn9OC+yhA*w$>En{s1I#*q$Shcm$HT7Lqv$NaET^;u%QdI!NLLNaDIk;uT2ZdPw38 zNaFfP;vGohur;wDcTPYOH$+lD14-NnNqhm4xG|FW3M6q8B=HSM;-*OAJCMZ9ki-ul ziJK#dpFk3at=$E={{oV@C6f9ZNa9vV;t!C-t&zlEAc@-`iGM&6w?z{Ffh2B+B+dZZ zYz8g=?UBSeki=nYj6v=fKoWOEQZIod?t~<+fF$mWB(8xZ?t&z4fF$mUByNEu?uI1p zfF$mYB<_JE4qFQia(@7lxF?eO2qbYYB=H0!ac?B?3?y+MB=G_yabG0y3M6qqB=H6$ zaepN74kU5dnr)E#Cm@LjBB`H&Bp!q$z5q!)7)g8ul6VM`_y#2LP$cmkNaA5g;s=n# z!;!>KAc@1)mV?}X0ZBX(N&O8ZaoC<mkopHm;?YRzUm%IcAc=oK5|2d^|A8bPha}Db z+MEVW|M5uT97y7@HSi$!2q1|kBB_@^5>G-BS3nX^MiSRR5>G)AH$W0kMH06_5>G=C zcR&(PM-uly5{IqT2f050NjwuteFTzt7Ls@Zl6W?fcm|Sq4w85Ql6WqXcm<Mp9+G$i zl6XFncn6X=Y)=8m{S%PH3z5{%KoT!P5?_EMUW_EZ0!h3CNqhs6cqx+j4kYn1B=G}C z;^j!<Cy>NpdmljVzknoOiKPApl6V!8_yZ*IY9#R&Na8g};vbO2Ymvl%Ac@x@i8FvU zuR_y*J(4&Fk~nOS2FU#aNaBr1>LrlGn~=m6ki?sj#5It_Tad&Jki=VV7-+mOT^ zki=noqCxKTKoW=TB>{;CAc=P(nG=B|-i0KdfF$0HB%XmJ-h(7wfF$0FBwm3e-iIXK zfF$0JB;J7}4%_nra{mM*@rg+4XCR4BLK0tqBt98Qd<ByD6eRHtNa9nG#CITxPeT$v zfFwR0N&EzoIBahY$o&_P#AhO@zkwt^3rYL|lK5;S@fS$qbCAS8Ac@aK690iDJ`YKp z0kjz#n*Qe_iE|){!}bt?+%JG6z7R>h1d{k7Byj~K@x@5u8c5<xki-p;#Frw8TOf%q zLlSpD5?_ua?tvr@+v@~!e*lvBN+k6WNaCxI#1oLjS0jmMAc?O*5-&g!UyCGOfh4{T zNxT6`d_9tQ2a-5!PZr4i6OhC=BB`H&B)$nrd;ya9W+d?yNa9<N#5W*`Z$%Q{fh4{S zN&Enk_;w`m6G-B)y<;HvUqBMyiKPAplK3tp@drrayOG3SAc^lm690fCz86XS2a@<c zByk2&kgFi;ANC`Ob0CSs_PBvm3LuFeL{cw-Bz_1<Tmeb^Fp{_ilK2rMaRVgrqe$Ww zNaDwk#2t{tk0XhDAc@2F;(^>BfFynrNqq#8_$egu1SIj(Na7ht;%AV=3y{RmB8gWZ ziJwCfZ$J`1k0joKBo5m%2y*`fB=L(#>SrK{UqTXJfFynyNqhy8_!T7a4M^fwk;Hc( ziC;q!KY%2D9ZCEIk~nN{Bgp+1ki>5yslS0FehW$b0h0J_B=HwW;&+h5KOl+UMH2sk zBz_M`oB?!*2DJRYk0j24Bo5ny339&xlK4X;^%6+pkC4O_ki;J&iEAK<KS2^VKoW<Y z*#ffH0!jQCl6nUu@#je59!TP_y`ms<0+7UCBB_r+5`Tpxo`59&8c93@N&F3xcmb05 zTO{!cB=L7h;tfdR?~%kiki=noT0!ogfF%AAN&O5Y@lQzN3y{P=BZ;p-690lEz5z-6 zE0XvQB=K)Z;s=n#zaxpCKoW=Ty#=}d0+RSoB=t9t#D5`)KR^=yjU@g8N&F9z_y;8M zzewUgki`EXi8Fu>i-D&9|48B-NaEmwpFoZWofCy5&IsL836hdP5@$jZS3nYHMiSRR z5@$gYH$W0+MH06_5@$macR&(nM-uly5{K<&2Dvi;Nt_c&eFTy?7m|1ak~lY#cm|R< z50ZERk~lAtcm<L;ACh<jk~lw-cn6X=Y|k~w{S%PH1(DRxKoS>15?_EME{r6;0!ds1 zNqhs6xG0kN4kU5dnNcA396%BmM^b+RNgTE}9HjmNlDH(2`Wr~%Qb^(tki?~t#9ttZ z%OHt=KoXZl690iDE{7z}06n+|JpPX)&VeKj+rtiWzW|cBB9eLuBylAqaRnrCWh8M8 zByklaaRVfARU~l>Bylw)aR(%EbtG{QByreYdyxABki<2S)JGtRYaxjzAc<=uiDw{* z>mZ32Ac^ZDiB}+r>mi9ZAc^ZEiFY80!_EW%xqkwZxFM4I8A#$rNa72S#Ep@}S0IU- zAc=245;sK>-+?4<h9rIfN!%Ps`~;FX?Cb)N`!67gTOz5yfh2B)B>n(N+!{&z1(LW8 zlK2NCaa$zuA4uYMNa75j!@Qv7zde#T2a-7Kj0KSU1(3uYk<?2di8~>QD<Fx(&Xfb0 zqk$yuf~4L6N!%4l+yY754N2SqN!%St+yhA*b`}K4-T)+VPbBpbNa9{d;t5FN-bmsZ zNa8+7;sr?JzDVK~NaB7-;tfdR{z&2-NaC<FD?sj_fFvG>q<#jHco35K0wnQZB=HqU z;vq=l8<509k;Hc(iH9MHA3zchM-o4QBn~@U1LXb-NaB%5>Te*4M<IznKoXBe5`Tdt z9)l$Q0ZBX-N&E+rcpQ>A1L)8?X!?&w66Zh?hn)cea=!qQcp{Q|2_*3(Byj~K@nj@% z4J7dtByj^I@l+&n3ncM0Byk5M@pL3{4<vEeStTI%2Ox=OBB_r+63;>sPe2mSMiS3J z63;;rFF+E{MG~(-63;^tZ$J{yM-uNq5{I3s0&@QZB=JHd^)ryfi;%<@Ac+?viLXEs zhn;B&GJgY-cqx+l9Z2G3Na6>O#LJPyPauiI&VB)ze*sCn5=s3HB=IUF@drra)kxwm zki=_{#6KX3*CL7kKoYM*5@!G%o(N6<^+@6zNaC<FYC!H6KoW06QZIod-h?EsfF$0G zB(8xZ-hw1<fF$0EByNEu-i9RZfF$0IB<_JE4m(Q+<o*C8@lGW55lG@)Na6`d;@wE% z8A#$iNa6)Z;=M@X6-eTJNa77h;{8bC9Z2G^Gk-wtpMWGj5lQ_FB=Jc|;tP<(CnJfk zKoXyVB)$Pjd@7Ro4kYnuNa6>O#HS;PpFk3aolOLC{{<xRnMmqyAc@aH5`TasJ{w8= z1(Nt2B=HYO;&YM2e;|p^LlS2I9TEyn|MQW=IgrF*XDET(FMuQtJM$JKE`cPz2+14; zB=N;a;u=WeOOV73ki?fFiCZ9vFGCV{KoVb$B<_JE4m;}!<jw#j@s&vGBap;bA&Dm- ziLXWy&p;AigCt&nB)%3&yaGvl9g=thlK6Tg@eU+$*qKxy_fJ3)--x7s29o$DB=H4E z;+v7gS0IURK@#79B)%0%d<T;FHYD)_NaEX(#7`iJ!_LkEx&H!^_)aACH;}}4A&Eag z65ov^{sKvS50dx?B=NmS;y;kY_aTWhfDXfjrvLp&;v7igurt0u?iWB3KZvAW0!jQ3 zlDGnr_+cb*4J7d+Na6-a;zyChEs(^IA&EO6i62K2_dpVdoka$6e*lvBNhI|VNaClE z#1oLjPa}zEAc>zr5-&g!KZ_(@fh2woNxT6`{5+C)2a-7K%rubuCm@MmL{dKkNgQ_O zHc0&fB=O5g>Q^9%UqKSzfFynuNqh&A_%$T)14!c6k;G3RiNnrz1G(n{lK4#|^*4~j zZy||4KoY-=B>n<P{0@@%2PE;kNa8<`#P1=AGsuBl1)2Z4k0j24Bn~@+4x~~5N&F#_ zdI==)M@ZrdNaBx?#5It_pCE}FAc;Rk61PASe}*LPfF%ALN!$ZT9ClV7$o&CG;xCcZ zM<9v6LK06v5`T>(o`EF(21&dCN&GF6cm<O9J0$T2B=Pr1;vGohurvKY?w^1p{t-$2 z3?%VSNa72S#6Kg6uRs$2f+W5HN&G94_zooTZ%E<?ki@?uiJw3chn+nLa{mP+@t;WQ zZy<^PLK1&~B>o#o`~{NuA0+V)NaBBy#D5@(|3eaIfF61d8vlfy$q#ZT2a-7WQUZ`5 zX#5{ZoDq7a97sw6Nt_8uTmea(8A)6NNt^{q+yF_O6-nFzNt_Ky+yP0P9ZB2+NgQ^T zBgmZrNaCDG>LZZExsb#Yki@x>#50h@d62{lki>bB#4C`*`H;jLki_|s#5<70VP{T) z+&=+HTo6h93?y+OB=H4E;=)McE0DxRki<72iHjnM??4h4LlQrLBrc95ega7xb~Yx+ z{TGnLC6UzMKoXZi5`TasE{!Dq0!ds3N&EwnxGa+R4<vCpByk4l0sWx#FOMY7fg}z) z!xQ9w0VHunB=r(V;z~&33P|G0Na7ku;wnhu21w$nNa7Yq;%Z3Z4oKqaNa7wy;;^$u zLGBMg64yjhAAuyUg(RMUB(9Alo`EE;gCt&nB(94jUV$X8ha}#BB(9Gn-hm_zI};V; z{s~CphDhpXAc-3xi7!ABH%1a)fh2B%B)$Pj+!RTC2a>oMlK256adRZ`6G-B)vs*## zzknoeiKPAplDHL;_yZ(yYb5a(Na8j~;vbO2ZIQ%(Ac@-{i8DYiu>hridn9oVByrdo zvmo~iAc;F7sh2<!cR~_ZKoWOG64yWycR><2KoWOF61PAScS90)KoWOH68At7hn<BB za(@7lxF?eO2qbYYB=H0!ac?B?3?y+MB=G_yabG0y3M6qqB=H6$aepN74kU5dnY|$Q zPe2k6L{dKkNjwNid;yYpFp~HRB=Ha=@eN4gp-AF8ki^4~#19~eha-ufKoW<YEevx1 z1tjrEB=t9t#G{bJA0UZGBZ<F25|2R=|9~V8yE6!6?++yLI3)E9(92pt=|3JxoC8T5 zb_O!Y904TpL?rbRNa9II;tELO$w=ZFNa86-;s!|KsYv1$NaATo;tojS=}6)pNaC=w znnCUlKoZYHQXhdNo`ocyfFz!cB%XmJo`WP_fFz!aBwm3eo`)pffFz!eB;J7}4m(pC z<o*dr;)O`+XCR3eA&D<Q5-&y)Ux6fEf+W5HNxT$Ed<T+v8It$`B=K@2@e@emu(Pj0 z?!SN}UWugs29kIclK2B8@oFUT7f9kYNa7!m#A}hne;|q1A&E0UFC_w{|9T{G4kU5d z8QmcF3m}O%BB_@^5^q8hS3nYPMiSRR5^q5gH$W0^MH06_5^qBicR&(vM-uly5{I27 z4sw40l6WVQ`UoWPE+p{;B=K$}@eCyK9whMsB=KG(@d_ky*qvn{_cS1h_amwAKoW<Y zc@9!P0ZDu!lKL4);**fX7a)mGMiO6vBt8X6d;^mBR3z~oNaE9w#19~ePe&3zfg}z) zn;qo-3rONKk<{Nn5}$=6{s2jQHj?-YB=I>&;vbO2=OT&!KoXyaB+dZ6d<&HR=Oc-8 zAc@1ykO#S607-lyl6naw@kL1D3P|FMk;FBS#Frq68z6}<MH06_5?_WS?tmn|97)^* zNgQ_8J;?n5Na8Dz)JGtRuR;<}KoVb#B%XmJz6MFW07-l;l6VD@_&Ox<1|;$INa7tx z;;=LMLGGV`B)$<z{R|}WO-SMkki<75iLXEs--0B*0ZDu-lK2iJ@oh-r2av?KBZ;3t z5{KO#0CN8YB=Ma{>Te*4??MuPfFusP(-36N3ncM9Na{ZziSI=c|A8dF4@sN>ddVCp z{qIK-=RgvN-Ejc2R{%-;Ad-3sB=JK?;tELOhmpiJki?H5i5nn^A4L+kKoUQOB<_GD zejG{M14$fq7X!%s0Z8H}k<>>ZiJw9ePe2ksjU=9dBz^`-yZ}l3ERuKylK43!@dhOE z^GM<yNaC<NBS7w-fFynqN&O5Y@k>bJ3y{PwBZ;p-62F2Zz5z-6Dw6mPB=KuV;s=n# zuOo?{KoW=DZ2@xs1tjsCNa}AOiQhsJe}E)@8%g{HlK34Y@efGicag+@Ac@~Y5@ zrU**^_mRXoki=nkaDdz|fF%A9NxcM;_#-571tjsuNa7ku;!lvo4Uoj2B8gidi9bUU zcR&(<jwJ4ZBo4bP1mykzB=MI>>LZZEUm=MnAc?<563;*qhux_PGQR*x{4J9D3MBD& zNa77h;_s2fJCMX-cbb6ApMWI(5lQ_FB=Jv3;tP<(KO>2+Kob9gB)$Pj{40|94kYn! zNa6>O#J?knpFk3a-Ms>G{{<xRpGfL&Ac_A%5`Tas{u@dB1(Nt5B=HYO;(w9Ee;|qf zLlS3zUK$EY|NoK1IgrE|pm%40+%JG6&WI!~fh5j^B(8uY&Wt3kfh5j?ByNBt&Wa>% zfh5j`B<_GD&W<GRfg}#Q%Le5B03>lvB=r$U;#^4L2}t7HNa7ht;yg&=1xVt&Na7Vp z;(SQr4M^hrNa7tx;;=h+K<=M_Brb@geg=}b5R&);BynLR@fAqoB1q!UVM6ecPe|KA z7+`mdg2X@=c1J1f?nsar2*d7}gq_(A5(8mns2#AgvO!`X><txX&?~OYElEsb&?_z} zg3uW-R#9qBqFzaAMG1pmN@7VOgI-c`F@s)FK8OQSZm4I0$~A;>8T7z<!3v8~a~SlJ z^K)}k^GX=>^72bk_1yhJb&E?9le3}x^t@8Nf};F_)S{9~sMges__U(LT;!`5LD4`q z28AyuuR*WlfSUumWAzIxJfJclwNNIQ0xe?#WmA}Xupk2i!wKjmBe1dtblee0FZ9@V z*fs}nbq5kho&p4!VS?@;kRWtj2$Tt?KzIFu%p_Mo=+0h{{m6Ipf((Y;Z-adI8%P{v z7l;Pw0ns3TgV^Z$FG1Z8J?0ps6pTS?K@1oTx?>f@2BkfaI1Jx`>Ia1_ObkS$^Feow zg7l;NA9hd6257zl=>=g>e1m8h2HlYgG85zvm>7sY0KKe50D6feDE>fx2Vsyrboo95 z=<ZLD{m6GBgXAYbFI5J`4~P%LAhjSij0WAY31frAVR#QzKa3Bf(ba<Phy-1wfgXMb zp!(7M3v)lrJkTA1AT!bRKY;2-K5H7J2VFntZbNM0cL92cH|(xWQ22q4e1z$Tg&#J% zLG~m-1M~;<QclouNuVR+(e;DG(BmGYKLUDbUM}+GzF6`P=olJo`aPhBjU(SF2r?Js IUJwn#0Q6?9&j0`b literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..9e65f76eec74765a8722f20f31c6c0ced24f08cd GIT binary patch literal 90072 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk|3ilFRt=|Ns9T3=9kh1Q{4O4l*!wC@?TAC}m)% zsK>-BS{WDu7BDcZ*apELwlgqT>||hgZ~%fU85kHc85kI@F)=W_XN0gB(0~CO1H&RI z28K{Eh=e8s0|N-ZWQ6dU85kHC86lW~0V4SS|Ns9WStgJi0|Nt$4-x}mRuBQjvJ4Ci zATvN*ka}LI9)6Gj6mv2#FeorEFjzy?vN13)fYgdW)q<3PYzDb25h@oBRjY!ehJ%5D zL5qQbAp@!gM6)n3FgPHo0hw#Wz`)Q6Ra45qzyNY@5Rw{@+e{c37^XngbTKe6fZSAw zqy}WJGXn#|Zm62$3=9nH3=9k{XlmRU7#L2Wsp&;h1M(Xv{6HAwws{N;3?P5Z#-nBh z0|Nsn%vR!2^O%8wfs28G0hE?NVF$7YghBRzFvu=YxNtKtFo4{SON}`L0|O5O1H)}R zcG)p7Fo5FqJsven85kJ&7#J8>Kxql&5GV$@1%yHF0l5_v_L6wi>||hI5MW?n(8Hr< z7Xt%>AOiz~GafadcoSk^V2Hq@W)A}cgD?XFLoOaQdl?uQK<TszkD7f93=E<S3=Grp zsM*iJzyQjEYw)N!z`(#D&cMKM5RaOJ3=9kspgfO9%^?N`21y16hSzx19A;o(kOIXK z6D-$*IFP&!i?1UL3=GmBzu{4Hl!1Xk29z)HsJX?!zyK;I?D41pr9U|a28IwONZ9~! z4Z=O3GRBsHfkB>ufgu@>8dqppQ-nv2Hv<EMA_D_MBOWz+3=9lP3=9kt@Tjq1U|>*Y zU|?8+M~x)|0|TfG+kr=o6$1l<Dgy(<89Zu0Wv3bg1H%J6YC!2!oq>Vj3lnOY4D#<y zP~K!<U<hMiV9;P-VBltEU;veIpl}AIOJ4>ChHubvCl^{rC?cr=sRN}85C)Y^pfVOz z7VF_rQ_H}>pv}O*V1q|ZD+2?A4g&*&7ald;3=9mqpuCJn4M-joXK8rUfa*$p1_p*| zJZiET7#Ivd@y-mXH$eUdVUWK;7*t+>;?t0UfnhElHK4Q%3ZvD`kh%qA1_*=90AWyE zf$DE#1_p*rc+~VTFff4Xs$F>0%wb?)FlAt1ID$vbTm}XPGX@5Rb9mG&VqjnZ)dx55 zr~#GppmhHTj~Y<@1xojC@TghKz`y`X_uufS0hO7cbPr0Xp!yjUejp4AKM)3mA*jr> z0o8>pxWoAv0|Nu7>=4DH<{kqB1E`*p$D;;R=h}nHIy`EAK-(iGc+~u4U|?_r*~bED zQ-J&gsvna<d6N-RmpCynFo19zk{XaYkiSi#YC!1})J93dq6VZ6R44f}Ffh0<Ffe4} zQ4_$xzyK;Y?N}gf6OcV1_oRZ-1Jo{%TR<4(9#H!Qqy~gR_P9XRAiD=$4M-ijdvK|N zxu=8$(pCl81HvFPKp51X+s?qi;09{v;ZXx>OMu#E^H?D5MvxgG3^D_RLG7nm3=9k& z3=9m*@u*qMz`)?iz`(E(kD4W*G7QvS#G_^z0|Nu7EIxuq&1MD$1|J3nhO>CofZ70{ z^7=X+HJ~=19|Hr!Lp*BEGB7ZJ(#I=2YC!ceC_X;nQS*|4fgzBAf#Dw>HJ~&PYJ>B# z;*JX*Mh1ppP#Xx38a_q_h7eHwjYo|DBLhPysP4g|MvM_s7h2#^BhSdd0P2T0;ZdW? z$iNT*3P(I@3>X<0A{iJMBJrrPWn^H8VqjoM$D`&NBLhP;0|P@P9yMl63=A<03=GY9 z)L1YvFvK!2F!ZuAFo4PrP@VwQ>FJ<6#RzF%g2Ffsl%A2)fYgEVO)ykV5(5K6Jk%Ue zJ_E^v%qal%1(-0+fvEwh1DPWYRRc5UCMyF2s0;+jgD^-92!q<Pp!y_%fq~%>9yOqH z4%B~qjYkd0e2{%#@Tf@vwJ|~I8IKxJ*$7g{!G_z-X$%YuAaz1`)PTYqq)rBp8c;tM zq)rWw8c^E?qz)8@AUi?f2g0E617Y+q#H9u~3~{MJ4ntgOKw$$?_m3441|YY9Fvu++ z3~~=hFGw9I3_)y=JP3p2K^UY46gD6^P#A(}kUR*3<Utsu1{5|Rb)YcBr3Mr>Aa$TH z#H9unhREiF+It}W0o9H&5RHsM^$^GnATe+|MYE#}RBwX%$}k$#--W3Gwci6&I?6zO z8Blu$MuYlcFg2ht29O$1+Z<HC!DvwX4yFdwcbTBtQ3lFupuQlC2Gvn8HK2Y!$Q+RS zL48UX4a(D?umb4;^%X$w1GS?-?HUjbYTJU^rJ%MuNDWB-gGxsksO|>!^FTDr-ypj{ z?gjb3K^5Y6(D(*O93%#!K^UgS0jdVnJ_L#5Qv+&i5mEyh-yoz0)Se}z2Gs5&qz2UP zC8P#4zClP0s7*ph4QPCWkQ&hV1|c<|@eM+1K;s*P)PTk}2&n<J)d{Hqwbco!0kv%i zsR4~|5K;pg-yoz0G`>Md4QPCWkQ&hV1|c<|b`(A}pt1neM<JvJ)J`X)2GqtRqz2Si zCZq<`&%>n#ly^aH2Gz^B)PV9kNDZi;M@S8*{3N6XR6fDf{A7ZR4T0PN8e1xcj`M=b zOi=j*qNSJ_7+_@`s4vc-*--{+^OuAAi=h4&bZi@BCajDCm3N?W52PL>4l*A^gD^}D z$X_5epfVC9j!z9}e1ni0(D()+HK6egLTW(u7a=vE@eO=xK=lNu4j`lkG`@kZ22}5X z!U7aNptu6nBZSm|#y1G50gZ1EQUe;_AfyH~zClP0wsIcbU)bs|bTy#y4MKKdD>Ko} z!B%FXs{xH~5V8w2zClP0wmKKxF3|V}A#*@|J(wB~76yg@7RY=C*euPCGEkcZG<FE0 zL3tOX7KB0apm_>V9t5>BK;sD@aZs89(I5;`1LA|y7)TAM{|XWZiGgSkMppyszk<Y( z)xh#HNDNe7fW{MWsR7vqQiHAThS>!&2UNCz#uL!ZfvEwR15yJTPavcQG@d|64QM=p zkQ&f<0wFcn>Rfa;gT@mGnFAV6AfyIcJqWW47KWhl1VZK@r!kOP5Qdop3un-H0!SQW zE{FzUbTy#y1dupBHK6eXLTW(c353*u#uEss0gWdRQUe-KAfyH~o`6dY$giMu2pUho zr3My;pz#DkYCz)&Fg3GT85owcqULu{9}QH0foM=4;xsFw?gq7EL3Ivjo(VLk2ctpe zfiNt-Kx~kHZ0!x08jzboYCwHhkb00mK{N=Xs{!>*LE`w-fcmC{)PVYugw%lAAcWL_ z`lf``fcmh6)F8J3Kz@T^P=6FOuL>$(VPYV&K<zWI*ym6oP#Fi31E~eY1y~#;0Bt8B zs|BSWkQ7KQXr2|HT2OfoQwxe~d}=}M2AEn98=qQGT*K6Y`p`Jlx~~Aw)4|k&(il#) zpF@Q}^J6fzp!AAU?W-43p!qGBT2Pw^r`pe<LZG=Pm|D<0CO);Gc_Elu(Ao)nYC(Nm zm|D=-06w*#b~a2cXnqr)TF{sPOf6`h6Q5exI3g%LfZC7P)Pm#~K8Ff{#@k`$g62K( zsRfO5!_<PtPw=S)jW5I0g2p!RsRfPe!qkG|8=qRxcq&XSXpIU!wV?4wm|9TUz@`=? z&oJFc5>$48*r0F$&4J=nJHtp4l&@iGL47xDYC+~O%rKG!tz&_y1*JJ`YQbVNjU?+p z3Zd&)K<zECI8+Q)K7h@gWh4per+}nDe9-(SPPMa*BqxIuLd^yBrEsdP{3-=%--DEc z%mvL+Vp9t)n?8pMEdVKmnhWZm;#Ax4RSMKT0x1WX3+h{g%mA@rdO+nFNDP!FH-HpE z%>|7sf#$?vYGLYOYC+>OAmt!=(3}`d9wY_}Gq75vjxx|#1xOsE7L-SDs$Fg*c?P5q z>IP8%6=ViTA4m^~Mt1LYB(<RY1X2SP0<YJCt6gIx`4B1rG6OV52x@nO*dRS1bs%Yw zU7&Dy3*tcC;00Z40#gebiv&r-)PmYzAU;SQH0A&@55xyy^l$*J3j&FQ)PnLfPPJ<d zC3&HeAhn=5EabQV*$r|th-TPeC@F!Y7Szs%azR$1hQnq<Np+|s$Xw8T6_ksqcIOKz zGpHm;Ehs-BhZ$__7VL)4p+eS3YKzdq4AjqnyZ3XbkOPuh(AWU7xuE$_WVK#MYTMAv z1&u!-s|`U?+m5CdR9C~*-hCkzkEFH(O)Y5L7_Rog3#lw5wb<6IfdUT}4n;_6L3tX= z1t|f?1&9GtTLqN_g)gW-1m%L1V5n_{N`lma`bAJKTrJ$apF@TEp^_lAp!^R?vmiD| z7_`m}qzUGR86ZJuTHlHm4xsiTvbihps0FQiLsq*Jk6KV$2wCkZJZeGhHDtASkkoF2 zu33cn4b)ykR{IpX_6sBrYBPh{5Fj?l2v~a!91j0Ng+S}XK;Z(?5Aq904TulIDEatv zs1RtKDM%co7UUOvYCl6IL25yMfpS6G!Ep={2fIOOf#i3nBuEV87bq7~?dMRTKTt`K zT9{wJ3X$5WU~@rjT9BuqYe})SJwbT`tX5}%Bp;GmY;8|az6YyyU%?=Oq!v^@L7fD4 z21=M2FOXD5QVW`k!Kv1KfutUiT2R@5Q?2y^NlPTPptdk_S_k<R6h2`0+AWZDL{bZC zD<i7~`4z6#X@R6Cl3Hx-Uyvuk=6((p3Pe&18p}a<FS6Q5B(>Pu%E)Sykkn#pD<iAT zM^cNcO$&CfQb$=Wl3HACTCm#41(MxJYH_t`!D?d`NX|r3i>plwR-3RuaygP(Ty0vg z+T;b2+mO^^Yp24)A$@`52_&`H+Np50N*!f4kko?4;&8^BQb*YfB(>Puw8-Xy#^phL zP<q1FrUl7?{if7W23ms%;)B#;Ytw?{z-m8-3W3J*L41%}Y;9VQ989eyND(y8V{6kQ ztF=H<i>*zItkxY#EvSEnGt54R3PmHS1+Cx1r#2r+Eohz>pW0?5wb<Iq$nKra3|ZR? z(gJE*AlCt)u~U#e;4u3aDzp$uEvRjQtQJ<jfz|#E6#}hk1nC8t3o1X6)xyd*u-bp2 zLfeqc1+^`Z)xyd*u-d<&LI*(xLf5I{YEOdfWzaT~I*FtfS9=niY(LjZUP4j}YEOdA z00k6MeF0YcrB?Dbl3LK%GETK$YbBo`sm0ay1e^P<R`M;9T2Ma~o4H`I@3oSjk<^0L zLxIJyx%Wq{<S(dNP@IA40BmZ(Vn1soL2WXSF(9>|IshyV5<m(Euozo3yl)Cpi)*|D ztd%WV64vJhsl`@bK%L0Iz#c9656S_l1&s+J*FzxpgUke{htHuxEFekfnq*M<02<o> zg(t|3AonAy<wa6UsC*DZQcI|OkVR4pDjz`Yd6*kO;ezZ2WhAwrby^^Ig5*IM)FuGY zFu$oIsRfNM;8UxOq!zSp3zU~(ZUBWhvbjb`YC&r~kkx|fXHf8i-JsM_W{IR0w2lki z4REzq(UPFGp&)xe@djFlg;T9<w4@7?xuCRxQ>|mPq#u%6(7G&S_k!98a5sDo6$(RA z3mU&gRtst)fZPZ6+viZBcqFx;aTatpAgfJhfs~UVzk$YTL1h$FJGh;SthRs!vOfW& z7UVvVI#^tQ^nvUFyWwA`P&JZTko%C;g3>aw+BPJ$Aajt_!rC-obN_}4^&zPRnS-nr z)}{fg{TC`U4M{D?9AveyHVsTIXq_y`FCf2x%t2NQYtw+${tXpciexUx9AveyHVsVe z8YHzKbCA`-#_7On|Ah)|K~f7c2U#tw{R2~b04d%;a-eVnxgQj+u=WpF?cY!#(0W>s z9LR4lIZ(WUFeq<=^uW|!L~;YRwh^-0t4MADwT+P70MiRI_cq8g&^<@6x({SO2!q@J zO6wrA89W)KVD$y49S<rO!Qvo==w<c2S5lxgz91=(T2Q$NvJd1}kRFhm;O5?cCG`Ty z4WRN1SuLo&1~Lbv7c>_121zX_t%Lf+AUTk^pt2jJ7Nj4f_9Gs(pt2iT?KeDXL1j0x z+TVE8g34}Wwcw3@(C|ffFR1KBR?7-@IAs49C~tzeFu#GuE0NW5utLfQQ22uK5ON&D z+JPYb41Ys~_`wXwo-tVbgUTt8d7wCk_pj$`Ns1z=1;rC6Tyd$DMp6rkCwyv^k<^0X z37=YRB(<P;0tGjyod(haYPZ7O>&Yl(fTR`_Park8+-r)Y78FmQ^bRu@)W(IIt9eh- z8c8iEo{-go+PHAFo{UnCNNPcH$ZA3JARx7%cx2GLC+Uu)79@wP7BqGYSL?|r<%^^i zB!|s!U~}hdNroV)1&yuXQyYV%7PJlupV|~8wV-(#Y-&Mb4D+=lbCA@6)`WrL4K}_7 zOJ`su^R*;P!3;>61dSErQ(KRu7S;wwk7KZs`C5{lU<SloLTV=?sRiwC0Qm!#xeG~9 zyB<j`tPPIK+|4AY-A;nq-AHO-<I1?)u%86Ahe=R-97!!`?LNNny?~?^G!KSP?JXp= zpnVSb)ILB`3u^y@%1KzB2jvq`egiAfyeIh<%z&gFP&*Y_EhwMB)p{~Y{X|j=YEL5P zV^BVUtJS<G$qX8#gYMY{&EF%d1?>}qtMz1*;zd#mnt#XVH!%{_$|I@Gg6^5dl^!%m zP-}>!7PLnbl#fCEZ{)fK98~kQB(0Ftg4$RhwaDcIXxtR6mVtr69jX>ICIC`{9A=<- zGf<d;!Uj~PdLyX?wFi*Zg4R)k)Pl=NPe!Q#B()%M5EqsnKy%9=F_^iqek{lhuyJMd zFaxWduLbYNg4Dvsm2s(!0$B>$!wlUs4l)Cm+E^sDpzr~ygSi(pCkS#c%x_spYC-J+ zWVN8ZeQ>p!_aw`a)PlxZvAF?k?tCrD79_PG|KU?R5lJm<j0WTm<n#bDcOH^jQ2Q4Y zZZN-r<}g9-1*Zp3MybVk)Pm+P;c7MSNv=dv3$h!O&XCh2C>+7&GB7Y~KvD~8hvQQV z8w&#I1=U%gZ~*xWghBNiyiMz(EeRV70;vVfSz=QQ4hPv}_*f7~Ev(K0nF+!$bHQrm zlHp@PAhobM3#1N&QPj#O!^eU^YVnRoDI~+kf<S6<jWL1!@HtcnHWma@3#;Ei_Jc5r z8$O2$ZG(m{NG+(G1jRAPFCeo(Yob7529je?NtQf-q!!fg2B`s=3BoXQ!D`i$CC?zK z1@*ges?|!CyoIC|RQ}>r`#DtTDUw=jeRQ~cdpx9mAgKlQ(Q%sF?;*tx8l-`)2ZxpG zAb)`{ir*%ANGTwx1+A~bY3?)+DFY<6p!FCy)z0*gazIiGs{=sxgD{F4=6Xm4AgKkd z&&Fx)0uQMKB(*uvx&>q=2&0(0#6v0{NiC?pz-jJs52-pNwR!l=J?J6Ti=;LmpV}iH zQVWsPg7yXCbi)Y`sjWzAL38dn)qV~YI)J1Wbfy75wU?08PQvHjC_~AoNNPdn!{9VG z%TV$MlG<tb%*`{D<OUUv&@?#%pV}frNja!mP&o=q>rf%^coeAo1-om$mZS<)0;Cp} z)}cZeYPFyeAhn>h4&uV<08k$SZf-=av>r$jx=#X>)<ImDTF}}QxY{a986%J+R4pj2 zgSarYpgo@;wV-l{A);2=3?vCv3rp)zA-H?NYUgW7+Cn8j?uDgws1Sx)XQ%{7EiA1= zh2UyoVdf5%0I7wgb*K=AS|6wcNG)h>2gnSl5L_)N?}7Sc0Z<8$TF`zJd}?9i;2^c2 zbs!+Mp!O8VEKoiMg&DZ~1@$+P%q65Y8c8i^Z3oC)s1V$}Fmn^25+FAaQkzDC+H4Zk z79yzyo!NxXy%i*=twT}^I^PLoE+|Z~g>O5OTF@FdkXn9_Lg-i<NFOZBCXk?Z29jFP zJ{R=%4zjt6Nl?2ANiFECDSYN`MN-=bEq9<o80ld@R05P92&p}eq_!V=rWGvDgW79w zzg1bvT)?9iv>pwvHlkMgCLXn*wjEq;m8HxhB()O=`0Y6fYTqEKod{hY1oIoH%?Nix zM6L8!B(<=*1uBFQzW<>TpztN6mJ`%KhL)3r)bf*{R)hq#k|d~=BSEb?32Kc<P-{Vg zS|=p6ptY*_@{l)@T3DL^8kHDv9E_wE)c!>`7r8$ai=?&+I`0ii8=#^GR0n|imEdxR zfq@|jNo_R&wOL4NYY3<XZz6}LGtgRKboU~=p%rQ_sLu}?uK|^l=-~ho1J#ASNNPcI zR3LF&YNsNp1&yzR`Yo{h2I_Z#@*7A$gSeH<VkEVoIVzAiE_1gbsRhkZA)5>8qk+r? zw>8AAWR4@L1<g@mGZ(COzLw-&B(<P237cAQyv^5=e2=6SRCeG~`v*xa$lv(Xazhge zC@w&L!KYRVNiA&s1A3Uj+^dSD7S=b#rPcsREv#>fORXJLEoe*wG{z4~XP~hrSXu|w zw_rCgFfhQ<8ED=MG&h6IZy+(`bOusOC{4oB4oEGbH0c0!FGwvYO@i!0_ZvtI<c2^b zwYbtGEbYW1sRgA;kQwOa!qjFXsU?&qE0NTK=1uXL+l-_ZG&YD&Z7-5q&>Sm1wX=}a zg2tHesoj927L*=9YC-uEmL5QP6PzCAYe^nJQVW`!!>9HvR4r(36E^<_G82SBWh#73 zfrWwL29jFX{2NFe2&1TdDIxh7NiA&t4Wtf)QPlnumxQHXkQ+erkRbPh)PXQeEm(|E zLh>b&xuE$susBE|wCxFZFX((eB(<>lH>gr@Jp@<FCLzfIDnOy<H^R~+x>~SZoDz~^ zNNQnmfvy%D7oc+ik<`NS1-e?WxqK3m=16K`^Q7o%Vdi=vsfFbWbhTh}g(M_Hkko?a z62an7#jx;&nG361Kyd*oA3$jgl)pfFKxH8)%s_0=9Ck92xuE$%WVN8O5Tq7t?&naU zG9<OI{04ObJTAcI%1B5yBdG<=gMh`MiZR@aq!w02L6xGKs~{n{5J@d)9t5Acn~>DP z$`Y*Rs!B-iK~f7E|Ho%8teyvjFRZM_YObb)<Y^>xLF51U%)No67Bu#b92cOv201QZ z^*qQ8pfU<sEoeRtq!twCpg6vTWG-mj8(A%AT^>j+ERH`QsfCr3=zas6YbGJd2(8RO zZUBw7;`5t0l3LKX3r@Ax5|VmIYC+>JIMv!qNV+1a1&zDlRO>7u8H1!2)L+A?)=fgP z7)dRtzlKw7akOL?l3G~X2tCZe;aeUpxe!S$tZjr<ZB?}74kWd(wh>mfF%pvJk<`N4 zMp)IxOGrLLQVS{%aQZD-Lh>(?T2Og_Q*D}rqzH5{2b3N_=@qBiED1?%B(<RQic@W_ zgrpOaT2Ok$skTr;G7?EGu63l~xG0g3EI?8Vn?J@HW)%{W?MP}t=j`EhL$!qDJS4Sv z$7$;&B)1}|h0RN2bwi_s<QXKjuz6{$YFi~FA0w#+ox_LIy&V#gzmU{|&f~+Wwnsuz z5Y$M4*0G@ZZk%fSB_uVF)M8uj2`_ghOGw%ysRga~#A)s{3CS=dwV-))oN8xDNai4^ z#k<~fu7qS0l3Kj$Jr_zy&OlNNns>tKh9we`>yXsqop)LxA$bHzE#7&j)e@4okko?u z-8kK_UPAH%l3Kj;PMaho*+3l=XdJ`F;<2W)Z4#0)NNQnY@mSUFl#nz+QVSZ3$LZd^ z5|SQBYC&W1IMp7Ikc>l83mS{ZsrHD3WC@a5*jPMP_a2v!>_Sot8;i%P_OyiL0wlGt zv3RU%&q+vbLsAPHi^r<=l7!?LB(-?wovunqK0;E9ci!oygyat-wRq>9?np@Tff@|Z z^Z=U=!0O%y5|S!NYGLyMSk*q3khDTlTLK-+#H#kWgk%7cTG0IlIOF)Ogk&0$TF?Q8 zIMsfXkgP#c3pyhUr`j(Pl9Q0sR^W5*4++T?NNPc6Lg6&`w}j+AB(<P1F`R1uOGsWp zQVSXr!>N`@Qt}m&TF{snPPJ^3lHkh)pm7X3;|r%+PDx2I&}K!bTG03zPPM#}k~&Cg z>+yw|fTW}olG+A*YDFX^BaqZK;!`UwDVc|)wh5nFX-UZzB(=@>)XGUp&O%a)d`AW- z4WsmNlq4lLAgP7TXMxm#Fp64LNy%eKYC(ITafYv^q~skWwV*xFIMwP%N`6983!D1_ z*$=`fZZMFP<NyuYLgN@VM+H&`!YFEuB_-vM)WYVSK<YpkMXkA{qzRH**t`=+9SEbS zwUU(dLQ)HwOTy_lJ4wj|B(<RVA)IO*B_+#{)WYU`K=y+$iW^)dC3}$6g64d1n(HAc zxd=%uY;Fc*CJ3XL>mw<-14%7tz6Gbb{*sdCkkrEFML=ePFp9asl9Er5)PlzNahe+@ zDftUYEo_V*WF`osm>VT2DF7N2ho*<A`0_=pq@)^>TD)rn5+x;Vkkn4cXKsq5WDt^C zyz2=vBqcMD)Xv0bZnmUk9g<qmS!OuHEMHP`3X)oEX9K|7v_+DVtB}-!_Mzc4w@gy< z0FqkJxqdj+R!T}<LsAPmdlskKT1m+_NNVTf3x@_tNhZ)jJ!l+*&K$vMZi}R(1d`f? z_{?pWl+;5~3p$Swr@7sdk}gPUv7M0s58pmX$tWbXc+W_fBq>>dq!x7EAx<|;m6U8o zQVTjy0jJuTl9JPq)Go&t4s#?WS0bqeou`P?+y#=7dyv#(yAuf>4vQrv&m*Z_h0hJk zB_$srsa=gv?J7yhFGy<F;8VL!Qj!fc$pK9}pmQK``fa16qy&=Mb@<HPDk-Ujq;@?% zwL2swZIIN0&bGnnhCPy!en@IJ;xl)@q+|k;+D-V>9+s3WLQ)GlhY+V5j!8<kAgSGg z&)idzlGBjXg3j&0Y3^A`$rVUyL1$**RQp0gau<?X(0PzJ)!vqrJcFbbbnY`wwf7_? z?;)uLogoPtMgol+g7kpKW#H-ebEwceB(<P(hCpgSbr1-n)I(1tC3!)Mexd0MbdDZQ zwJ#+l4UyD>&V|OQ_S0)A*j;g;u`AH|&^Xn8eJxdqWG?8OXq;+)zLuJcq;@~PIR5im z>L8L@&>5&W&1HBa^%O}h=qyW|YMI|iae_9eL&FSoHWN;@>~ExWkko?Clg6o*>y4B@ zl3LKY(m2)fy^$(HQVTj?8mC&pH&RoP)Pl}=#i>^Gjnob#wV?AlajKPgBXt`|?FoEw zA@fG+AClUW_|(e3kx~RrNJGOHbT1xgo)?zZLF0<ZY25%x?P+}Gs=bl&K~f7kCkv<F zwBAT%AgKkNNs3dg?i;BVB(>-8xxw&_)B+^6=kcjEc_Xz4N$mybop!Kr0F9X<hr=Z# zwHMLUg2qgd)xJSedkIY~Xv`E@EjwuP8X6au(bR&*Op(<pA*sEBrWQ12imcWaN$piM zwV*LmWVI1UYOkTG1&x^^t1U)S3p(En)MbZ-8EDKDS#2MZ+8b!*g2qgd)viEN3%Uml z*<8?=DYDu_NNPdnx+ALvjhP~=y@R9{bgnJ3TF{s&vf6J*YVV-A7c^#ytX2TDi5D7g zchS^>#!QjbY9Xlwo$-w92GE!(vRW4;wfE7?1&x^^tBpfa`v6TXXv`E@Z3U8A&{=b! z`CC}LfyPXc)z%}aeS|L$mA{dif}|F7HZ`)}K;x^(=FUb^`viLD9?T7(@l|BCpt=-P zE`ewm2Gy;gxe#de3lj#<xq_+}T<W1kBZ_)Z7R4ow%Y0nw2TB|iUT{xA*CK$zfY$B- z#R0m#psgRExn*>5(0o2Fcj6MqWe&B(aoG!UE4uqZ^ZOvbp^JmI6@W0hIB1;^wZw6` zbEu1x8jqkfjULXRG>A(a)OJT#51KNhwKy*K;PNl7c%*gy#pNDc;<R=TC@#_C3zX+b z%}3BFBDhhY`T|rRkt$BAy|h-3+%^Vz4&*OTy-BLSNL3G77YDKrrVnH<IpGOiq60Dt zj6vpr@*=ME53>)f7+SB9WACUr|Nm1n+(2s-VQ~VYLGcJ`N8$=+T<YUX@(UOeOH(rQ z1B&vK8Pao-au||xvf~ZGWO-&)ya7XIUS>&VVoqjNYCKpaM14F+m?0&pw4@|Iub3ev zH7UO|FF6%1nO|DMkZDvLpInl|;FOw?SeBVzl$gVilbTlImRZD*mRZD*TbWptoWW3( znVta_bId7EtSmM(wTL$~G-rVF%s@N~D9^~qJl@FE(vraw;wCebcq20d0|vj;lAzS| z#Ny)2^gL5jkeDfon3)ks%nU`$&<v!|5>=t01;|88G?f-$mFB40EzIJL%q%U?1kFK$ zmS}<&@kZuot~9i;1PL0Vs<bo!2^ygZ8iE9k(FBb^f+lE!#vnm7A6c4!1krqCX$lfV z^O2<)ND$3OmgXQqR38}`TEv@~n4sEjWM~NzG=;~FAt=lZ;ap1)*9gWn2L-8tF^p>h z3QPl315M51%}h*DL&($|B!(J5rXa_dqK1zt$StO*<{24)^qMkc<`tJ9l6O2PxtC{F zF_e^N#wX|Jl@#UY<fIlcgoJxKm*haxovA^*p`ihkV`yX=Z)j);;~5*r8yXtHd6w~p zh6rzgRHC}V&;aCfOH}6?8i3P{3Dgv0vv@;8ct9G1EH`6t@(hW03uXv*4T^X6@n*=$ z%u9`rH#9Yav&>;EGb1?56wb0>@CkDE@s4+Q^@E978p2sdU~^p@LmcD%p@K$6NP?a) zK@$r&%Mz4{L-R6A;tgRe22h61j8Drf0*Nye6eUAdn_EH|7O0uk(84(0$jkyYLJh(B z&BB-=IMUBK-pwr-s@KrW7|t>QMNn{vV~8t5h*PLryt7{jOwJOn%F>b{C^#fOAjsdD zA=udmtSH3O*VR8X1k48c*C{f@H9puQ$kiRH-^dK^MRNm~n7I*yb7)YIql-&WJd#oi zbB5qhC$KZX27(<7w$48^Bp@^--o@3~-^G=|&ov?>9-<5?0*O9TGg$PQnprSFQy(bk zKm{-)ErNK^V9hT`ElMoOEK3E8q444j8Bq98cbme}1l&)Su!t};fO*c)0G<vE;3>xd z9*71eP{RxjOc|U){Cq$}5QuOA5zZhYl)>4@+t9$k*CUFdsJJALp}3r(xZH@LxZIeb zxZH%HxZISXxZI4PxZIo}CpE<gL>Pkz6A)nvBFsR9IYV)B4nuKDB12+wd~R}1Hi%A4 zNscd0Nh|>irX(V9lAtPbia?AaNVYaLV1QOi@tF*{i52llIr+)i48^G>@wtf=3`MCh z7ED!25(CKe__UnFbcW*m(!7*-5Z?!h9RyKPl$w}Q$&i~_0am~OHrT|%+oK9xoaC35 z#6!a%IVU?F#5IBhNp5C^4@g@OLuMYN4)uYvf*3#w!4<0yf*HgB_LGYtlr~~0D9tHO zHL=L{sDcO;WfrGGSjl-M44HWar6oS8X(hplxdl0?5PlG-VucFimzJQafCwNfNzTtp z%S;b0XDCU{%cx>-4-R5*4+&;)4|in<2=Z}Za1U|~4)G6iWhjU*Er>74Eno=n@rn2G zclLH?2nh0bcZzrSaq?kscJ}f1hcMlogPovE7Z~jck#Y|XiqFg|0oeeiK{kLHFk8SJ zuMkJ~_z;+02+!FYVgiKc0yPi9^9@Fp1Lb|NlfaVBjsYQ|L9R#&f?R`LLy-8v9-eN< z{Llaw#}E_^p?)E*!N^L2U40CZO>p%wLggBxa!ru9p`fBX#Kj-k0GJrEDKIf)qhMlC z^Md@H<HJ2&LOd8k;4Dx;LIuIh;1I{45O6}x%!4%V@=HtL3?r~ierbs@j9~)FDh#09 zlbQk&WhgF5ECHph%#!f@q7-n$&@Cw(BI23{<~uUv7N;{5mq7DWCM4tJGo<9_r81-z z73CK}$dbx}R8W=cn37V&P?VbPl30>x$dHnnlUV7R2cbdfx){{11F11&fHRF4ic28M zAvB2Z3O2d8#06wcafxS2PAW(h$T)`Lk^mo{;?(5)yc7mcKhKc(Fjwag|Da$7&mb2D z&+s6KZ4AjEk3x$MP)1}(&MPqh5r!bb2t*iz2ona+Fou+*kj&gvP^lO139e&28C?D1 zLn7kAbP$Mk3y$|>@b!)NWN?Z10h2*s(kI@Z!6iN@-k-q<R3H08>t%n2U{G}w52HO9 zobyWJoj?T}h~Wb+;=nrG5_57OdV@e^09*{5W57I+IG7h`7{m~06vPl{9K;Z4=*AFe z<i-$a>;};d%6>lJHUXT)01DT5|I!k$ZZO9)55kW3iT8)Hf}kva*LX-K_IHi<0n3HM zM8aVrE}miWL7-aNIUejiNGeUq%wtFa^$;LsPJD4WLvkuZN>Vzg)GR11i8nyz7$S3w zkU7T491{kY_{=;87myhYDdm|dB^eBPC5Z*a3@Q2XrFo^rsVNL8MJbgqc0n;HQNnnj z*iTIX`yx3fJ1q%RDktY;$3yx+aG|8qG+2u<-T;&-z$%kqrX=TN=a-fkpz#gS_(o`a zV>G@As&S^MTr(tYT2gUIenCNM3X&M8eTyOnsq!*1(=$L0j?c_9MD+qFz_17zVX895 z6f!{)@(p&6_hbkTaf<h3a0DlIP~!JzaPjwZjrV784FaWlP~$Z?C^!U^-a)N0hCE2I zk`kPf$dCddgOhVW%;X$~wBVA&q7o35U%-%5S{w{6f>Mi$LMjVVgFs~ohyjvuD@sju z&MN`6AV5?}W^Sr~X$go~oRe6V8lIS0!jM>$<da`q0xp!CDoausa`F>Xz>MV5q9RZ^ z!%zfrMiIyX#idCQ+j0_1k~2V=fdR|{E6W6zG?}hNMGToB9hqPq8JTG%PMJ{iGfVtS zOBjmEK>~>>DMfx@Ba$*pobyZbKvi~0d{A)-gEOcGbqjJ0WN-_1_F;gwPZ>hM4c16! zAJ<@*SiCcY>lqIzt32buG0Wf?53c^9H9ZP1EHNiDg~2~QEi<PiwJ0?Oss>#EqAb`s zzMv>SIkmVrGcO(NzhLKhPzVKs{KXLL4DR!Tyq{WJ4B;k&0}am2ED0{n1<8PQA(;N) z)(1m5gD1KT{zw*p%9qR%nE4<c!gvr5VmeeuX)XhV1vT40-VMc%P%j0=gJL!{uLKg6 z!9nqPsTEL8j7@TWUU7+modN@tX=taw;O^%VZ^Qr%<9JV}P&X7#yi=%~J2=P@jspcb zoCnjAnTPNTNCBLOtN;{T5Xs=uT!chHDYPL669R=N)T5r@5QA`#r6G|7kp;6+BujG{ zpi&5Xz^MnK$_FEj#QTGM?vEK?s7VB(EZ8|dF(oA^wYW5=Bt8?=3Xac<HvlCBRAEEx z!baGIjj;=xU>7#UE^LNf*c`jC1$JRetipx{*oCqC(h$2Z4YB*u5W6o8vHQ{xyDtr~ z`_d4*FAcH#(g?dRjj;RD2)i$hu=~;ot1pePI>Z>OJB+b9!x*b8jIlbx7^@qMu?Dm; z)^Ik)8qCI6L)jRs-%POj%>=98OtAXR1gqanu=>petKUqp`ppEZ-%POj%>=98OtJdS z6szA%vHHyvtKUqq`pp!p-%PRk%@nKOOtJdS6szCNu=>pmtKZD9`ppch-^{T3%?zvG z%&_{+46EPFu=>pmtKZDA`pq1x-^{W4%^a)W%(42-9IM~VvHHy%tKZDA`pq1x-z>2D z%>t|6EU@~`0;}IFu=>pctKTfJ`pp8X-z>2D%>t|6EV25{606@VvHHyttKTfK`ppun z-z>5E%@V8MEV25{5{uvRKn)AjMg>U75L3tqQ^*)o$OKc!6kW(1tre3OZ;oM~IfixS z7`B;XSZ0Y~jwOaUmKf$(Vw!{DW=k`4Us#%Bh*)5VSb{`yOLI#6LF3GMDMk!2!9i#} zhiK#2_{?~4s!_lq9bc519&Km{QUgwJM5r+&LX8m-YK(EKF@?DYk6myzc<h3!!EF~f zc<_52t^~Jh;7V{i2Cf9RTg+kJHozG)a3#3i0#}0DEpR2q3Jk$P@t{$1SSJpYf{^&p z254?VmP1cA$Z|$_^udDy>Q7{SU^%4ZS`6yR2BoHF7MG+JMH|FILIy<?oQ84B7~+vK z#A%+P5pF4C+)^gErA%>4;grGc2;6SK?F8H|FvIBrbDT03IAttj!O5z)G#6ar6qn{k z8^g1rfdWH8ya8z3uOQwKM1fXAFfiz6<maXq>*pAm<Ygx3m*^KHmSpIcXBKDZmlWuh zWu)Zj=BB3Vhq~(KCFZ7r#6SZiMX9=}C8a5uiFqaZ#YM^b87VpX;E5T~+6cWe$_*_j z%FohwgsryFE6&W-FV4)3H`Gr8Es)T!011GWU{J#@kOwjg^x;F82o`NkhYbgUY$+`+ z0!M^?YF=?klA*q@tE+xQW=>{ag??FPSz=1Qk%5tko}qpin4=HnfhOPd%TkLnbMn){ z@lcwVS)7?$tPdKC0T~Mp#-fx=eXvSrpJIJe19KBoGXsbKKCM)Ck*}|_ud7kKqe~c> z?m{(y%B};ARFUZ}m{zK0Bk=Gc$U)RH6|zP{uPh^lL7$Sa17&njekm?Vi8nGZu+T3q zNz6-0EJ}eG4Nh+rNu`-NDaHDhmX?-!2JxPLA@K$#2Bz@_7A6Mzpdt6foSb6)#GIV? zf}F&XwEUvnVpzI{Xn`h90(Mc=8zrd~C7Jp3@C33=)bK)PYHBJhDpKNe^3yYu;|&eX zOhA6gVbG^Zj1aJsmfq4$%1@~r2)`j)ObrhfSLWpx6jv7OC+FuDWagxX<a=U?$;6_} zk_?b{X%v$ryMlgRFU<q3QB0+2$wE{dpgM#a0f5Lqxrrqipl(18D2{2LUD2(hk!O(e z3XKaOxQ~$Sq*kp7TdGUVni#s$7Zl%=H<LkCF{qkM%PfKzNZkm{E6q(U%1n+gE&=C4 z+NV!U3u)#d<n%)0G>YUaWLv3~N}+2=Aqjw5h9a%7gcuA7qvZUIl;V=av@}EglEi}i zl*&^5h~muLh~)g>#JrTG{0eY4B(<Uh+(iTRFVZrT^+Dap_=3db?8NlcV*T9Aiqw?& zoW#8J__7?(>L!Q<)N(n>>P}G3r6dVq>07z`xqwUshX-k0E2vH?y9YG;Os0ciTB+<J zpU@CvGF=4IN@W+h1P7Q{xEhk_C?wrfc9&0Rh!L5Nf@!6`i%iIO5m+nrU1UbSi@;i` z>>}UL2saBdodnlQ12>sd;3lwMD!a+e#o3iiC&9E**+tHdK|zt=L`p{SjnGVGSNVj7 zn35SzFs)Q}QJAwcnGS;JgzkR??X`np$Y?VI1L%wr5C)y61H$r*3=ANAhmnDym63s= zgo%McoQZ*fhnaz4D-#2QJ2M2&WoBRiVILL-hL6k)41%l-3_DmD81At$FjTWLFzjMu zU<hJkU|7M<z+lYIz_5&ifdO>a9OxW*eNG03crFG8&^;)ZxfmEgSe=`J0fcL~85lrV zmxqDjEH?uKGcN<fJRSyyN?r&)$IHL~!s>hs3?SUW$G`x>=KKr{5BV4vPVh4@6!J4L zL<ul3Fbgm+ycb|#m@2@)06ODRSCD~0Oo)MDzaRs{3LyrDKp_SOYheb4$3hGY=Y<&< z%7qyil0+C7xJ4KkzKJj}%oSl^=n`dMFcxKCkQQTLI4a5jJ|_YccA#(s(I5=kzX-yh zqbXqGpgVFw`)gr*bQ*NlJ?Okz(3xEzj4n?qKY@{f0dyvQ2O|Rm=w8~Bj0_B%(0B*A z5#78?j0_B*Gb&)>&lwpQ@VOVJj#@P6-UUz?fx-cFrr;a~1_sc%exUREK<Ds*&Z`5R zLkBuD4s;eA=!`ee*=?Y+(?DmUfzILrov{TvI}3Cs7U--i&>2>sv#CI5PJzyn0;Olr z*-xM|oj_+bfzDt8o%I4b!v%EC2<ZHfrwj}X&!G26gYM-3-M0a{#~yS)2Iwve69xtb z(A^WDJLp08#e?p30Nq;+x`zSee$f2}AU}Y@+=+pK0d#gh=uCdlS^J>#>p|zzgU*`= zog)uAA0Bkx7|7ka3=9k)J3#lGpxXlq8+5xscUpt)Xh63QbWbnn9s<z)0?2lQ&g2K3 zwU5mWptI*eXUc=lBm<q*1j_%Q^M^p^3W3fG0-gH;I)55;Ry62bT+n&9pmS_NXU>Ao zaRr^v3OaWcbe1XTj8f3qqo6ZIL1%@6&gul6!3jEB6LbzH=zL4iS(KnNCPDEGI_D7< z$DlJ5L1!2u$2EF9gYpLG{5jCMa-cKdK;Z|9dr-V1$2D>sgU-YPopl8|!wPgZ73j<< z(D_iHbDuzGG=a`Y0-b#XI(rCorV!|?AW)hBoxuY-TL*Nu4Jb{#WME);#lXPunt_4g z4FdziTLuP(cMJ>+9~c-IK<O3a7g(AFo!bcV3wnA5ogoN18xWLkLGA~gO$YM>C>?;( zD9F8_bEZIU1Dy*6I`0YOMwoj*XZV25<^j12-7W7K7#MytFfjaKU|{&mz`*bil-U^> z7#JBD7?>Fu7+4t@7}yvY7}yyZ7<d>N82A_&7z7v@7$g`O7$g}P7^I-(y*jA;U}Rv> zWMp8_Vq{>@W@KP6Vq{=2W@KP6Wn^G5V`N}3XJlZoU}RvhWMp7)0F^V03=B?;3=GbU z3=A%e3=FP}3=D3J3=Hm!3=E!(3=Ce33=BSu3=IB^3=9E`3=Bbx3=F}H3=E-+3=Cn6 z3=GkX3=A=h3=DCM3=D~k3=Bz(3=AoZ3=FA^3=CO}3=G+f3=Fx93=D;!dWeyMp`4L{ zp@xxxp_Y+>p`MX}p_!3^p^cG&p_7q;p^uS)p`Vd~VIm^~!&F8Fh8c_u46_*-7#1)x zFf3$bU|7t^z_6T=fngOR1H)QI28K<H3=EqY85p)OGB9jqWMJ6F$iT3jk%3_+BLl-; zMh1p`j0_A185tN3F)}b5W@KPE!pOjIoRNV6RIZ+3WMDYU$iQ%ck%8eNBLl-NMg|5@ zeR+?Of#E(Q1H)rR28Jh$3=A(A85mwNGBCViWMFvB$iVQPk%8d@BLl-nMh1q@j0_B4 z7#SG8GBPlHXJlab$;iO)i;;ohHzNbXUq%Lof1m>!m>3vXm>3wim>3winHU)OnHU%Z zm>3uYnHU&^m>3vDpmnnh69a=R69a=H69a=169a=X69a<^69a<=69a=L69a=569a=b z69a=j69a=869a<<69WV2Tn5lt9iVy(bWaTEZX3|uC!jh6bf**OP9@M?Nyud)sGNe8 zO|UW$R4#$aBv?6wTn2&4A6U7ET;71n8PJ(vptHU}=W>D0+X9`V1v<wHbly`20|Nu- zoNv(i-N@w+=zMHY83a1d8gx!I==^C|xdS?T7<8sEdiev(r;8XE7(nF=D1U<L4^X)R zIs+3{wt&u}gym0A`2wp$(903fnRKwS1Ub)w@++uJ0iBZuD_1~e39Np3&cML%f`Nen zTbTmN!=N(d69WUoX9fm_9}El(KN%PpK;ez8{sGlJuy6&jZ5S9BKy?GCJ_3cmCj$cm zsQd@jJFv1IRKA1GcLSZ<20Awl6xN`#z(8d^=<F_7xP!|5WsD3A$IuT{VPIfDK5GM% zJV8Yb40AvaFcE;#=<+aiAbJkyKz$H{fq?;bzw-$k`e5dOXk>k`J7OC+AO?ZtAuI?9 zYRiCFAR1Yp0jN>Lz`y{zLlRvd%#R=%S>Fq&z7J3uT_5b+9uSSJZvhv`6$}h3pftKZ zSTKWVWPK6P15Xm5G`c>}*)|}PK{T>H0nni+3=9ksP#RqyG?*DcG_t-EQ1us}G`c=$ zFf)K?WPJ^wLy;I57&@Rdx;|)df!m_U`YiZBu4iCyfYRvtpuxoeqLKB%?)3P9LmxD_ z7(g_#z7@~|Mm9icbo-#*W&qL1`Vv5gpD-{mWI$<jeNb;RfM{fW63}z!6`(Y_KB)5< zKs2(x3sChppftKZsPh>>G_t-9=(*+-pftKZsPh>>G_pPi=vmnwP#Rqy)EVHb5|H)% zfU0K@fy5)aKBzMoKs2(x4N&zvpftKZn6V%lSziIDv%<i@PywaU^+AmTI|o@`1L#mc zQ2K<@==z|>f%`(p`Z~lR{+a-#(e*)%V*t^}`aD2~0x~c#1VCwYeNf{VKs2&G4(Pd& z0#F)VAJjMo5RI%)0@UebU|>*y(&+l2#xa0sWPKW-Lw^|<7!05^x<06J3?Ld=pM?x0 zTpXY@x<06JU`5FKen8bT$bzL9(DlKjL9)pDHbB+yfYRvtpu!++49NNp<UvZF6Hppm zAE^BU)&y$HBh^P4P>BL4jjj*WX2PaV0V<&ZrP1{vp9_fW&Kpqm51=%<KG4~L*zB7C zHE;%$M%M?rvk{xVihM}8G(c%|eabLRXyIZ|0MTawrP1|)+L17=$o}(ydLRHwqw52; zJ+bLyfO>!fN~7xowO6s}+W}R707|3l1GRCn>8pTxya7t1>jSlmvFS5_dfWm^qw52; zrLpOgfO=d3N~7xowZF0HV}N>`14^Uo1GU+)>3acH{{c#)>jT|&icOya)Z-dZ8eJb~ z90Qv^4XDQrpftKZP`?73z6VhCFQ7EKKG66KHhnLk>GA`VM%M=#)4`^1K_MhvuYl6% z`aoke*z`pdLG&d+X>@&{aUE>>W<Vo$0hC792Ws<U(<e|2u}=a@qw53p6R_!PfF_6z zD2=WUG`59JUj{Tm6hLWoeW39$Z2AJA2_gbYqw53pAF=7X0ZkAOpftKZ(0CX&eGbrw z^?=go`at7#*z^h90@c7U83vU42-If)^>1Oa$YxqVFKBmw(jc`kbs!ql9|O@Kj9d=> zfU0LmhQuSfK2RSHqz8nN^=*Kv-vOo3^?~|*AUz<Atgj#iVowE>M%M@GD}wZZFtR>_ zRERzcD2=WU)Yk{;0byi)AE4@gKxuS+pfOUA9uP*>w;>H;-wr5^t`9V>3ep3@$oeLv zL-fsn(&+j?W3eDTAdIZfBLku@07|3l1C3*V^nft3K88$)J`O02t`9T@3(^C^$oh6b z)gOS;==wn8wjezqjI6I93u0ddlt$MF>gR*>fH1PY8LgleDFed-D2=WUG&T*=1H#Dq z0@@(@BA_(7KG1kJNDl}j>*HvL=o5g_==wlo5g<JvjI8efRQ(Akjjj(gegV=0!pQnG zvLWGO0Hx9OfySIbdO#Ri-vg-n7f>2qA84E$qz8nN_07nE*tY;mqw52W?}PM!FtWaY zT!_91D2=WUG&Td$1H#DqIH2uS0Vs{G4>axr(gVWC`YJjg@z4OJ(e;7m9zc3P7+Ie| zCq$nGlt$MF8b1Q*0byi)FQDo_KxuS+pz%JC9uP*>x1bAR-wG&=t`9UP0n!7)$oe9> zA^H-aG`c>}JO)S)2qWtg=z-{yfYRvtKyx1;Js^y%?*vr+1t^WK4>X<z(gVWC`Wkv6 z_H{sMbbX+)PmmrEM%HK12hryMrP1|)=4C*7Kp0uy2dMfVP#RqyXv`0!2ZWLJt>}l? zw*g9{>jRAwg7knevc7}~5Pca?8eJb~To<GVgpu`0OoZrDfYRvtKx4rmJs^y%Z^cdI zmJMW%5~K!%L1uu)GC^!~yn%o|(6}?Y9uR*A0ezscYLGf~e1L#H(D*jG9uOaWd=ND5 z2oi^3(47%5HgdS2_gB#Mf$on0=>xT0koBSWSJ3r=#)U!pKw*ol4}ClYT_0#H8Ke&+ zj;s&8-w%=pse@tA_%n!&K5l9OZ3sI+X>@&{F>8=M^l{S<Q1w5cG`c>}I5$Wi`nV~& zJ3;ONse@tAoCJ)G>_7DJ5OjT@@pO<r^msrYmqOPEy3-e=4?P~x$HCC`f#z&M`au3h zcIO94(1<7l!w)Ept`BtAFGwE<BkNls1<|(yN~7xo-TMpD1H#DqGNd8;3ZOK)zC4fy zC`Q(&AOq2-0j1IPf$jr_YC{?CxdB!G07|3l1Ff~drf-5Q#J(9&8eJdgo?vYHJmety z0-!XyKBOTf6n8SnL-cV#X>@&{`-8FBmjO*k1yCAYALuS&Z2Bso38?`}qw53RD~wHF z2Q(o~fYRvtKz9sd(>DQ{kY+$>bbX-vhOz03fF`5_D2=WUboVeeeLJAxcmPVH>jT|G zj7?vG0wf+PpftKZ(4EBC^l2zU^cg^DbbX+COKkc&xIiPYFc}60WPPAK4w~yk$Rb!1 z2<QXNk0MkfSThLd1Fh*ms7A0B5YPu&2ZB(IV67ma4>Wg+P>o=1AfOL4--}R<VC^8F z4>U)NP>o<6AfOL4uZ&QQV4WbK4>T8zP>o<+AfOMlo(7>B!MZ^}A7~8@LN$W*fPg;G zx*ddS1nUI>eW1IO5vma^^mYiSK1RqgFo5n+hVhZhdGvY{T_5O9WspAfdJ}y<09_ww zO%g~SC{H2V_W+t-UqESeeV}zrAbsfd<_slBeqR8k(e;7WK7sUsFtU9C$`E}KP#Rqy zXx#!x4+tac<4}R<6M)j_`ao-}KzcwJS>FMu`V&wZT_0$D1V|4EBkQYBh1k~srP1|) z)>MG>fH1N?12u>~3n-1Q53~*gqz8nN^(APdmV?OpKye0II|kAN!l1YZ(I6Z#8`6JB zfYPA$8%P|4LFz#3%|L8)95Dx~4@#r!1KsP4t_Q?7fL>y00j1IPf!4Nx)S+XJc@X;q zpftKZ(0Vv@Js|!AsQMRB8eJdg?q`rXbi89e#J&Si8eJb~?H#%v5P!k~h`tq28eJb~ zy&gy%I<8m<(Ki7~qw52$@k7@G;wLPE=&OLz==wnGbU^CRalm4Tz6>aht`D>Z4_yz4 z@2~`-&jU)M>jSOZ1F1vD3QHmSG@vxPKG51lbUk4HGKfA7D2=WUw5AoT4vlyKRsRA? zqw52$kwnu1=5Bx%SUaFJvObUk(0V5jjgDtP3#<iD8eJb~9V5CP5WfLhV0A!gbbX+; zk05pEI0IT>6+mfpeV{d2=z2i>0BC^~0j1IPfz}3t)S+VwXo2MbrP1|)?yE-E1L7+{ z3oH#Njjj*0ZVaRj9p8Yee*mS?^?}wdqw4|jCqN6j8BiKsA7~vcNF6%%fEIKCP#Rqy zXpJ<w9xxwT&~ZR%bbX+8s$g|!#15$X15g@WA82hWniep(09rs+KxuS+>%gioi3n%` znE<8H^?}yzVd`RFaDWz&9#9%xA85TFHhmh<0@4FYqw52$5yYl10$M;;KxuS+pml{< z^+5~B0w|5H546r1o4x>O0hs}%(e;7WFJjZ@0WBZ{pftKZ(3(eV`oN3v85kHipamtm zzHL}FF)-|asy_gw(e;7WK4a5b04-Q6pftKZ(3(ta`ZS;gs{xco*9Tf-jZI&I32Hk8 zSs$n!0$N{=P2Y~?kaGS4lm@M%gQ)|xLqK~GV0>hH!3v1J9Z(uwA87vqvU(VM#!863 z1yCAYA85}5Of529unMBD0!pLn1FfA$Ru5wrtcL1?(&+j?>tbPQk!g=L5Pbnq8eJb~ zKL)aT7+U~Z!AU@AWPKntp!M+}8XXHjD>w-#jjj*0?*m;Ah%W%G;3S|lx<1f80+2d% z`~#|<0b0?a>jUjCLDvJ~KY*%#0j1IPf%c?;)S=@O(2DT}lt$MFx+5H24~TyQS~0$W z(&+j?dksM9(D4CB)ck;~50oE3>!8u~fcQrU=mYJ+0I5UAKYl{ei@+}k4O&+UQ-d!b zJ%FnJ0j1IP;mSuhenaee0Hx9O;mSuF{y_BYfYRvtaOI;7f1&!IG`c=q`KaR`MBfA` zjjj(@K3c#C=}E1C(#ZNi;fgCCEntG`gVN~waOI-~%usz$8eJc*eAK}L(Ki7~qwB+! zj|x~J`YNC_x;|X_D1r^5F9S-W>%*0gGT0&dDxfsFK3w_8fE#&*83TB|G${N*7+*dz zA)pUeK0=>Af-ai^SqH|T{ZC*98i77;gRT#>X9}bRlovrX45QB<q3Z+fj{vC!`4vRN zF#7xvx<1gJ3XodR_zQ@JVf1+!bbX*bT_Cl{<EbD%`uq{PKG5C`kUB^#gIExZK7WL+ z541-Mq!PKk0OGHJPE&4x(&+j?`?^5t&~XNIAyomCM%M@03x=)-#Mgi>h%$iE==wnW zQ9$a@@eAmJs1HyYT_0!<3%VW<e*tu%(F!Pyt`D^D1*8rgM?e=EB|vF(eb>-6K=}gD zg+>xk8eJb~j}25Q3Uvai{sNRn*9Y3~hoS+>X@D+J>VVSd`apXGp-NFG3+MtR2PloM z547JDMFW)c0jmB7lt$MF+K&iTibAb`E^OKWrP1}>K~at1BtRE7Wk6|keW1OD2-OId z1ax7O0+dGA2ioI=P>o<+fU3U%rP1|)_B0|?BUl#D1xgN38eJb~A0$FGg0%p;(C7e^ zM%M@0JBd(@U}ZoT@N__FbbXHyN>NxA&;>jWP#Rs|V-(d0&IhRaA5a=yA81c9LN$W5 z0=n>L1C&PB2ij+bP>o<EKo|aGKxuS+pna|g)d<!B=sJxPP#U^i6|4YMCxG^|fjMZz zj7gC8%K|8kt`D>a4owS~TQC`-uL4S=>jUkZ11m%$Jf=YO1wd(ZeW3ljXj;Hrg{csI z8c-TtA84N~SRpzw4Wf?&N~7xo?Gr}V0^&b_s(%5c(e;7$7K7BG;{($n_ML#z==wnW zk<s;l_y=Y{^+9QLeV{#uAa&??#!QI51yCAYA84N<x*ia}VirVS1C&PB_YtHB3l@Mb z1dxEz$ofFaL3<)WG&=qPRnGuj5P+@^w2u;94~YK&s{RF(M%VWRqzDT>0bM|F0ZOCm z`-(*mNN59e0l^L^jjrz-ND&r11G<1<0hC79_Z^EKkWc`00YL<mM%M@0TMAN#jxC@I z2ppg^x<1f;RCGNcz5;Xsfd-UD*Y^vg2n*(bE+7zq(&+j?d)l#RWB347{{u>+>jUkB z$ENQFbm74RD2=WUw09nxz5~#O2PdF3x<2rJdn_7PKo=ftfYRvt{$tSt5}E*AcrXJ> zqw7Ois1DKq#TC$n2MtgfT_5N?0H{(FDgnCiAOlLH>tg~H_9!|a91rNig8(Rvt`Btf z07NA!X#ib#U;(Ak^|9cvPXfB|Kmkgl>jRy0fNB$z2VHo;0j1IPfzC#Nszjk)K-GVM z(&+j?=P96QfO0NC7cAU>(&+j)aQJTrbiu*_D2=X<6NkP9&;<)CpftKZE*$zgpbHiz zKxuS++&J_VKo=}jKxuS+pfe_*L4iU=Ko=||KxuS+yg2N0fG$|@fYRvtK<8PYSOn#0 zKo=|+KxuS+{5b3rfG${&fYRvtKxbz_tw5oEK-Duq7cQXd6U1TP1E~5JP#RsI5DtAO zpbH%?KxuS+!Z`FbNT81MA?pM6*+p>ZYayUd6o<YJ0{X;o=<6Y%PaKE72?X?k&OJei zI4B2wyd2t10?UG|0-cot=AaSi<K^i3K<BHVX#sQ5$9IwSffPuCECn&pF#0$<vObU& z84!V{2gF4m7e>|xl9$DyZw7Q?Z~>G?*C&TVUjuYvumeh?>jRxJ2C^3&cR(iwCqQX* zeF`}2D}YW6RzPWVeTq2rML;J86QDG@J|!Ib9H0|}9#9%xpE3@88qkSB11OEIPX&iQ z0qDe_1e8YCr;0=03AFKfXm=bO?4bOvhC`nP+IS?f`q0PAq1||}eIToF<s<a*a&&#T z@)7#@F0ww5rMU7D`ZznXK9D<c<s<ZQVPt(E`*7tW4PMAdqXCpg*M}<~3GhMmNkD0I zeYo<G1V2Qd0+dGAhbtd32tf34KxuS+xbo2psQM338eJc*d~^YHa3BK%!wo2nt`Ao} z+93pS=K&~<t`Ao}S|AM3w*pF|>mxQFA@7GHB_9#154}Eu?aKhAF;Myho!13oqhs{? z2wk5BNHe-71_lQ7dIen{=v*)?+8EHwd1QSc^`NuFKr}i=FXz$qfzBU8*8}3Cm-FcQ zba40&y_`qar;9@$dO44-PY;Ja^l~0upFR$K=;b`RJ_8*3(CcY*eTF#n{eadd4A2E6 z==wlsz=6UY9Y27oe*vY@^?}ZfL)Qc1pMWknc>$$i`-VVrAZ&ueoim^dP8L9EbbX*R z>OlI@aR79|Nd%Ne*9SV+4qXq3udx;~o@D@~k@bO`2s#T7qz)Z(Ko_bAKxt%sAhn?L z^FTB@J^)pJ0!pLn1D&~tt_Q?luo2?U6;K*kAIP0nAcBE`0aEILbU<(gbYV;blt$JE zQeh3%2Vx`R0~;Xroq*EF`aoK2Km-Ho{sxeK1L%Sp3n-1O4<v63)dylD<AzNT`#PXB zvObU&I}pLZz<@p<_X29q2PloK4`jYQR3C_qg4aXr<ABo0`aoJh=N^L8gUWmm4Z{nd z3x8HXX=HsMHI5+7AO;$C*aET714<+718D)BuL#nDKK~p6UFeVirIGc4)Pv4s1kvdD z0n}eFpfs{RkbR(Y96@@}=V1k)3nV0<G_pRBdeGUAAQ~MfY=-zR14<+71K9^UFA}5& zdA$jUe*$XH1t^WI4<rvdV-iH8V~%wY`vjmgvObV~9w34N^}Gj=84b{d934;^SszFq zbe<)MM#mP=g&Yo08eJdg3`}%AAilyjh&wf)G_pRB6TLwM0|WT{Gmv^1{s6V-2b4zE z2T}t%ZxckLV}-3y`=B(kK9E(uAc6sMW-zke70`th8=y3@K9D*;s6G%I87Dv&R%AeF zbbX*RL6OzN*b>l%6$(%qT_5NiQJ7j}`T|t_4JeJSFA#@2JD>}jCO~O)eV{W-k?n!8 z9iR&`JfJkXKF~R*Fty0^52$(u=)w$ieIYp9xdEzv2b4zF2Rc&~*&Y}>1G<o-07|3l z1D(SPQ;SS1Ko@doKxuS+;W*rR1FHT3lt$MVfkWQ}=mL-#P#RrdBo2KZ&;=j?P#Rrd z6b^k1&;=kIP#Rqy=xkc#@PM&*K-C|B(&+j?=h?#4BGU!Xg(DSE8eLy34tHum7mgS} zX>@&{vvZN{fw3Pz)xUt!==$Pu*f#^Z;A8=mM%M>AXB=h+G93V2a1sHf(e;7O_eE9@ zV{<?koCrW^bbX*RfnjQq=>t&pC!jRCzGNK!tAH+4X@Jt``atIoBijRG8$cJTSU_oX zeV{XoVQP`-7f|&dpftL^G#u_+0A1j+0!pLnOUI!v0=mE@0ZOCm%fO*e0J^|M0!pLn z%fzAY1XTS6D2=WUbdED}c)-{V(1kG_P#Rqy=<H{hT4dS+x-iB8N~7z`!QsviQ1w5c zG`c>}In&7Yz}PFG3u-n%X>@&gIP6P+E~v?X(&+l~ap;qPE~rs}(&+jMaOk@LReu9Y zqw52mp$+pBGTi}Ph%*67qw6ceVV?tZA&v)>M%P!2L*EamdIso%9CUppIP`6Rs^0;n z(e;($(3b&Sz*7LF(e;(#(5C=hz@q`B(e;7OB1aBK82biP{R1eCuCD@zeG{Mye`Y{w zbbXaL^m#xR{scg2bbVDg^nouu0PXL9E&xK;SB*p84ygJAP#Rqy=zMlqSRm5{&;>&k zP#Rqy=uCHH^)R*ubit4Tlt$NAhr^u@pz2>hX>@&{^W$N5Ak#CT3yl^)X>@%JIP436 zE;NdO(&+jcap>cC4Y6GSN~7y*!lCZ~RQ(Akjjj)LHa^5;RI&oPK&b&rqw8zIVV?nX zfszH3M%M?rD+bjj2=4_{{Rb$Gt`Bs6KSU)exd6JbX$6!<*Vm52oe|K5O$ksMT_5Ov z6jYlaJOSv!CJ88wt`Bs_07NA!c>=2b0+dGA*M-BK4bTNq9Z(uwUpEeY7SIJz4p16h zUk?s_AE4@gKxuS+y*Tu(_zdyy1}KfL4|MMWL<K6D09{Cx0j1IPf$nNR)dAs2Ko?Re zKxuS+6L7fm0#yACD2=WUbT0(N22`>Gx&Uhelt$M#35R_S&;?i?P#Rs|WE}c_K-Gf} z`Db8YK-V_~hrSKag<CtIG`c>}ofoJ+gYYt-3%3fOG`hZNIP6n^F5J?9(&+l8<Ir~l zs{R3#M%M?rmjmK5RB{4z!Pg8ZjjnGd4*NWy3%&xNG`hZ7IP`%pO99n?(1l;<`apMw zpt=yk+W}R707|3ln}fr?0_Z}q3Mh@PZ!Qjf8qkGe22dJZ-#i@p9zfN<fYRvt=Ht*e z1G>O$0hC792f9xM5)!Cn0Ca&_1e8YC2fBj=RR@H}0bO7w0Hx9OEyCf>15ouRpftKZ z(0wlu8&Js#=)$xHD2=Xf2@d-VpbOJ1pftL^r8xAxfU5rhrP1|)?%F_gA%wR8x}a?Z zlt$MFy2}Ql5|xaAE@(@D(&+kD;Bcn^bU~X0lt$OL5{JGMQ1us}G`c>}eLJWwgzy@m z3*kDTG`hakIP9~4E`)P{(&+lu;L!I0s{RL*M%M?r6A0omRB{D$0o?{DjjnGU4*L?I z3+OVSG`ha^IP^(C7tkp{X>@%XaOk@LReu9YqwCv<Lth7U;oSr%jjj)LPZDZ~KzI(& zg?AoM8eJdgZY79HRPqN@Jp*(B9=blzeN3o2AiNDw^*f+6x<1eyP7sx-WCnD>UICOw z*9W@y2~`J#rvP2BrvatW^?~k+f~Z6#Z$Q;QfYRvtcHoGI3DAXpGoUoOKG3~Xs5U`( z9?*q;0Z<xU-!2^XF+dmkaX@KweY<h!+W}R707|3l+k-=20d#?21(ZhD2fA|$;v-a2 z1G+%a07|3l1KrbwssqA%09F42N~7!BkHeiapbHBZKxuS+2XN>MfG#YIfYRvtKzE5j zT!u<=Ko>*_KxuS+p!>&AbwGFrpz2RRX>@&{JIf#{QOOGELaGKRjjj)L&l#!?2+shz zkjer|qw710BU~(?3#lBSG`hZHIP^I{7gBjZX>@&{yVxKuLnS?+3#kI2G`hYMIP436 zE~JWp(&+j?_r9Up1mST&7g7m8X>@(3aM*VMs{RC&M%Q;5hrSBv0;~oojjj)LHyy-f zsAL0l0agc;M%M?r&kj`wgx3LGfHeV1qw70|!<`eL3$SKDX>@((ap;=?U4XR!N~7xo z-MI&G87jE|x{z@Nlt$MFx~C6S2ZXl*x{zuElt$MFx+fK)5|!KlT}ZV9N~7z$jKhCB zpbM!EKxuS+S8(Xt09_EZ14^UoyNW|!26RDG0hC79cMXR=1?Yk(4JeJS?>Y{BH=ybt zKxuS+H*n~i09{Bm14^UoyNN@e2XrA-0F*}82fCXPHRhl^=t3$ED2=Y~HV*rCKo=Sv zfYRvtK=(jGZ9t(4pbKg$pftL^yEyFAfG!*{fYRvtKzB}}SOn!ffG(_f0j1IPf$ph< zDn+4YKo<}!fYRvt9^i0i0Cb`}0!pLndx%3H2XsPM07|3ldxS&Z0qBJ32`G)O4|E47 zipQXw3h2aO1C&PB2fDWtsuYDXfKGr}KxuS+PjR^O1$2Vw1C&PB_Y8-=1<;9*6;K*o zALzbj6bC{%5zqnu1SpNJ4|FFfR4EE203FztfYRvtK=+uUXn=A~KnH#=KxuS+pu10@ zN>Qi==m6&gD2=WUbYCip1}LWiI`Gs1rP1}h!4aMo(19lhD2=Y~Ee?Gjpz0Z*15oJt z-r>-Pz78IC&N;{%AT7}92E;|d=<DFo^+Br}6g?mg`g(SBebDL#q!b0CuP;Z|2U3V@ z{Vw|Ya&&#rY6@foDu%8PhpjJ1*9WbpQ1yWBPKD}&tuIH{2d!=}^r5dWN7o0fZZPzr zuP;Z}2d!=}^r5dWN7o0fZZPzr?+-xNhim<AhX`b4=L9H?t`FDx-2zdFz6vOft`FDx z-3T$LJ}3=4hacu4T<do=#3A|&pftKZXmtuR22MYKs(%5c(e>e4znk$Nvfix#N+at7 z<v(2OcV|dI+_?ZsBkKb>3fKDG07;0x2q=xN57+wL2h5Ors9r#6WPKoa!q)GC>_)~M zQV{zDpfs{RkUD5H1H?qdP<uF_G_pRBeX#YrsG1lU4nXZW0i}`kfz;w!zk7fM;=dD6 z8d)F6KG^zQkQvChLK@<~1}KfJ52Oy)`dtS`h<zSV8d)F6KG^zQkQvC>Kn7x;1(ZhC z2T})Zeu0=MxPc8~Uk8*%)(5f=wtg2y6R13c+VcTQBkKdH#kGD{feB)t29!qD2eJ>g zeivj0GF~7H@!tw4jjRu(4%hnK1*{PJRzPWFeIWaw%}bCGC^$k6VqXH3M%D*Xh->|> z2l!@G28IAAjjRu3A8h?D$P8pGAP=!m0!kz61F6Hce)j}a{RJqEt`FDx-2@IuxMV<S zWPKoa!q)GC>_)~73J`a8Kxt%sAa&4YH;9RX6WF2lL1|=tAp2nJcTqHf?zvWj*yjMH zk@bPp;#$A^0jmB7lt$NwYyIvDb%=c%pftKZT<doeG$8sipftKZT<dowG$HyFpftKZ zXm<qURTO*ys{RI)M%RaH{ceXA#J&ko8eJc*^}7z*5Pcp{8eJc*^}9cy>KSw(`qA~_ zTEDvis(uHQM%RaH{ceUX#GV2ujjj*cO+yJw5Jy1|qE7=#qwB-9e)k4c{R1eCt`FDx z-3j^-`({9CbbYwi?|K+O^aVg^bbYwi?=l!d^l?CGbbZinC@3gU@D8Z@15g@WAFlPg z1x672DxfsFK3wZ}HH;zp44^c+K3wZ}A3)W=fYRvtaIN2+VFIyl0hC79him<AfGI>@ z1e8YC2koAtge8c>VFuAB0Hx9O;ab0Y0IL23lt$NwYyED8ImEsOD2=WU*ZN%p3y3}o zD2=WU*ZSQTQ1u_6G`c=q>vtDeLhM@srP1|4hb=%sh=L=mAo>!ZG`c=q>vsjLA^Ies zG`c=q>vvB;)n9<p==yN2-)*pg*w+E2(e>e4ziVL&(dPiA(e*)xWl+Kd#Q6YK{{u>+ z>%+BvcZD6qz70?sT_3LXy9xFXeHl<1T_3LXyAlo%eF{(-T_3LXyBDD9Z$N2ueYn=| zb~r-pn*gQJ^+AWLKtYIt9h@NgJfJkXK3wZ}e?ZkUI79TK>%+BvcLP-Y4k(ST57+wL z3>Sz!1yCAYAFlPg3a$`+8c-TtAFlPgH=ybtKxuS+&|y53umo`?xIyfj0j1IP;ab1z z;SSLk0Hx9O;ab1T-~rLc0j1IP;ab1D1FHT2lt$NwYyED4C&a!AD2=WU*ZN%zFNi(^ zD2=WUI=l%ALKOS}s{RF(M%RaH{q78Jh<yv7G`c=q>vscuAo?PpG`c=q>vuVPA^HTM zG`c=q>vs=8)t`XU==z|;w<uu(;#BxS>}!D1==yN2-!<@u=(B**==yN2-+cj9{{c#) z>%+BvcR>Kez7<d!T_3LXyAgp9eF;z+T_3LXy8=NFeG*U_T_1E<8x({n_ykn_1t^WK z57+wLhG2+&9Z(uwAFlPg79kLQ4p16hAFlPgAE4@gKxuS+xYqBk2!+_U0ZOCm!?k`l zAq=7~14^Uo!?k`_A{?Sm0ZOCm!?k|*0#yACD2=WU*ZSR#2#9?XpftKZT<dooA|d)b zpftKZT<dp#K-DuuLG+{R!?k{Q162JED2=WUI(>qYHbI<>Xox)pP#RqyY&ry_78xtV zK=f%qX>@(i=@VovVD=5D`Ug-NT_3LXyAxs|_RWCO==yN2-}Q)t=nH_-==yN2-(`r0 z=;MIW==yN2-`xRKe*j9O>%+Bvw;%yxUj>v#*N1EUu0|q6p8=Fc*N1EU?gOa$7f>2q zAFlPgGm;?oEr8PK`f#n^4M>LQi-6MT`f#n^<w$|(6M)j_`f#n^JpfgI0!pLn!?k|5 zA{Am^1C&PBhim<=K^jD#1(ZhDhim=r3#j@JP#RqyuJyYM(joS(fYRvtaIN2s$bjfe zfYRvtaIN1J$b{&VfYRvtaIN1x0abqiN~7z;wSKoD3u0dflt$NwYyGZ8HbkETlt$Nw zYyIvAsQMpJ8eJc*^}8!_Aogv5(&+kdt=~<^h3LzG(&+kdt>2Z%gXmL$(&+kdt>3)> zReu9YqwB-9ezzkZV&4QPjjj*Z`dx<th&~S}jjj*Z`rRK;^$dj&{pk8|t>4`MRlfsD zqwB-9emA2CVow2-M%RaH{jNeWM4twfM%RaH{q7B@`Ug-NT_3LXyAw(v_RWCO==yN2 z-}NYk=nH_-==yN2-(@I+=;MIW==yN2-`xRKe*j9O>%+Bvx1bziUj>v#*N1EUu0{n! zp8=Fc*N1EU?gOa$7f>2qAFlPgGb$nWEr8PK`f#n^4XA?Xi-6MT`f#n^<*0_}6M)j_ z`f#n^JpfgI0!pLn!?k|*L=D8g3s4$eAFlPg7iuB;Za`^teYn=|-l&7<djO@;_2F8- z`=B18?*)`b*N1EU?u-VAz6DSkT_3LXy8(?5eGyO^T_3LXyBtjreF9J#T_3LXy8_J+ zeG*U_T_3LXyAmxBeF{(-T_3LXy9%ukeHu_2T_3LXyBci}eFjh(T_3LXy9VtLeHKs} zT_3LXyA~Y~eGX6>T_3LXyAGWYeI8I6T_3LXyB=K-eF0D!T_3LXyAC}NeI8I6T_3LX zyFZ}n8G0f5(e>e4zq<jdeg~9B*N1EUZbl!(o&qS1t`FDxU4?##J`E_1t`FDx-5XH# z51=%<K3wZ}Crp6YHv>we>%+Bv*JBz)UjURw*N1EUF2fv%J`O02t`FDx-5m=d`VK&8 zbbYwi?-neF=&OLz==yN2-_=+P(Psdq(e>e4zx!YlMBfW2jjj*Z`rR2jAo><SX>@(K z*6#-FgXoKZ(&+kdt>5K10?{V`rP1}_TEBbXBt+i{D2=WU*ZSRx^ALRvP#RqyuJyYH zS0VZ=pftKZT<dpV+=A%)0Hx9O;ab1D-~mM63Mh@P57+wLh-VOe2~ZkcAFlPg0&gJt w6reP^K3wZ}1%5#ENkD0IeYn=|o`9;q0Hx9O;ab1j@DpO+1SpNJ57+u#07UH$AOHXW literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..8a6b837f3b6186a465f97c95845df50e3694c75a GIT binary patch literal 177487 zcmZQT180tzfkh0Ubcdcfm_JI4hQMeDjE2By2+$@3=KTNvAB171tHT&jT0otFft>+@ zzx@CIA1XZPsVjz%`r{)v#HkgGZ|Ck_ui`FxtX%)~Q89@#hc_Iv(c@^YSkKJFl+wb% z5$@u_=dekaanl4=7CwjF0uvQxGIR<EaSJNAG&!p<amYp~eVot0$k=@$=>FS(XXm_n z=^pCq`~AzAm!bEApNCuxe_m6%YFBk!_1mah9~anW^y+o`3jOX4*q5i}^mDD-T%D%H zZDQ%0l9x9g4HVtAB)9AC-?DwS{%=looL(awSsN?%Ry}fytl<6rV>1ob?Yz&+yn#i2 z(hZf3-($+><a=Lnk(A490~xvd^WHQ6&OQ@YakaK>PJQM(cav3_z`=t(AUW&GLo*zM zwwz@@{a{6K*l|83iy0SHH+m+O@1L7Lo8SBC$-lGBte2mzug|~JR^#{W|F5rWQrZ6P zdu{x!YBlHghXr-pOrL)Gc<gbs&eRX(Z)<9-__BkP^Q0E3f1a>Ywjo|yzwUFLO}@&H z;D493my`y^t*(zhe#hp|H(i^|lvV$GVqewk{!4x@?YBj5|DCWWpFUm^Hhi@5!^fje zcJFE*nEd<^q$t1MrZT4H$+Pcs`Q@hn-)MeV>h%P%7a)JWw)?rTAn)7C+`zMH`~`MG zQLl7t4=l9brDEp!=Fa_K@jvP;K8uB9Oi#VK;keaemDBVsz8lxyeD62U!`H6kKgTDR z>3g3r@4tHR^OG%~f7hyMf4k`~XH#)Z!Sv{z*XLi$cPpN7_Ka?xTiYrV`>Ia3{)1qw z&}@}&vipsvOImczzVX)Ld;YV(n`@7sivM2`(=9(~8{6(jSCm=ork(45VC@{kJ6$}r z%Isd<DYwmY&5x#LFL@o2J!iXJ;^IiVb2nz!`0iZBeK-8}y7p83*7JL6vo|gHnVr2s z-pc;X4As<%-%)Alj}}XV{qn&f_J;TkDT7|Gf-5VGk7Vr6TY5Beqru!c_mw0jdH>ud zG3~VT+V%EjaT<4g{L(LNoE$#ef;-+^xF)@0bpgNV^Y!-0H}9+yhB@JTh2kFGRh`Rz zT8lk*jgI`i?_ghKP@PW6rP619p3mpm9lG?hciX#wpWi+_e|*qCRc>DQVXbR3+QqA$ zDYAc=s()l{aK*Lgv^}|Dv)-R);hB3^`R}>yFMpoBZRphX|C?0ctNlke$Ze2Yle?zo z^~)V*N9*6N{T*k2Ptu^ItUu=8eT@(Ii^6CB&$Ds1vI*`7CBfZKW4;L-US+4h=j8O< zR~kJhn}58W<6HJBlYuW39M9ePvAe&0jehZwLu#p{`;Gjam)6Br?^BL0Ej(CzbYI^A z<?qbp#*8z?cP;czntbG3RpK25&0Ei!Qwy%FT)+5L?%kbd<|kd-`ajO1nD56DesGZ5 z)$?j^y)OUxyRmnb)6B|+nqbl5GxEt9cb<P$mpS1U{V%`#-v|Dkd)j;1vp-Fq^V_{Z zR&L_)BkA``m9N_yL~OS|%aUMwiC30y_c!T-3pSqFA(CmOb#S}HVp%q=gA1i>55HgZ z`?2ze>a{hy@2g}tsm#ypnm6(OIrAr~9zT|9?)uED+}k34pFP=b+9~1Q)z!vg*555p zRD7Fu`H8a3U*jns`FGAq|9E2B=^bkR$#yDVZT>Xxc{lsH%C1gpzMM%n@>({<PF~o< zz3pd$q)d0B^y+&>hxaJv-c~*Rd|tZ!8I}2!%OQzp>*t=D?2qqn8G4`k;Td1znKS8z zsQ>fQn0r>6K$)JyRxWXacRs5{$RbeS>Fxi@a9D|d(}{e+b(_B&kpHz9BzkYMefm$C zGM>tQ{#o+_rp>A`n4h2!{o78n^eOWXixyBMR{O`j744T*UfyH>#c}!(wa&YL&-vJR zFM23wyhrb7`Mckj_3t12?6&OJ`rk6L5C6XWZnE^_{<FV3uA5gLue$rT^#8N^!{){< z=flPJ%b%*xlaAYF6e_^~_M-8#=YqeMd3<Pp?Cp4SoqtsG?1$f@)@Nsb-TmTwT%Usf zwORM;YEz3nCcKe1aXo*-|DNA{5zc>tPqshzJk_!_cZT_{1?f*tMty&O^-XitUWes< z6YjYFT)VvSN9Tfr-haL0F38pD_)n2gabJ1AYQyE5wngu&<EzZvJU+&|IG6n}?cdkm zer$o`<<C?3KIpmyPyQ}0Qw2_C_deGg7r6mSWPJzTZ;h)D+r0jUC12{wlgBSrHZ4B* za(kfP#2;(7MKYEj(Cu4wK{Yq{jqU5AwLj;&N`G7(9Omx5=%Kjr4p1rf{li>S8;f`4 zhxKYatnNp%JvjRL$mf*VqPv$CpPwA-Ce?kd*KYlXR{_n8)-^%kDx&)Q*Mzm-GeiGA z`(5dA`fkL}t?!R){a|x!|FfCh1uwtfG1+;M<#?V*_9tI;`}A4AYkvMJf4ngG$A;@Y zL3TQBZw}T;y`D6G>glJC&%QtUcs`fBeBa?&S2||5fiv4?|3L9)j=>Xa8W!`_%0@mv z5%S>vUWx4~GM>@Rv4t77Gr#lxzOylQv602r>%ZBye~sS7cI@BO57rB1-8X-`t<k~c zI_IiI$MIw8F>h=O)AsspS>IoL^yjVJ)}Jd2->N*n{P>Q!^5tLpGq%so)jWIu*4i8P zZQrKOvzc@CeeulsJ0H~)f3K>TbiMQT!|xlcJFD~x%MPvEn|!3A`03m8hj*9mV!tb6 zzWeg-m6E!DQnr-sC^~4-{VqRDB0cb4+`nx(k>^e4+g?9!)ZQLkyd~|RMfayNlNl3F z?R>O{Xa2O_W4liLzIVif!!z{a4Nu!&(!O`Ue?1bp#qPKJ{B3#?>DRs|EK`=uHw>R+ z`#a#`uP5J^99w*A?N^I(OUcbk*7(PD?>@A%BftOHhTOZZEJ@kNPII4o?)lEy_VwqO zcf#jV?QG9|)Lpvb+V_Pvx0bEbw*7r!=aaWP-)w&_lz#DV?!ByA+va7<zp9j7qjBz% z$_(vu^J-U|czWpe?H`i$)@N@nUHSLhCt2(D*<xqYw<YJc?|imd{ao?Rbro}#p0vD_ zzi!Xkt^B<$Mo~`-`z~z`w%mIE+nMKUUKbyKc<n(QzhSY&?$5OnaqR);JKj&;Qy*P* zKjwo~#iY+Gzkk<zo3wYwulyZrQzw2BxH)k>`-hyY`d1HMYrK<w7A4*_|9<hVMgNmN z2Yz5abIfGPaoe*OBf3}C#8>T?|8)1ST+%axZ^2J@UwggcV!Dv=vV?PgwWVG!dQ&`E za-pkl`?}{7{R8`LQnl=-|C70V>7)MM{`02i<<jr%(#*BJUXykAlXPVGzH@u>51zm9 ztG8zHM>(TZ`R-#e9sMf>z6LD$JkiVF{ef+Wr2e0O;ZvFa{|jeb`_OO3|CK%8-=C_O zzxvGm<ywzzLnYrYp8C-)MDl&-sfzyaW7XlQd)&1j|II%C)o^S5@4qMKv4p=`x8>r` zFY|sr{(RTqL1nC_p?bozUGKmBoHL`t>#E)SN*BMk+v_Wfp8vk*+@Dv;tiEktwq5n3 zJD<I#NhI7}W8(L$|KbHutLJ(7WDY%#E^wh*x+y(-zx55njZ8JOz@=cEwVbt^pJe@u zW>A6moAc+~uRjwXsh8`RTc#F31grV)Xa9|TxMpqBkN$@DYhJhgzH8v1ceq_;TVCDk zQlGffJfG)&t$nS#?^=(n;(8vwy<l74M^5*;`h<Co@`?9bf9<{YH^ub-`YG2`P710V zT_U5te{0nv(J5DIFLTK7fOBYetlZ(cq}t7?6IUFm-S(y1LriDN!QWx2d!B1O{=35H z-s!0y>tZC|$EEIx4>|TbHFZyX=&|apM)%%pKmNPW=-zy-$A7mP-Meq}@kam6br1*a zoFDc2^UUA5>J^L5{JG2nYUh-lpL|Bz5K@3!-8Z=8QE~Ba=(XN_p3Ntt&pd#L6z)s5 zv+=j_*I`~GobzIyI!M*WzbelTe?Id0h|vM7gKh6BnZVVYf70MpShue7Q~ma|Epy6{ z8vgz{p^>u3Z%eWTtZr7$3YD3k>>e1n`XGz2TUN?NR&Ft!T?q%9Bz&xHv3BZ2Y)ClR z<SMQcF(HCC%ia2vhMMV14Ydr3W=^#k27;4kC9Lu6U9sZCg2zAi{<iya$2<PM?fbgl z_jVrMdGhu9f9Gt!7w_AD-}?FYbJc&%4L1DxSoK<>Wcu5SZyDQve>{6BZQ3{9cX#A3 z&&~hzyVR=vv9Z<N&9_P|Vh)>o&yKt``JIXXpPv(+b?)~HyXF}+Ju+^EbmqJzm5<UJ zDjlWkW~ryBY<{A8b#jf}B^%GrN6s_6ZZZ2~;(x*;?WFfA^`A9MDknYboX>cTGxta4 zya^M}Oqw3z|LLd4XO*+U_RMR9Z+&2Xb~5dqZt_F-4SJK`8Tm)7=07jJ{lW4|CpRPS zxJ!S|Jy$+E*~I@3&j!DY!~UOJdQztCaS8DEaeAq&boXWHzAu}%eX3Q;dsyl7dJ<S} zgWlyo=j=_ht8DU14*7qc4HEp4T*q(8`EA4D-uqWypL^}UE9#ltUAZIY|Ndb7yhQu% z-xJ&Ims!8>&ifRzMeP{Zoh!*lwX=WQ#D6)||M#bJ{>4e{>zCP>JU<*BBsZ(F;-BD2 z=GW6p8|8mjxusw7WeeN><m9WE3)~l8?P!l$Isbl*%eB+aoEfGQ+Vhv#n7n@ObIRG6 zKi<DG<JrS>P5!ese@vb(oY_7-SZ-Ej#0y8cH{}*@65Ffi-kLFY>hDwk4&M6|UUB<= znL(VnIP>QVd>rqm&DgH;Oqcyrg<Oa9eVyF2%=o9@%XCba@>`SzU+8TWd~l&Bf126m zE$VkQ@Bgc*P(C+VSI?pP#=~o27d|D;z47Yg`UO4V-?U|ZJzhWcebc*jaqg>Lx#eGs z5_<dj%<aa~ttSq)m%E?Yt^Mq-_-8gbb>^!&KW{5M%N6_Fc4Uuk(!DUl{D?ER)t;Fi z*&}F}zk9}Z<q7U{(@Gy*-<#LZ_N?(o_q1J`gU{SnefC!D^RXj)_9oriF=M;`ncMo$ zWCcE}9of^IbWhRn{e~IkE@x)*JPBOBGW<vB`rE;cl?wkBEzOe`{oL1CdHm=e;iP+> zhVQ4$D33ZbTkP|>j>`0-dy13p^~@-bJ2PAK^E0N(E19oE>+Zh()_TB3p#EaecKxo( z&q?>1W|VuL*{$;|R`Bz>uFC62_PkEI$7}e0*^KQP&+ZC+o_1u<QwO=ySyip^-*?qo z8}J{A-*V-xb<(|9!}t4Ue19PQ@MsImuP6D;_apfC?7ty<FU>ID<IHWPXSt%E+m7zZ zO^S0qBQ5xOTX&^3$OYHfK`zKhkn7l=u~OLj=$_c5dwGWWK4)%gKkMzT{C#B4-=uqt zhWSostTmp=3Vr75u5>@L=Oo+(wxToGAL&QE`W9&Te#VUQm^0Rj&*qAJ_Uo)%esoW! z;rpH$<zZ*6)t{a1sC<5OPo!bK-URo#%i<nh-<ucDafbP$xNhn1MKjFxpXqi~PCv5e zbkaRh!}qIZl>469t@rG%z~{WK%I>6lx`z3lXQKJwfxVsiv%?=(@4NeypWPMx{I0u_ z*<Zx?;#~iKW*wEYkM2=4%<rDDJ@kyU*ynAXmAr=eE@y7*J$uXXByf4;R8V9shD6r8 zHzJ?QIxBY{-D7B&zkY_f-m|yDpTBiivLD&QY50D@jB<}N);iB*1wX4X!y_vM5>&U{ zkM2oMx>soUzGX&v&>3shXLH3qFFU%&IO$%b;rlr=%$1+b75|)ebk9zBP}M3zBda^< z-kurT6`sWkeb(!)3_r4Gb<#ak!}q&plsli<t@%v1t8)91J*tNJmhhmu&j$^vz8U4= zXLjp9YyJCmQ_{7tnorvmU#!2e=1#m#^Y+Ly(n6oNbyP+l-IHjTKYPY?fzNJ7_PkBH z*9UUK*T(qoyKJQ(v311HFn{`t?a^nXg+G7ms(hSu&(1J^|BP~nGqVLg^L16WAK7y_ z>7Jlrz7gCB{;ZI!Q5$l`TJ4#v_-DV4O7)|Af|KrL8s<lxu~vRISM>9_&PwB?dzFUy zVP|G@!(&UF2^w1(&(3yNawpwWHGIEmM!D;m-CECLg+H(Bt~5WgXLi!PMKj91&qND- zo(2i+e^I5?M@qM~%dT4&nW<g-qsBV^{r~454lTQM>Dn8Qzjc9Usy)yA-Z<mCu;Keu z!}rgV?&%-d6Mke*e0Sx3!OwNt&-Q9P`x|%Wck_(z?uPHrC*6xbvS)v1<$saS|CFEo z4L>8z^XvVCxABiEYO9^jR8OAqJ<Ra^+oXHeNB4a1sC+N}*-qn`t@g9pkTbtqW_-^y ze80KT=G)^CwwldbW-h&3=X)WGb#`64<<>k|eha(Bk9}8{G#pliNS56G&uf(3E^Yr} zuF#xS|IIo4mL<8)-+DQ1THUhpC0{pudsmu%WYzoa7gD3%95A1~H6=dN{O`AfNhPcI z%kOgkyo*zjd&A-INAVk{t!~fX^jd88i}T)gHVrFWzr3I8l65P2(z$OtUq0)ae?GpH z`Nc)sedpwOZ(cRnckcNvr7zFa;-7!sE4gWPV9nfE#;TIZ>o;FqY`9x;*^18v+)Z!W zcFKMKVRgNEebO(h`Js;28y~-}Nt6AdwPbzp(HQ=|^`DDH*%B^iRtHx9|8z9$@ZJUG z`pnGJ&pDquvgVEV=iNcKZf+Jn{@}ra++b_Dn|5U%4*q76HnlhEsFsc|wx68;=V938 z__=?pU+=lNX<^~HI&FKYk27)=XSaQ;JMxo%`Ga^}!`^AUy~V$7e?0y(U;Osou0pxr zet!!0Jxlr%f7F8i>@oS}x(kj+8A-3LaDM*w2lvP0KX**tu`y}R;`_b!=Y0Jh&DK6< z`DgEs$2HxPe{Ab2<U4az{`q9TN5P_xV^%rNd0$|-Z*|g~>v1`ZQKdb99ejSblv{Vc zUn}wa&-cgupKJXdT^4;j=cnVJ!ny;VHOrFbEY~|C|Ga(95&rO=;#W(5JpR)$`Nzht zLcc!-|19j^snl&snv-nbWq;1!vU9%Xk(*VOFET3rE>NypeqDIeqnr!nc@J;@HIT4A za_FJlpC#a`c}dOl&l8_{&Qh;qi%{S4aKGU5lb7{8ves{&BlK(5wbQ5N%J(h5adX}6 z^p$^N81B~}6#M=C^z+Y&()A1DmArahzn0OyxIaF&W^Sm7fn;vqtz(ZYrM#SS|J`)_ ze&+PPOKH=}e#`vT{GK2IO?qapH_x2E|LD5kuNCV}<<8gtlT*=`tK^^Z!)ke~b}8%c z1<CXMYvzW2I+?P?GVArj&n8oP`hR+sLlWw>X5NDa=ZdoKTFDjEwAD|J-JXAf)v?y0 z|J>i_>)oBpOnolh483*h-jnB_{d23o{%=}eeCp)Fe~G`>8w5Xi-Q#*W%j(q%<M0FF z+^hO?OV6asU%p!SV#@hGO|DlDo^KW`Nzb>w9+*CL*O7e}*1RqMej&i<?Hl`-ec;;t zcHMN_^;>s8Y;tQ!uD*4(?!uXM(bn_ZZ%7!*{j-Vg2~6;wyxurz=GpoDF88(PxMXzy zO!?o@>$+*Z@%9PHf;TQbe4XR6?CkW%uVcRGyOh0q-QyGG*SCJN-e+TRhm^kg=T9to z`b1^!&XSNaxve#uZf>z%@wWVUhQ>_KZ}UrzgIj_(UmNvop7ZPO?Rkec&JNpsaozQ| zpYN{U+Pm$)_@A#Ip8h+Xx%$BMo7;j}#B1jMR=#lAuW9||UmN6)Z`-~8`dinzd*$}O zsqjp{=`;29^iPp`{;AbJf1cS}FrD?wiuvn5d)rEFo}E}T_hsqk**wqQfBbAYbHB)w zjp-)Yzwhc_2$*!}-{f~c(lU*`t8cwei~Kl$fB0V)*Rpr7*PQ<MX8OdZ7p6ac{<-{L z>xpx<bHDw*zEN(U-{li^GSc1e7M%--{m(vc)9ypcNUiVtt5w1UkH0_Le|1Ht+5*F- zlQ|9tJrwM?4(WOK)Hg3EaDLRR|A=46K;XNZ{1FYUe>D>(xT*>Y87x}l(G(u|r%|Kp zhtdht2@Q;{tgPWB!SPjg)>rr1US7Vte3!rf^~QTvp~1_~ZQmbS`>f=9Oj&;ZjI!3* z&zfF8zxLIl`0%rj6?5{x%BNiWZn1pQ_sKI0Up(}gcs{wFY35hk`Lzq)>a%<AyV7SY zA=DffVRi>RTE73(uUSo*K9{COCoA{$KV*OTIegZ_&;a>_1^=F&y1(tApWt)Z+iFYQ z|7d>t`A_co?zam=?cT@wb=>*(XXQuRbGw7tdmlV6>@%qSG$r^*W%j3=yzgK9tV#Rv za&r0?i^=T04=h~g1V=s>xBYZ-UqI0BySoikj-~wXv<8n_ZoID>G4s*a2cKs~-~YVQ z>fF}KIXja?^!h*dFWX%2@~r9ZW1DlVA-sK>k8FaA<#x`nnZGk()nP-|Iqz3nPxQ%| zcm5KavT)6T4;CArYS^ALwq%M)`egC@KuY!Ly6<l#gqk<?AC(372;Y`}{nHEe<vA~{ zZ%Yq<**WiXT;)sm$Vk0#x$U(<!ADlsMy)&h`DZ!vNjssL+<E=R;y$GoHs`8e$rx>{ zoMU=AOiknTck7!Cs~8{stjRz7eCG_CbK9RVtzv6G9{IV@Os)U3g>=YtrjHhntM*Ts zzfJ6X`uy(#IaXW6Yp2SVCwZ_O`6pZs9>m=G{;G&i@}zZlq@)XLc<epqAAc`pqx|>R zzH@e0^sj`uSI_a!EnQZ3(Dq#2#BJ8Qx+ed%DB8Vwx%+nu|7HBrcP>Akb86r7eFr1G z=3n@{(?i~D$MWNrQ%he~6imCM{-wr`HT~C3-uEwm%G7=PBB?L#XEu9MmHeN+r4Rpf zmgs!?^QJe|<&C#$t;(A>)skEH?_Zyrm8?DQ_Ph8y_S|~U1LSJ{9jRZhH!plo+0TQw z&wPybe(rj6XCSkAAGinq`j3VC&D<!z(5z(TJ`Yc&G+&oT9}K~fna~zIS^s05Z|$QD z8^c-0uGIR;*g1p7Q)^G{SnH!-lscz$)#PZMw!U?|a*~kY^B-Y}6CSVIaXyMiHdeYq z0wP#&Kc)S!Zg7!u-^p6plg!$EM<DY(yB%K5WD%bArmI>qXrrm~b~ZgX@Zg5thC}Z@ z9N(qXBqVL~s1H2AG395UmA;tRI??&}uh^9~*n{0?`t?#))uSKTcg|0~rOx*c>>cjy zeEUpt>vk1o=N##*4RaK&@u>Q=;rbQNHIL`5XtT3<1fGTZJ>_TJ=DF<M9@k$B$>~K* zefi>6$KeWaTpq1Xoqu9w#rLhXk7mf!%#S#D_my9r&mD7#*%NX$DZkU!ZNFQ8_vp{b z5n$D!>gKl}7L@<`x3OY*iALFUHo0TqvFo_z*})&)W&b{6Sm|{<>UbM}M>Avy{7~%5 z$$d5a@#>;?WPi%ZZC|H$U{(m%B<ae_m+mJ2+&80MO>G@$&WI^;Xa9>G^8^An{`GnL zYqiEvixN+H&T8I&eWiX!9)8rmzb?<}p?$VtNlEVN&H73UynoHOaddazz8#P2l;-9a zzWuRpo5aj-`jwvzFS$+I+tDlv&NW#_9xi)*_xiU^;T_yv51cPeZnOOn7ppvP{uMi$ zN8re+{vccPyQ;(LWBAEeR-IpWKWHsgex-8TD)Z~}e@~2?f7Q9pym<E3j2+tN?^?ZO z=l%cSJa=v1+WW7}YJK)C|Fyf5>we+>%|9pU)q3qsbOEIm+ix2;_J93)VUgdmU$r-1 zRv%yQ`OnJhZSmfR{r8&QAK<Oz6sjw9<=>aMWWom{x7zn7st@{|f7|;#*y_|xkLxF- zmBFJz*T2_1{Tt<e=kWgc*;?;TRUh=LN&gVHK{)S~-_?k99`SR});@aB2}&xvU+wu6 zS6uYt@!kdJb9UR69?$?sRQ9`iwc`5s`!}zZ-%;Bn{p*RmIaqwLpWA-_zF*&3Z(dlQ zR|rnswy&&T-M;R81vHFtz4QM$-RdQoG5HmX+3y<!c~o%jJ6-c8X>Q0p?y|k{(tq|o z=-U(DT^m~Y^5%+-dJkLnbzff^U;X&sq@LxTubA?`tPqyaRN3<*O1pYV=8=Qawm;e? zIvw)<5c@1y{B_E!^9O5tqvErQq&J__-sAuG{VKKg`16}eRzzMbIb?tAzItdu`7VAb z=I#3p*8cz0^m9x7PQU3py`)1PKYjcnxy18*Uu?|l_SS^=QR?D(8+X2Waq9py6}Lvu zkbSqKUf8jdSFX?<6c4qhYTRpo_iTGTx!!FdXd=b#snyI^RYG6iYHy64zbjP)oTUQq zuYMnM-akuT?ju-NY)yQ6`|o-0xAb4t=h^-LR6(ozjVlMXbC##tcJ9BgvBLY8cwM1e z{=x4d_0#2dcvdwn(JOH6w`!c|bf`Oi@+rAYslpF#kjNFPnf|lGs?+KtPr=*-XNVf_ zHR`E$i~JV(*)Yeb-?*^YFJZ}q51X=Id*1%vpZ0O$r`M_#nXAOzct8HXxaYE3_4T4d zu9m&MQqP-}t1kassdvREF8Ir(f2#j>?aG=xfAMC6*fair)c*8HDcQf@c9Jh`*8Jx7 z1grah?&J!*pPO0t&F-4Dz4@}3pSAz?ul+7@guiF{{EGZJlO8PPf35F)!F=uUJ;oO$ ze#dEut2AEoc+~%~x{oVw<;mRe!tH<m+5M@oy!&C(%D64%30DN}-<RKV@?Esw4v%vM z4^R9w;Mx1~Pf1^vn)}^&T|36htn)&^uK0dCMSfmpU7uXr%bL%h8yss2mi@H+5%TZn zMP0qyUt9y-PX6B{XTVUa_4@X`<<-yAU;n<}FXf{5S@4ta@Axij$Dsc^7Ul1f)B1g) z$WbVxSEcSvJ$KWC#jN+Im)^fu_5b(IqFuos`1k(*yTmbNX3UzEhfCAWwV%Ie{?z^X z^t1oIbN_t)+?Z{mQItc;A{F@^i~UvY-Q&;L+s`-eh>tonC%TSr-yLiF==<@UkFFm( z?f+(dM2(QjYvFY-W?x%<BmQ7mHRt{wm;8g@SNvZ6c>R~S`Yl`Ya%%78*W6XGv%B}p z_|@m5huuG&KX1<SNZ9!7`9~N3eEz(|@y|1#&&4Hr|Nq#e3hcMN{70r{YkA$aegFUV zIoe3++i(1zdna~{%#Hd)$NY`*+K`|={`qK=!jFuD-*^1JfBe)-zk~K)J|C5rJTkv$ z`@f#u|F1r~v{mNw=iufapJh+>FRn_SZu0;<(`S8N;!g4FAJ)G9A<pu0(CqsoZm;sk zKTEzn6s!kl@#gmr5ZSrDJo1~z<sJ91r%L(Nez*SFJ-bu>dB6Ry$&P<M_uY}-diMOw z_fO}~Pv?D9Y<%|o$M2udpa0DEQQ!FN`G@?U&Y$OI`?wzD`<H({e>QLa(LeLq^A|J! zeE#g;{A2yhXU`wB|9t-ZKHEoo<Fn`I#qHZMt*ZXO3dMi>-tY1Kwtwcc=Xd%OcX<T$ z{rtJ#@s55S!}je3Tc=!lTJymblxg=qv;4B+{d>R9kBT_O=J@_N`AXpWdC_UJSEtXK zpS*WZiSF?~b2i+GF~0mq=AX|0KYPv;6~FcQy!nUgzn?qL6&3&W`CR<v^q<eg%}<|Q zH9mX(;QCMJ&u8nHo1ZnYuik&o-hTb&JA3Mu{j~f(`_Jd%%}<{_@%dc*Yx~dV&%;ii zl}?*A|MC5&^XHpK<=ry@*<kno&z-cLJ8PHyjQq3E&R(CX@arSrJ#4TQDo^hgW`u?^ zuzmQPCY`w=Geavg+|$`JSy^0q=esbyG|O3AFY+9pyK!Q7Z_Jq@!`)t+WioS?EL;++ z%B#z(tE&4nOG86LBO@a-+}qRJ(>YUm*S_6vk8peb)wch^x2O7@<@b4;-|xMDyZ-r| z>lZIxOq|3uYwlCNFRCBBUhbRbq4G=Z?zj2NZLQX;^vqSCr(72sf9uk%;N8#UuhbUG zuq`k5O_=kV{mzEt|7@!#=N{kswmbWF{{8u9+jgaYsx`OX{`tt`w=yS_Z(W)cZEnxM zfBXEB>wC-o?eLS!{heE9{p$aRlMQDp0_ESY_`9UC)+lkZ>Z|0s2j5S&on;A}|G>R& zzRZm$UB7my|ET?xU>tZw{a5FGb$K(^MRxx@>*jlIn5pyX@t-sAgE?j^1<wB<UN>KJ zgQnQmkLN!8o^oJW$d%)NPQ5o4HM{Im+qC(QUFrr+@vnvTkH634o6QwC|3!J-e6Jg4 z1ipUM|L{BH;IgPI$A328U(RM`>{@%M`;Xn$4J(Dee*E|3`%Ko^Hy7DGGurQOn<M2? z+ob)+t~kLs{L1ma-S^Ywa->{q7lqZ$|G6Pj;nm~qpMRSiOk233zj*5XbitfiuC<4< z|Jdnnh}3!Yc;-9vdwV4=u5(U_n!kfZaC+CT86tJ_Q#*FVTv2~<@cm{c!SJqMJ68U& zTP;wyb%p<wWA8V!3108|^`rdL?={XxzAm!!)32M)+p#0?iu#`J`{}|;d17BbR(<}R z<9KB4BD-b!`_G%X+|hd_eCO=@&CG)3UB7nx{aIV4_$V)M{tLOf`L-Q9!mk{cKk$At zzu@=oUl!j#{g!b!(!9v-p+en!=Z=bqE61e|y)R}F?C<(D<M)r+KE+3cf%6kI_Mhi; zvC(|>_}=;V#ax2^-M?J;_Mew@vC)3@xc|X-%l3}vuC;U6f7I$JJaP@3U!b!8ysFC{ zomY=-PrNT?6^wSRJ(E#4-^^u?)+^zjhu?R$b#(qXI7`Ft`NwPgWwvW%6K|VG%V*D* z?fSLNuKr4G$9;8Hvz3eNE=JVNH@Wde<m*TIXWw74&c3(E&d+MUzx<6a!e2R!f7Jdu zoYt_S|2xP2^A0z7#J(EN{A1^wU>tHqy<*D!bcvj{?q541|JW%f7zbTZKlSXpB<t*p zi|qa-|FKI>Fb=zN{N%&$lI*if0_T4yteeksqeuMf$D*Im1UL_#06k#|@aG@9$_+C$ zUJ2VCeLtChHdCPd{)YSMLOE*PzbyPe{}ws4%;SoBP22r+uADB{+C5Hn^M!6q>H0Mz z{b%j6Lus>C_y-($AIvtpC{X^pW!?Oj8&(Q@?VR`g`^uKHJc082TkbFCGP}CS?i}|Y zJJSs_HD3u&`}F(Dp=Ewoj#p2-pDvb@*8S_x=fjKcN*m;r{%@^)RiiZVMfUf+J1gG( z5>x4!YkzNl;`f3-)iKdg)vF9Y{%PK&f93Ep$1Ca@kN^Ll$vk`KBD;0R-y8Fr^)9jt zwA_Eb=7x^I*N^d!zGpU_bqbVU-ULglUUl=uZs>@8{TTP`dnD8BrHkyAb=^;w%$e5x zi)H<X-)kDqdIidFpLIW7G$*Y4my78iyX=I`VONgVPraWmn{%!Em&MjUcG3x(1Fxvp z^xjVw&N1u$RZ;rKE;?az=#}Gp&b{BvYj)eUw#ocQZP|ge<tzH5&%fWyZMNIBc9Hqd z+HVKa*01Ql4@#hBzg=tRZ2wWqci`EA75*<i|CVVwn;0mcFSq}^;0+nkuZH=bf6FwV zEexE$VB-DfEIEE%zjnO;@Ow_v+04NCFJkNF8*i}Ge)ZV=<L^1mXDb8cpWD{W=iabW z<CU=9lkYoOW}jYUcklNfyYPg|TCb94{j9x}P#k(iJ>%i`oousNzU3S}`qJj!uY>Q{ zH!J5@ugi^(x&7})_Jlp<JkPg(|6XtR;Jas|@2VC4TV~u>=QXo+t^LFQv$pEsvh6GS zH&400oImGR=dYI2@H8q2OQYI9YTFK^tytmz!Epb1gBv{JUkk%O{qAWv>k&9VLv;Un zjT=2;Uq9ME{4U8nd*dRzb5eEl3paR*f914)`W;eE=IieFSIgPtQhO%-kKN4;GZkJ5 z*PMGlnR#|hpnN&=et)?fwXR<-@gIIaIlRo_%JKUr-Wzk8O?IuFqyMv(D=}E*m2lnB z_r|PdM;F=M6N4qv^{_;`Ve0+mJZ4W9*}XIWV|O_*Sn-u`?dkWyoU<ht+5O|Io3C{v zr2ChJ;(mYLoV2cAE!ID4vl4^VUp=lp{@$3|Y_n_anY_CBRX0o~{QSe4U2>m20$zu` zy;GYJnkvKop?LZ_wWT+@Q}v79xNZvA6mTlQuWhfyH>I210e6}p;E4tlxI03CC0Acs z&XXi%@y(BurY-)e6uHCb_q}ubD$aRq|JSMiw@!T9bG!3<zu$TL`={Oc`tNK9?eFV- zxvy2R<D2n^YvJ|<Pd|NL^0DiZaK+zS5j7334{wO5zQ5}qyY|#mD;|B;E48nm?sedG z%&YhOP5XY`Z-0J$|H}VK+=lTj^Ka??`ThMu{l~vQxXxuOU(Zs${`0?KbPw;*=e%X} zukXC_|9gJzBLA<oVu#Z@1Lv>tyq~U_Gfnbqr~T#ck$kg7-D(e|)y|)~Au{!fdhW&V zk-W1_-D+3OfBkz+>shPCc8;s}ov*r)WAJKn&BFJa1<hvn{Bp_tWj8x<^U)Rl*Vet? zENXVU=hu<=SHE-G&+;v{OKjhF-tWd6qgRvbmcHLCZC2g$E5!P1?YBc|^8@EU$gZ9L zcY|fZ74?%}YWWU5n-DmEh4=mE2048aUr+A4_&u}5cXHtT9ntrnYvj!8{nc{+)$c6_ zp3MlH|HZm?e%OsIl3!PTd+~eA;b%Jn=Rc^fozHipMCz+&)z@0T1J9NO&VS)vJ74cc ziS*Z#b(g+dvd+HjR{O~Km!0i~m!_|T|1Em|nK`G<?A7FXSHD{_&))1-yK4W--+#Df z7rWIq75}oU-C&u2Mg8UF?>~jjrv5u`+S**pkbdCt9~-{umg{?CR`<qC|NZB@{4cxG z4U&0R)UDUQ59XiEw%G0?Ywdi&4Lgsm@Q+wIcZYbsO*^~w{QsxV&+ltFo3Yp~bMC(L zTQ}@HxWd15#=i3sH+oE8314~r`wq|S+daQj!e9OFX+B%A*e<Yn-}$#2cAj40Z{~DA zohe66>TBovYu|UWn@#Td)uQ~hR_$<FSK$1TDf`a<-7quj%5tly`{`0Saz?L&W0t=+ zmNhf(`K5CG_3tw+XO-P*7a9Jt+niv0dxd}5;(h0nH&k9);cpXmKb<e<nbcR#{EOc! zrOeJte)Y`1`h6z*?4NG6s~&%;jcYi2+O4)J;C?z|PMqPZ$vxM<SBjdgm;BoK?!xy< zS+jhJuP5JK`Cch(mM{6WQ~ky7J-oBadw#W)zy7_4d-nI9UrU6)*4}GB%kEaY>ietT zH5{}1dw#J@{!(k#c2?c3cGAgTcHD`ZPpt4SnZNIR(hVKMSCf4&evjmuo$6Nmi2Y0L zw8Lqwf%6Mi?K|&vV~x?P$$pn%sgeH`G&LS9sh$6I!_9;%>Xi}q)0K0~B)@j1z4)Ee zc2;b$-A$j``D-`aOuM4q_oa5*;k5R^`32KpsnKo~EH$RT{{5!)tleU}gO7jN{Z1@C zu)_aS%f9oDH)M=n37@_A-I8avvRmz@<zH&05-+D*QJ=T^eX)qyS-09l>0fH25{l2P z@c*@DpTBm_t)5>Y&tJllV<jv(K70LpPQzKx#da6Ff7!)ucxm=(^4=xyi@D5}_x?J< z{iU`l;qq_$k2`<(UYoxE`1_sDHe1NQsa~)BSN}kTXuS25iPzWfop)X0)N3}o_UjL= zp8qR1o>-HjH?Q21|9$88B{LYlb6&UjKF<IoST}FV=MVEL{JS^{<`?u9zvmP_>Hh4| zbI(zwgCGR7ZGUn<UorW08wae9^mcA+$COZs<LOubnE5VNIm^b)&E4I#fj?uR-mVvm zy7hK_NCGhyELgB0qZv}oH1T%rvtOaHa>c?!I;VBKUA@KEnrs!>Jmu=GbH?xgl%2Oe zV^N$oFYSEAJn^#mpKU&$t3UVi|NrNe>1}ssIxXCqyrk;O&dX1i-({A0=dU+$mdmTj zcW%`Cn9qAP|9TEjU;o87c3<<@+i#yx4Vvt6_gSvp$G-`7AInePu-jNW^P|&!gZVT3 zYuG-pnihTL>`4)fTQmR3Pl(tJ^(!aNsvAslt=L#M>G|QBNp1dZ>d&v=)RB%*U0-pW z@0-8zexDWhmabo)|Lw+J>3+4ayjRk-bMIEv^ZDBqIW1K9@p*NDzK^CzT$z25i1z;I z|3|BKuvc#Wdh*Ycg2E`XtheSrdasGE@-Hm?^J~weEtR%`wWs2btUoX9H+#F#)#Y2% z>T2(m-P$vIg<b3V$Jd|RE<gLb^Of-qv$|Tlf?G9HR@nW0{pkAh<mG48J6{=p$^G-I z=GB(SsVnT5Uq8P7ym<N9>7B2F|0vegUbD!(rnbtz()rJ?n#Wsy>IK$%&3<$}eedNo z=S9Cn%#N==@3#ExGncQDcZBxaMb9yd7QVXt|MDMSZ$8^{bKVL&=jg}R)7vjUyYBK; zQlcw<{#?J=cRODN-;vvIS3Sq9US*YkrTd>>Z;Efpc!bQqr2p~t=jE55y?6Pl`KBj+ zzO&!#hai(h_uIM8G5fE!%K!hnA73R4Z~3hF_uYTvR{O=0w%z-eFLb!4UBBOWi+WwH znpLiv`l|B>-hX^;d^FS8Hn6tq_oM6SZI_=NarvtGzCV8cO~2VYJ6{D`3GBD~JjZOg z$}0bd34eagd9}q-H?WrNe^>l`R=?S<ov$v}Yt+@AE4ZcO7czh0;g7G2mt9WtT=a{F z=lJ^bH~nTW?R*t%Bemb|xmE5o)m8pK=l}R>c|Wr_anY|SZjY`%S6Y5n^?0Y<9)D@6 z?EBZB{*8Tgw9-^w!F1u`{>@x-;||v|tvw~y6JE`J?dAIDa|`GF`W1d|?a!E1dav*6 z&dr^>)%x-Ole?ELe{<XNpU|#1(Q>;k+HZXR?AS?JmtR*m{)%{Kc)YVe&HKs!@A3gg zTovCnAKzWvQ$F43p6scL?V6AGF6sHc$LQYXQx)em9@{SM`QBo5FZonOzs6(RB|YWd z?G<N#ZMU`0EPMLm+}jxcIODz1N_T4J8YcYSuDZLVCaC>((U*^Bw`cy`wr9b!+P(wl z<)uyiW;f^k+;-0D>7;907vC-2BtKJQr%RU5%G0~g$E;rZ?wI3i7IvPbt##`Y%8DBJ z*}!ty>yOv>OfdZX(C&%QO3x*AGG}-Hn*Q#emUMrl{l3haXB+bw+c$%=)?U8*#(zK0 z>OI(*aL;kA(xOW9s?+QBzM8%7VLZ{u-+4tPNWA{^?-_fhzpRn^a&q+pj;eoxPXeRt z4?L6u8y%M(e@ZO-Cxd!Z?akGn-(9UUTAez1hw$;s>YLOJ+q-$9XW4z0>#vn`w$F5# zG(qFoU5S#=%$8}LN)^#lK5o-|{5JfU^@^VI2+8*=jP7lD*=s9M#Qksf(w_23M)#6Z z_o$w#@Sgf{n&#u!l|AL53UYtt^Cx*PQJYvb_j&N%U%$>gd&_+%rRU!qH*gX1Z1Ms1 zB)d+z{wWWy{%D)1bErE;zj@w|XNhjL-jXqorQJ4h=pQSe$N#zW=aKY=TBqJSHB8Ep zE#j}$EA7sxKNFtM_>Gfrfs$nRQ8HnEfU^1g10G@zkN)x;o(3}4Tv|Q<blPXPFJemj z9)v*4S*0ECX6*lbNygaO+)v58{xB#6{>*)@%s9W}iLU&!$vuo5&HXGjN!Mjv@)aL( z`>8@hX`OYYU7!2>6XJ3oma_Z3-?q+LdGp<Lk6rW2`2QUHdy@G_UiLk~AJs9>c+YPQ zR8tn8vbS;m`kuH46OXTx|Gc*M!-nG(D}E$y{}}M_;dYmbg#VlLPbA+zf9CQtUgodL zHl<a6SNGd}+soO#>;KMYfpPx+=5ktpix++jc$nA-(o^(!;i1E+$DCW+y?fia_)qUX z&|Gu9`Ok@mdb4tC_2=w)^8P_;{nL%F|33P9y~{!FRiY>Fo=HacdQVlDvp)K0(^onf zlIq@nmze(C`%Js#B=03@pXDz5x^M}dQoq>yaJtdXkG#r}Ez>_f*!`^fYtxgodz-31 zS!?XymnLa3#~qwJFZpLZyL+v4$>qx5#h;HmE!s1`vN$3BtKlERitSpD@2=}9Uv6~o z?x_mqQx)H}AKR|z`M$yE-r`dg{o0RhSN440VsvkT(Y@kR75Z$CF803+1?Rte+O@y0 zI>`Om`YZX@x7nvE-fKKw8*=P-faLl$J>O><-OEbdvwq6QYnqR1*Y|Aqlzi`=x<@f} z&-|$$%UDqism@p6{}=Vwu(o=?(LKl1J%>+KxKI7aWn2?>TYm9zmDD{ePgNLC{rF7d z@!T~%=JAsG3ytCeCEx3$?kQ&a_~Q5*l_F4C@qX&Q+Bm2|kC;;TbWi;_P5W`|!k+8v zd&;*O-7`H^ab4?i?DC%Sy+-$VPgQ77`RFD4=r4PSSk~KO9z<)zF())q@_6)H1KH(g zu1s|G?bs*bV_@XzYjBXoS^Xf3u#W+lSjp)obJ20RmRD#=Kp+%cywc(tdS#VP#D*75 ztZrgD5gQUNvT_?u>Un;?`v1Q7clVv%{e0g4-QVXtx%cF3`ML7*Kj;4ce)sdfdDk`H zp78iBRho8ZZ`%Af7EHXA?6#HbOZ4>4+v(J9_|m`gTgr3R{n6#JITyQSE>wqWcvXC{ zc=m8Yy4<G~Ph`bD_jObjC*2D)%#S-`t@muMz-Pa%%H>D)Tu!<tY50E4jB>v-*80z4 zKRnskw<&KUzqh>3-<4P1cF(Kau<@4J>u=yipwS|r^?}}qC6Uo$h{bZchVQq{C_g^= zc-5JxqhKd358D2|>e<0#S-02R)=s)-3tkWEa7KFOhDhD}&pt~Ce?E3}52s;%(3#r` z&wM*8rH}5JHe<WzncHtpCfh!<?yQaYmGIJkX<q)vGtIqMw?(QiJGy6W(mgZ7{N14C zjBiDtYb9-y?!_7A&!4e9{*1N4v$I{GfLU{VP3W7$m)O1QwMu_aNSDi5v+eAz+K4mO zO3%)AR=OYEQ)&2q$Bc5PGuE2V<_dlG>#j^cvS)MBJxjy)duEg$MD>L}%opILg86}< z)v&sq@P(53k%+aS+mGzIopevuU+%SGw&?E-Uk+ZH?{#<I>zVA*t8+K!t?#J(eq_(@ zq<hSU`6+wPX8kmqe|*LabG>K29hH-l?y(u>d!4zh@oejnJ-$iz(m;mX_k{VPx*OsP zD??q-(%EgDmA8-Xku=O-K4ZK0ncKS0-U@<NPQus6hMlo~aWdI9ZEceLG`omj!7FdK z+k8$)-kN*uEo3F7-x+Hy(DKLQC@X{E%O;;6-NQZ4=34SKt#64ho0r;8-Bo+hnBOey zcG}%IfzNuKpjEJ`pjEKDm7c|leqPsEc{=G{sp0#!8RfxecB?+SEB5(a#ixk=$kjL6 zm-0{h7xL;`?0K6T5xKKVt6k6RRtK$xmg}fg_P@DiTK?zCo=(uB&z2eHn$L0tJ}*16 zr#C6i`^;^XXSv^=Y?S*v_XsRSZLff&DB;WCh10WVY>z!NTkvyPSLN;_d+sLPlQYa; zk60a@c63iOk}u>-tAn4j?q8j)k=v?Ylrg`|_+wD~n(g^w2TxXa&-lJ(#&<KwlFK7| z%DXDhcUHa^`g~9K*<SZE)$3<`w=;bIH|ZYxkv;rfmGVNL?R3CvF?Y=P?rHe`a?-u$ zNA~D<RK|;a-lz4f*7?luZ8N^x8oqB&y61mn&;0Jn{X(E6pno0D{GP1d|GZ${{+pn1 zshjh^Q0&>l`;lgbIS*X>QhDZFNoTO!1Ia+2fBSCOap%|8KXI<FGFQKNRXye1ndfUK z?Yga<TwReT=vA9>`o;5kj0<w^y}n?3_u6lRyyeo-+ddq%Db=0#_14QB{Ws$FehUkk z7w)=LExzaK&!B%BZoQ9~Tf5Em?Jtc3uWBZq<5+uDa^ap(Pt8{`k)iVO%13LCO+UJB zQI1`@_4bV2%CB=%ORud~t1n$`Jk{#|vOfh``;$Lg#_yh0!x;9w_~&7^dk+^1rw9It z`%(X3`mNosQ`h@5Dl1pZy`Fo)cKWw@UN4`|oLkt_*C+Pt#s$0Mee3GpHPs#a5b)zw zeO%o4{m0$h4$Ob`!|qX1^*-VF)sH{-7T@0W<ME#v-5-S;3%AMj+n*DU>6y>fQ(R;7 z&mz9Wuuje3+*FoFf7Wz={M=Z0uR`hH^U5<ve;hqvF+EPWeolOmVV$bMId1=B^3T2X zj;&`sR+**r&tm_(q(5m1bBbR-?*AOEckDgOvC0Q4e?0!PuKVNf#=>`1R{t#OA0+(= zOqg?d^2g&plf@tVGe4eFmHVgg-<hL7x(`^m&+D{57r#rQURL7yT$V?Fdb>ZCHx}yc z*ZcSUXSMiadE>$|yEZ<PKX=YFf-|eVef;Om8#m9L`u4>iGs~az*MEL~%lgTM<KQH0 zzU%Y8`H7pS-cOUWYyy{X`Je7Sx&5v^ZT^jN&+oNC?y@z_lapSV&)&;aTvbzVy_<Rc z8-qK?zX$y+X52iNam&q*rC)#keYoqI{;qXbZ)#bsY%2^)Kk?~K&yB?v5r1yJF1c76 zAISVoS^rnU%fr`Krr+s)ZFO3$jd#z9gX{9&|GB5RBmX}C-txyUSI)b?LHX3Hl-Px` z-+sCN414Z#(X;kgp>b0GqqQHVbKT>QnEcx6beeo=c5ID(M4N2u?;r9-aRJ;bR^-l) zclDkYrRF+Ue@fSuS)OL|SN{1UVArtm-^H-WYY(ozQS+@PK*nb4CBd-trQFqeX{CCV z=hhbOxLUd6RIBavO;)r2zMQ$o?r^T%?>l|RrY<@cvQJKz)h2ZwcVgj{zuBzM?;39J zi=2MeDe%Ld+27yWRNQ;|-I>cVTO#E?|7p`T@g<(CKmS}bIZGnF^xB4+hpL;cD(jZ$ z@<@GhSY4cUBD?iL@RgtQCp5I%Tz^)4!khKs+H*e@E)}Rp&pjNg*^^hgtVqvZT<lXo z`t2IN(uEE?uFgDFX|9qYXI98|H1K;7>+{$(Crjt1ZVr&Jc^}m__r&LQ;#ZiovToOw zEm&lFS|_>GEPnavlnv#5XVc%$-BA@e*QWpSu|;O9jm2UkWy8|7o_Dt92nc=+K9lb9 zGFtiQv(Njp-yM6r!7A8t{?<pH_oY<L=4a=*J=tL8{7U_N>jsNg|JGW*5BoGF^nCt} zq_yV~*NYz7ZsmNBUs0;-%vP)Jp7q&2tE?*Jmao;WzgZf5Hr=c*;+L=8R{ulKiru=W zU0UO(8NriXk|n(ARQk6)zrR1*v${6G(&YD_D9hxeg6O%1FHT-gD1CQQTBPy*s~pz_ z>i$hvXP$b_{^E({bTj>ZtNv;}E8g@<rE<;mB)j0BbxV#Xuc%p3`);cKyNwI3&h+~G zg=77vg=X_VGp<fQzx#jIx!sI6b?mO%?SHg+m3(HY*;gx@|7P=7UU8gLT~W7$_k2rR z!snHWeC?NBTzR(j<ns@oW}e#r{c4(n_vYWmQ?1sYZJ3t!xl)T^uI>AOo0~qr+j2Sk zTI%Kikv~80t~>hPDbjkX{qfnC_D8=KK6dLs)~6ePr*)k>I;$u&C33cZ&vVO3+c*|? z8HS~Qu2i>qY&QSlmWP6C{I0x?&|h7w88*>x`qi1I&L3YE^gQ@yx$5W6H|IN2zwPOF zD*1Gw^Oo7mIB(H8)f?5WmrgtDl=xv!wq%*o`Q4Bd{W<}ZqL*Ea)XLpCIp|FKY1hM_ zEpqc3MDKeT&R;pFTB~kK-07Ro=bid_M*Bb)`|X-#MSW{5T;opPtf}%{Q?cRd%u~NJ z6cyZU#1B1M@%#O`%In|X+iZLG=zq0Rx8An&`5Ee~ubQ>~KV595z5(30N?zAH{p9+) zQ4+sSbkEsyfB&}=C!eWVmOxrwS8_`1^N#aoO>X{~!ZYjgdppZLkkVuMn%jSGUcDN# zbI#OT>iH!+drrljQGUJ|Y~l%XGq(AKk#~>FFt6Vv{<Xjk-2C9byS`k1vGlq_;+E(7 z9)(sUr72jJKr{$+zV|F}Oh5hVox;ImTu=30J<0*s_3}vz@4m=?#U&K-AxX}14!Dr= zx4eJWE?-=1U-+BPy0@O$J*WUH-G9FBW7IsE-*Veui{wr|{1H<Al{`MGKP#^mT&4LH zpUYa_^|N8?$;JE6+hxAm;d`aQ?DL64u*&*VKkHU^H@hx*^LtWd#nHD$drrkYfjYc@ zPx`q|o}+x{b0<H}dHVcF&%1m4&vtg*Hh*<x?$7E_)za_(t3#O&#qB-&<7EQ4jkD3; zsJ?vNmFx1yZ#PblJ-po4cFtjNnfv`>Y+3#Lf-_#F>EAq0)`ai(H`?X>Y<Jb&ACaD2 zrDD;`KOFtrc1bp1U-)-jaW!#Jdr8sgv*n@`TSdW~-IL;XduN_cmcKUX#rwtkpV+By ztomDVFYW%6OZ8_AKWJ5#F3uOe(Z1E^*TnKYPNnAa)w`Zc?=<@vcYj{woBcI;(*kqS z-fI-SNCmrbr+ZJH+1n3y%q)A~m37VFkC<)pYj#cfePuIy*V|{5k3(X{ci-%q+kXSf z=Kqo37j`GFjuYPXn8Upy=BjAh``%eIjvUE6;(kT#W8nR(fq^wge=XCxw(@AWK~!^~ zP*2|@=A-Nvw_R{L9TE@_km0zn$%u=KOEfBAMZ!!m5mC{_8e6X!MD<FT7OtK1yYhR? zvvW7o)y&h+##SGmS$b_&?YGF!^{?M-eqQ+ggva}Bm1}yv%<uln?K%AMM^@)b)qQ*4 zv_GHn*J*kBoPwSYHXDEUIM>%~J#t0Jzfzn<v`gZJheWLGi@nP0EsrhgZv1smQ!W12 zl$qb1JexdSbapSfc6rkF8-aJL%HDlcd3=F2Ue|Ezk=<w1Hy^62{N1yd^ZVSA3H;BK zV?YL8-%~!Jx43KW!t=+?jz#LQzqpp4EYo*9+}lU@qh8f{?%z+}8v99BwLhOyTe>*< zlyxw}!3)k{^R9SavKG-wmzRCnwtm`-s7JA1<gWcrT{T&t;GEqbnAj_om$F<_H~06I z90nVic&&Qk9=<N;ocPzm7aywcYP}_L)7`!AAV{+CYIVftIE9`3>%NyQI$EaX8P6+g zDFJqT==;R8(V<cy`L&w^U+}hn;g_}&0vlL(b+^{-1(nA0<}Ll~Q?>2KF$<YSuxl<W z)<3mf@vr=}#ICQmFHC;?@v2a;cTDN^+zWfkrx}*K-ae84_~X^8%cfaavR8`lx+CG< zpN<yN>U$4Yd6WvpsXo5$xl7MDc7}P-+$C0=q6_po9xk_XoOouD_bh*_uO6k7Ua5pM zyl#=GTW>Y<!`_7b^O<u$zh_;3@_Y8nzkElf5?7}0dh~tO`w#OJe|zR1>E7_dJN(ZQ z^R5)J*h5jT-v~Z8<lFmk@;!}7ktLp0<*z2bI^s8%=lAm;54WoRe&x2^X#IK4UFUoJ zqO2x;-*Gi!qM+4pBfdazYPf!WYP)THE$^<($%|fk|2Nv#K4U_8{QKrpC#?4vq}Jyx ztGT0GYJN=X-2%P6hYG)B)@)5If3#}rzYP=a{9ZfxZ1>ZcO<!-HXom*Q=WBDC_gwzX zDXOt)q4HgDfYr?S>i1PvNOv25ecvHa9DPh&H#u>xe^71v)QFt<yPj%*-QoY$w(dOd z*Ur1{?!Ul+y5p5?{I&;n_pe)p)l1&|zh3$H=Zkl?OpIT@NBxZY%lGC+FBi#OoPO>H z>le9e_n$7`(<qm?l6%)9XsBAKmwxN`EYW`NhiAUXNu6CPd##Z}d6h6oaLLal!TllX zEB%~Uclf~~<aEhwe>1UPB?&1@e)>IUd@(6pzedy4%1Y{My4B5iuD-heI}bkDl|Sd_ z);|mUj^9t_xw(3?yIb6J-`kUP`#<vUk?T8fzPfhSe6x+o>F56JaX&Wy%~#Q<!PVF8 z=9{}L+&<@1=K9U&pXVliUKecF`<?yS=IVQ<>(BEW?O!WwHh;=-_1b(H=884^pRL;; zeBU+y!`x0e<?pO{b9pXaHmZ}{|9r-T?Yl1K?s^vc<zMX2^9MekpR#`awbOS#XI6eb ze`DrjukKy9qF%3l=Kk$xjT%?&!zuo6lYiHkam-#n=TqkY^LF!l4?T-sUL*CI{h9I8 z*Y|x7r9GRI^DkbgT>8g8@$bw`;G*N)EVuXaw~j3Pny==1McL|-BqRgnn_RDm`oD_n zBd@F_6If{Xi?s`19J83b_rAuSe}DgjT~nWa@{aNHJwMamoD*s1u#3+9`+H}tU2*H# zn&mZF(r-TBjJ%;!aBBJArw3}5)zoh7-VhlhH-F2%uGzP?e@Uu)E&xsq?~C^P&)08r zdirLu^4$-he7C3j-qLmJU)|@i`S0`dS61g4+uu{o|4-SqZ&l8w^Xc`8#S4P%&Yu1D zQ${6+uk_S%vs*iB66D^Q+P|(=&TG2;=(}b+=WOLUpEA!&%s4+~ef{b~&z5}pQNksn zr?u-%@cqeq_rEXlxGwPcgG$}K(#6lOs+DDYy2ctY!F>9^Y1b!yZ_t}udv9vF&3WT< zF8in76aI8>)oYJWCpKUEr1$G*Qf*lJ%uiKSFV}5#nwZo5`*6_AYCp~Vf12fgt#8}S z4{kjxdAY^^-q&-VW7LKJ?s=^?BmMIIOr^LM-zxVGmDmfOuY01ptv78Je=KkmltBvL z9i0=Lv+8}oiaE6<OQiQjC1rK0?+vbP|2pB7${w#{>nEG=r|mMxUt;B1Dx~EQD{xqU zwO`l1`nB)U{{OvbRGGh}X4W2ity9al?yj|)&OKXu?x&UdTh6DqZisw2#XnX5cg;F6 zv(<AxWxmUue?BX5^D)i&x0HU@tP?gfw|IIvE@%Gv-b2sQme)xAzVW=cd&ADNn)6@P zefxPwFXvs!spVVu*V>hH&fe<x^9uil^UviHKW8tm(PF>({Ihi8=ji1%v)FGx|7@N3 zxq5j`R{O2zpV<>XyDzV~)qeAN@%#-t?`zIK_VC+J8-*OXl2gm0ww`~knD}`^u-#ha zx1TFrZu~i^IseziZ$JM;-mrN!#s8_q?;1H_v--kQ%PZH^+VykKR-f}}rEL2A^OFxf z(_CJ2>-g>GpSNtNJf=DSSm(E&HrhG!icc-yb;WM}^VYNRb3bLy&6$6m`_Qv|zn@q9 zH=cj~{?Iem<uzK%Z$AG#X~WO<V7s%I-+r!)ys_ue6#utAzia+!=KOm)#s9a?@0x$Q zIdP?@mVXTXT@xp4mT&Pi*!H;HeDl_`xBY%@Ik)xvbJ@hr=QQX4s{HnIk9v+>!Kvj% z`)cjvxn}pz`ILF>&F7!%H~c)JIsX>xx1Vdo&E{J@z5K7W)^0xMZ2dW(GGj94pJz+_ zJT=(vYWLgEH#Kthm7ZE&+F5HiyXEYQJ8$e8bRNn#x<vhp=eYZk`_KOgLI3wB)y}N7 zGj2J{=l3&2Z}a)|WgBL`o#I~%%33XFZI;($h2MB?9Dn1_0nPc}^xuAtRLChSJ+*w} zA-nl^Th2NyuUQp-^ZDlG8-6Yfwp%;(+fN-Kv)>j^gZCe^n_t^{wtvp2m1{Pgf6kCt zydl``?A32SWt4L2-c0d-8}++}Pu$Gi>gnaU<oV|V4?UAuUL$q=_VeO38!Yc>&VR-G z?dKeYoM~21FYmf&H-B>L*_D1jujFq!|J)?8cv-OB)aW;#Exm8dc{|1bbJ*{ibAo2i zEuRMOK5I9BKIiQD)=w|*yK6U}yX9=F-%pcw8_qvhN-SO(Y<G3{o6nJIIn%74Ufy-v zZvN@kvs3+kUb%kj`DUjZYf4TnFIrz~7tTF9)bFQBeJVH!r_K8OlTYLht6@KUxzp3T zg&AU?<xYDy>n=a*m+Z`&E46vbmf%YTOAI}eC%sPFIb*4bx98zPW3zyOfC9tp$7z<c zHeM8y&fQoTowN0*j(e2XEzPq9OBOzHI$gS1CU@D_+EVfLA$$G>*F5}v;O=Le{m*~? zeOLSQPc^@|@aOYqwRZho)@rX5^~6bFAMZhdbvGU!4bUh_f3mM$x_`$$fiBKhE!i#W z_itbLL%Y!YRlj`P8EIMJ$^F`Qg4W&7|0`yre}d!LL%WXF-wJYn_CMIZ(7)r3c&^M9 z&gbl=O2uuDl+!OB|F`;&-Ry*5g;$S7KnotzHm~UaDYgH+*o_>~uOH){f4|8#`|TpT zGR^(x`EI-s`D!RrH(%+7NcXQDsekNtCj=|KO5S_$eK6N-qd@upzIF5OZn&xZ>hYA% zzo#5t=5*zFP51qD{TwaV+C5y*b!Ip6KmWdRU|HCe<13zgU)k)tcSV2n(f5}bX1fK- zzm~0=uXe+v``3@Fpw+dRqF)Q|J^h~9?7MqKf8^=+ml<dK1<J4QyPqzf^R4^WjrSja zmmGZ7u%iFsf%nA%X6-JucfS9u<vaYWV@3bf6QDIH$6adwsMXDXyy2zT*TR^(`NA74 zHD4uf`|$hAfoD@z^nYjEe?IfZ9Ko*}qkq<(JM?VMivEv^`~CHE&UOFVA^-7rOv72% zK>5|p_m}gV{as}D@Ax0P=?TS=SB}4Y@?Emw?1B~jKRNfG7sy%H^{Zn3r{6KnzAyTu z(@ldH$=}?Q>0Tgb!ol{rK>o;~T7h)y`mFMgzmFVJ^Sg5VN6&qAIWx{hcJCPL<_B)@ z6#2T*?m2XE;6L#{c8?P#tGs$F^X$84+gX-C`On^U^E)@pRC)DS_Tl%LJhL?y*?kND zV;7t_S?g7@%;WDf*=E07WcRMHZoVXFk!f=6+4qoTdw=rk=38!<sr~A4&0)|o(a)~6 zXD0u#%LFfJ{`%p!PK)oZ75#s8_n%h+tqwKx`}|v{(YI|y|8Lp-=YwwO2!Gvp?!)ht zLu%evj@zGpzgfmi+og8S`=7O&5+^IaO8)lg_nMZoT7mNK+3V(C-EdO$>&Ek+f3Img z8x<)3*u8Fk>4r%CSC9W4dB2&_Oxm?}ja}V*n;TEMfBmrk{5z+~w{b=P<@2C5-e(ut zJ=2FRCjCA2e!6tdw(egynE%*WCu|PBa{Nc{{pB2>rH$_t_Mg|gF{R^IMEH+duf$-r zSC9Xn120l?shyMk$L=X;{jFiLo12{R|JQRWw|sv7|M~a1-w!Pdx^kTRBXlvi+`;$3 z%(J5c<(2#HFXuD+y2viiX1_l(XubEEiGS>TH)M)^{V4pSHVU+c^l{HKX!4tR0-F5t zdG?>TyYWW+>qg$X`Fb~G1il&``}kX?<*Z_${CB;&`G+@HD!ocp{rFp^^{io_y#37k z&n<Fxxz^74_{UB?p*ZNu@i`~nUuK>iyvXj}-9L8A6N}xh9G`dOeX*F?bC=ql>L0aL ziN$eOj{83RzLQ~g_aeJ%A$9ZZZhYzf_2c`e-!V;RQv>DIr`}IzFl%09_pJ7ho%4pB zTCX18J^#L#*KFYt>Ggrj?tC#z{o?r{c78&-LI1aZsTmfGwN4YNwQB?H=g42_I3wNF zKgU)6w(*bJq(ou$SIIU9-V5{3W(kxpR|73pRq6T_!T;%ZPvhB$K>7PmKnto>0_D^B z_WK*<sCE3B!T<5MPs>@AK>2*V{pV9|_y~U8DF5vHOs?4>f%3_A`_G%)@Dcx7c=<<d z+JUrXEBe23>_4w_<BZtXkK!MGpJ_ZB6Da>Yux@_khMD@Wl9zq{z2sn8<BI<69rx1} zb1u2ozB&G*R_tKf#ufb=TkfZG<`}uu-Z}oWcG{t|nJfCI9(+HUbGA;Pe7)xW^F=q- z2z)IBuj=;gThTxB<onG+W~*Il_o)A@4LhtBe&zVNN8clvXK!6(cP#ji-Rp$SaaWGl z&bpt@oMYDYE8-?-?e|IXuOH_>{C<-KwDw!Q{r++Rv)Qh-XVQPxZaa{+dPV<tnf>R@ zZsdr6-5CD)_nU^Zeu46jD`6$zPIw7;09FFJ!b-q3kH2fS`?jv=|0%TpeB6zYu3r_e zf7Y%_2-ba-y#EX|2_`G=_m|Ci<yw2krfxpl4U?{45oY`Sg+coZ{?vfhY;WoMWwHLl z?=1(H#a=nC^Wgi-2H)rPZ$7K{9$-5B8(uBFom<}#5-M{1e)Qd=yjL_=m}qQMS}R(* z>B_C3LhrL{uNAHq-5M5Cytqk<OJ|DCl%Oe}676}`s{B@re4!HPA|N6nDiRz30X~k7 z+FR#tFW*=8-e~jY+1~T4?l;c;e6I5S-JPHR)|~&DURL(|*PY}N5!b?>?oL&AW*l2+ zck1+)pV>dYe>3|L`S$UnU4{o;BkX(sabDT@f7hpz7JbKmUk|mGyIQZ*x501gU-!WL z!xMjLcwL_#5clTn#=tMr{w(QV_V4*Z`-fi(*gu&pn&x)p<G)LPm-2TWpX&cF?{CdL zF|+p)PbWvNpMQS$p=Y&=Yq+GpeE#Whqb6gD|2w(AHFiQ~^3qR(Z>^YrUj5Ls;KenQ zet-G=)8|G_-W30`$#r(|9JBR%KWXM&JO5le@$=R|yPv<me*Wopqb7Tbe_D5)-F?p4 z?|VOK=3G7hoIUaL;y}Bdxxaq?QOT(@JGFdEto{7>mb2^KeyZ@ldj5I#hM#ve=D*?l zTT|6~R^IKWi16Q<e<EfdExuR!Oy+j3JNDda2V<pFpttg?S9bqzuAJ{bcf(5aQ|g~P z>g;$AExV{O|Ip%JpvKKHsi%`~FP(qhBC&W;pxx5rUq4%V-k6g!#eY+@{rupTvo4Ek zHu=AJUOa1q<z0>Wk0$^6IY%+)oXIKm{o(fWH@BR%bo-ey@7nqFNgFKBYs^1n_qS$N z>)DXSHAUxNJva8fF(-eD|4YliHEA5PXS)4V`Th0tOw}Acvs3E7o9gUz52f`5+8t&7 z_4ACV+2`I*C-*Oz@4tFOWWp5xXAA1=xLeOAEw15e|MEFg*eqQ7>E!B2`}t*wo3Cih zzm)j5#;WCPsoPJL^)H@Z*36kE{d96|sQrA=L(kY2*L1Ca`TVkKPMGx5$@Q`J^Yaon zU(=X>M*7#!FC4RH|5va1b3an{jl}-*UD*?s3vM-86!-9Zob!f%zM_2_{l4yh9rSP+ zm+G44;1icSqND<!@4I_n_|sO&bA}~HY#~C+?_Ih5ow@c=`N{jWhb&)|9K5r@|AlZo z;}0g&Cto;43I%r+%xkH-p#G(EJ;N`SQL&*F1~U5dznHbuWTnnL*?Um+L1gy-(x<y0 zS;)!j1gJ`0jqmUOC%@=b-OF5yAbHo9zl2X^&(&RTu=0<V@6%fW%=h@$xyQZ!yY#oW zypVHa%+6QF*Vcdg*LHe&LEeu~5&N(G`|oQvxz%@bpxw^2zctG^W@oznoU-i2bK}?> zXADlM&s;VCJnNyfX@Pb(U;g@eM$Amt?Wf4*Uq54%a-K;&ojiZx{PQ-6m$Rn$KXdw9 zqbF>}-TO)N%$4)$6E|cUpHerEwx6%v>bpD8ZfW<I&yh+wRz06QqrQCJ>2{+;>gnXY zA@=iA52dvR+8wq0^>dAgS*+X7C(mC#-|TgxMEdFEnd|4DmrA^xJjH)usQvueEoXJz zem>E=e*Sq_V)5)iyQQqZz`bOHQ|ggR=AWN?C~a<_-OZ!Fe%?{dxhDNIIOp>D^yUpW zGp6|e)B0QUPSk96&!>}HUO(R)dBY}Qihp8fon3UxS+m78Mc!XO-_*;IGdi{W%EI~Q z`4Tr@)|me!>Tivlm|4H%)5&kIoKK&=;b!g>|H7GdcGWFsgWY~Ung06u=I|SDj8Ca= z4zi!0-g5S{+fR{o*UzW#-(Z<A#ebc*{e1D(vyO{vyjFktY^jpNC;fEtnt$mveRcN6 zeQIHUjnZ0$fBbvmQEP8}eQoxC(R)Qlg-Q;1KH0i2yz8~Ay@EsLg^sV6yS46G+<AX= z-}YxSO6^N8@bX)9{_UTVzwO?S`}h8fX7^YAf7)s8@PhH>iz2&AzxrQF-uw5TX@TPJ zuXhm(h3EJdJvI|?ds?AoKXr-PY8CH$NA}%}N!@h1w`lrJl^2WRe=6TKI>@HHdZ}A) z6B~y~6u-Pf$E43MORQ|STrYo{`tsq!hy6ES{d|A;<^Aa?c5l9#)mH!cX7T&~=ckYE z>;8ZKIc7%Ot19DTi)~X+uiQN6m;c#oJ7%qQef#LvkB!~Ct=8>XDZkt0W>KzJ?a|uG zd&lOOESfBy`8X^5+@H&xo1R{Y^xJ!Mj%i-3zw(}|{YI}gKJ<t_H7z*Z^6OST{r!5S zb>8Z$PW?5r`t~LFp3nXL-M7p10{#>oHIM&mzt!~f6LYRB`+n8#);l}*=-Ho#PU*fY zd-cNq(fw;x^~ZasJt}{A<<;8kXFEbAdcX5aYluyMm}Gb5{Gz}G4e>u7HGQi3?<ezJ zFQ?!q$Gu~7MDF^(@i8r0msC?!v4DH6`R$7**Kc`w^5cKOJs|DpZ9iUG_NeX1oWsfO zew*GkFP;4K%6qN56a6;5+WqL>(if?ZFMXfHuDT=UVC}vyXP2-PJ-b-+*6*ezzgRx& z*2{YL><o5XsWbZA$o^U&Yf5(Pwa>zrU0$|K-FW8VC&Aw*^M$;s`7ZwZs{Ux(?vJ1P z?z}&o{qt4%%d4-}?tQBsf40I?_r?2-)kR<LCx8F(CUbFeX7%drn{4;YI#c0!@w@B) z#h;>kZ<m;GF44&U(Xm=lxPIDd<x`^HeLQaV+}ON*yWPXNrLos`zW(%loo7qL@6H1p zGooK_`chk2ds}kql`pa9!y5!oc;B}(*->-k`NB)v?bRnnft>Gu^XC57zkOM^UgrDo zFZH~@kM*UN!OiSdZK_W%eSdmhU3l_^Pe-1=7ptldl3ld--@WJ2tKxHyO7!ikzfu-$ z_HTWH{mS3xuBGfPo?Gc6ZnE^vizRi-*_SxTJ@|WhUgC%N*G0?N_`mRYpX%GU`O~>y zm3G?i*A!m=JIPmJ!uL1olYjWu^vV8;3lrd(X}f2$-S^0sNAg_ndhRUHfBo){pYzw% zXC)3d&3WSVGCG0fO!Tw0?30#FzVQ5!e(%b?eO|VE!hd_-)n|Y3{lmN?^>5XgloP&v zusb<<-iuvV3Qz5`XJX9U6+2~)*@i0Z+m(;?cN*-ne^D_nw|f7(|3)Pzt{7fvyc)f8 zo_&o)zU{IXB0J4KSF&2I+;La()#?<v$o4Ob-mE$Oh!^CQxnHZ>Vz=|}kl8z*Wm(3> z)*TW2F-zX8>94N6A6Ysp&3?8({Au^|^^dYnyor>b+;id4Hr2~>uh?g=+x^*^_v(7H zWFGFKN!*>4+b{f{T-4}V|NgV?)%Dwwc-qUa?YLYMZ*bDZ<i^qHiZ`a!U)HiWv+b_i zzC(P|7XA<Cs_Z5%pThK@hjaSk^A~??jcH%@W=^wq9_!Z2`;_lxp1XhEs6F?;+^+M+ z`yUmZI3oXAFnvmHtzGcVPdy*kzndRfUp~e3oyc?1-6#FoU&O@7B(Ysvu6y&{l7Cm< zm2J5AVUGXm?d!I0*l)w#-19s6=z6WWxic>*2HUPyUcJ5OWjyEJTc@&))P1e^_xZRA zbI-c?LerFcMR(3SrA;xPbL8oSPW#hW1)sioZTi|$J^RkG>7FentI7*aQ@*|Uv%1GX zYJ1=Fn?_eAtlW2X;??MzGx=A)@_Qve|L)xTnJenHN+w$r^4q#!Fm!v<b$j{pm>JId zpYDtLy4qIq@J)5+Ut#y%Z#3?3{$-~W_I0D^_RV^?!*0&MVqbjY{_h&^udCNe9PYF} zGP|&(M^L){NT)t18*u0?uKf6oQ%iiy^X+%SSJ!P-PoA<b-RV@?5xskMwojYB{h9VV z>+1S%DLt1UdFCj{-V5{Y7saprb#-1(q4TeG#cTXN`27wmeN?lL=VglexwFrr_iR2k zx75C{qTMb&&+pGUwrH!uir44e&D?|kr{$OJ2w?8@ZdW;0U3<UJ&-wFOpY;dt&3X^w zopb&zRMS5z`_D$XkKQ+Dt`E5L!&d8l*X@V7p?5w19$o}b8S3u@@{e6Kbj$f8sJ>4l z`p&M#t%oD8-49;=<NvXg*y(<EG|zpUdHv~;q}<BpxoP$*cl28y|NiFw(cKmIC;B;k zm;G^KnpMi9^F^gDH+Q~I>9u}cyS!qxLHRAl+VrcQvv$l+<#RvZd}jR)8KaNK|Fs*R z+9nT5A6@loY94K8<o>Nb|1<KxUBLZgYQ{SvmdDDP+xD9(=$*bQocesH&#e9NVdrw^ z-d^1Nj%E7d=iK{0s4AS|d*3DP(YUAmyGq?`zgzqNE%`U|FuYhex6|(I&%~{Io8SEx z-Og3K{O;oSmrnYvcyFSA&rSwZZrZ)xx$2u^d{pe#7w3!KI^4A6U-qN!;-hWin!hZ6 z7Z)e2UmV2$KJ?DQ&k09u&qp+rzmSREb9m3?7t#3>F8+PueK=+P;-LP0;f3uL;degw zuvWBxoBT-j*<82#T0LSV8t<03os4r|DpwnR$Ni$++^+5Ch4hxbpUH2d6P`5Xt+Dg5 z(wBec1>JAk7ka&|ySi|J(EblcMW1#_D;<Aw^!9TO@&8$S#P+|C^q=B=U%*mL|DK)u zjvwKVxBhTFU$H3WSKA50%8%bH4;|evwf?An)iL3xm&{%IPIhlUFR1tLYK3myMdNuI z=~uVc`c*$UyJe5<7tY#y8`F3FiV2TtJEMC~^!wAw^>y`=o>gBDy|XQ3x3JZk`_5-h zJUF<I-Q(0Yz3@GoUoCl*R>WNS@<=<|bnP4dmtO2Xr@n8R($*LKf2HK7ypAdTSseOO zTD0%^(){^~F|Uemone=IQys84=J}1MmU4C%_f-6T@*wE>!I}Iv5$yqsV_t8%wls55 z$)Qsb&k9!lO?k$||BXK*aNXY}-{2Kf(C?FHSmoZxUsx3L+w-mZvQD|VFT~egd>_01 z!S@qtKi{!T*Y4Q;Z{Nb|Yxm4j<Zgb?aJ=cV@lyFmwjc%ldv+#B^<8f4weP$ElIP!d zl)td6XT5LU^3FP3VEa8g^VTlD^$PFS?9qPbJ9Tb;!@nKpFHG80d|&gP1J{%7XKv3k zojA+m(jUK-kJ7Fk`z%*0{=V>B#gF}!|Jhe*+>JYkSOgGzcGeRUp*Iin#eXCu=2s`4 zY2_Bbx1e%!-PEU^-92UP)~no1{nESEot$;W+)Z<;C|A4Fq_^wx{Fa;Ut*)pzV5q(K zfL(f5C)bqyo1B0De)RBRwQat>THWWksf*;UO#ihpCh~oLh2gZ>{#!KW_c*`$lp+6k z#?%h)+RoEPU&0nmt@uA%Jh^g;x!TXqF5+u^D$ZAhfA#!)&f?de+~2=r_@}M#UVneJ z(iLV)Q>Sx9@2&IqzyIUSrnD>GPLi!J^5o;m&uqQlmi`MYcD-78vud@`&KXg`@ryS0 zly3OCOzY>LxUc^XE{SxJi{76oTfRu|9osqKPH+3olBb#PRau|Ay#Cpd{Z&pMm>*42 zPmE~W!L6;^|8Dvl_XR<UA5Tn9&@q1>s`zTsS=*}B3(u;5J3qgpzWaIGs`vi0w%?Dh zPQO%t;%m&bjq|qLTjisdxA*w3++8=0r@P6_f4A;#f$jyj74AF#-mN;!{#JO!hs0YY z8~e|xU1(n}x9Vm={4+)A#d3w`PjA0o{ZJv|-g#>!H-#@hss-;{i$229vi5s~$yL^m zKh`|n+O>M^P51rn5u!o4Muo3!!~9DZem|Bjw>mT?_oUse`>UN+`KsmZJ^pH?-j{nn z&LnT`TCIE2{daY!$JUca)_#w$z1n(DdVb}au)?jXza?zq+wR?d+?I9AS9F7lW##LB z`7I|6?mf78{X<X0)3)qJr3G@SFM69bf9?4!&wRj8`>t`mX-UX?ecue3&6C{UuiCR; zY~rG-{pLI{uJFV~RrAl>u}D+xzjwBJ+O6NeQ?I_CZ}PrUj`7mHJ!@8#+b>_MY<*g{ z+OF}Q_<sHs@%=ZJI{xv0@SSn>r+4@F-zmNMRrrPdlPa;(%=PuFpT9kN<Uh-w*hA`j z->>Pr`FZO1;$QuBY1Y%&|6N;u|MQpCmLKyUzITmionIcO=dd71@#}(pEXg}R{XKcq zE<VXt^2hGV%TpTfJbu5*Zso6eYggUt{;~dgzM!%|)w9&swRQdS6DqSWRpkfGVO_=j zXfwYs>(Nk&Z-GDeWliLMRT^-Fqvh@7jTx)BpPzvT<oVN~idWTyx8F<t8X{hmU-N8Z z)X}Tc-Ynj<Uw@wTmKD>jdRB5v$!1x&RIgRh`}$N}$2q^&G4=P;h3`85r&w5|sXm&d zUKq*t{{QAnpEUB{K2P3QGL>s9KkKE}m)3K;tmjT%^>|gSYZ8CHso#|Ex_|Chxyu;l z6`!{=^v~CPm0#iecN-&HbM>{|uc{Ws&*=U2<l>)(<giaaTxuns-u->fDoJkd>R(Hg z-XA=Fu(a~#+%%c<cZK=qImA4<HRb8EwX2>yw%yBqe!k-T4fAati#Aq#VJ(bg%U6|( z-QT#-;*I*O_aE22dVk6;GR0uA-NPMRAzCVOe|-$5t^Tz{EC0vt_v|kZ)$Dto|01`z z(EDQL&GXY&x5(`+o!|ZH@3r5mH9;T$N$;t@b!Tp6eE0pW0t-@JeDqx3>^X7iPWSh# zK5x37FZm*+;=J9<uhyA-neRS&p7$2ik*xZ%Zdcv+JwCIXXI0l+`W~tjVf5ui?A80B zmES-6UAl4!6nDS<Lhpq>Us|WH_3PgAlY2Uo!)|>pfBOAI#rMM^SAPrt*d1f#TWJ|y z-1l6!BK(WFP0-ZuN_ri?X4eU>2=TCa!ga*xOWc#@XSzu&hpMmbo`0FCZO5e}k0)RI z-Br<;)qii-M)&KE2^xM4uOfx{^B?b8ssRmWX8!!Enx}q?RfRu|{c7o3EBV#4UcPef ziFuWgSJg!;!)xc|-#gg*(aZS!o|q-MC9)~@OjEf!+hZCd^5(D5`dQ(hZ*%^?+TE1e zPZ<#wX{!AHU9C=k5?>K=W6$wVEA={#r^~JnjmbT0_u#Yq)8-BSXYMTTWc&W%ntg}# zv)t=;zBvh=LXq=d2fq8>vf5#lubSA-^KF7(uk3zR{bALf)ppmzpYA=u!nM9xU)Xa& z(8Bb%SMpZ8pSO7yUFw{a^fr2b_5I4*zbCsMGkG&v`p0gq{L2;IfqU~m%I$ent$l|- ztX6+_j^4>X|1Me?vTBJ|eVhC0{MWtzzx;drvGVHkU-MI0JKotZzieIo?3#5IBX5h` z-s)JxsXn(ivwv*3cI(LE-%7lX>LRO!R)kcX-=qJpzN203=Cba)U-o?ce|+PseUVeQ zODS9FH!ieT>6zJU{y%a-#0q!G?~iZAtKJK(y|m|Q=gu3C->*79`|ad8=3BNNS6{nb zYg%{o`;B$8^}c<)*=A#RH+J{Ks0F<N{YKw@+6(Sk^1^QYUxC>(X2ukXw%0b)pZ5<{ z6R-Xfx1@VbJd2j-J>&Ov^-KMgG-u!WT6|vVQpn8dq5IEw);?d~S@rstX_q1Mv$IV1 zKmRxS_=`nLbd?!bOVyOszgAYh&Up6t+sO#$S4RI#;=E&?bR8;s`n_}4JGbvw<MxM# zSJZr(E}^k%`?t><cN{-zuXp-Lq2P*;70Ig|R=DqcwJZ2*-Gh7YyI1#lhu-@j%%^sn z|I2Z+HTz~2*X}ra-}_f+glpyG|GM8aznm1g+dljIDuv^MmZq-fjBM7$-|zqR^khN7 z885keZzo4){l0hl&dKxEPOmN0&fjG5@~S`oFV1E5i(kIAELx&M{5zR8_LP3e{&}F{ zwtc<Qzv}F!<S-?@N}=hgACB3I1xMs7*Us|TQ(5eC?z8XoYri9B`0{gyXkJ+ybMwCV z>&|=YUM%HHe$}Me3DWgdRqynL=+0YJi}tIX0#|oO|9(IExAULXt<Zgc8uldaN`L&Z zIc49&s09Hl+$F32E6KZjmu;>-q8PC9!iH^299Fng9<W~a{cYvyyI+IaKdPNdxp(*+ zUkI1}`tx?zU-R9)K678c1s~5zcmJ<F*N^@aoE87Rm$ffa^MLh}%{TXKzW#payZ>J5 zA4~suSDP2V5DcyQYrym2*rj)V>wlO(m;EHUW!}o|(L1!&_r0q8Tler#`ux|W?AJf; zeEe2Yf9_c~E0g^n`oivCj@#J3+X`ajUGa*iF6T@C``@}%(fTd+^>bOiMz2=Jdk23O z7T;?(5sqE<YOa({w$2{EuGXq48#(^y$*a_CD@zrAyY@fdW!C>McKlWT<9aJ#$E2wL zs~J`}N<RMHULz2DJ^puNI*WnizDM&G*R|B={ptB#>3@>j!;C+szGQCw%dB@_*?-i( zdn|tUz1`H0+5htQ{CoMgq~w3?rc$osYo5&fF22Y4bDi1yH(#sQMeAQVw))I@RlSPW zAKspFi)~nFv75KtPeHH9c53RK`x*7iwu@~&X`uEm2OO4@#Me*ujg*TizxwglwCm4K zt~~wbqmtfNRq<)>J>DC=7BorkdRM*uN8D@H_ZO;6W}BDxJZt><@YBuvWmi>rxsXPy z?oL`_#?xB8`R8h{rIT*QJQbZ}{@`zXR?^bnEzL;|(`KaFR<D?~$wfKQt~=TJ`@6>< z@7#;Kz5Lm&`=QdGqE1^rtiNCO>F2&`o4Tiu&*eP%&-Lr?<;eJ-pVzOr-!p&rjji`r z-@iMV_l?W`HBSwG%(a@Gw&&k6?yT>}`=894b>?Yiebt`5yu3djT3Xo4pSbgX0YBH@ z|5u9Qu6^vklP`9`<h{Lo|MqX?SH&K#t&4Zv-7A|r=W5yP{3;9W_xoQoMb+M3+G2j_ zlTmM|#h)(@=gza=uKR5L`ND){iu+l4S&P!|9dz4YFxP_h&$-g#pASFW75lk<U)~c} z`-_Y}uI1}(STpb6wNE$hhej{DZ{IUV`se!>=GRLfpMCdIb;14c>nC4!`tv#LU-Q)Y zVfj1$So7vv8xMv)-pl-g`~9(s1?vi{euO=LSE&&CT=)Lc^UN>OxB14Df3tjd>2=?i z+3YJ`e$-d)nehMBZ{f<dPyZcw|Kg>|-+8sQuYcY5Qrq`&huz!T*PmbBqh9p=rOE5p z{FmNmeo4LdTryn!@`rzOpXmSold<_u*|Nn;I474*JIw#=d}Qf_({1`&vzxnFd0ERg zueF{iUh|Q&X1Ds?TFa8EC;J~)&Q<@Bw*1%Mow57>#%^t7|DmM+XXf>GZoOq&D{T{< zu4{6qFU|Y++wRsMzVeq`%~D&G_tY<&bMyCQxlLyLQB#bQ-F}^|n(%hJ==FfcxiLOV z?&~zHy?=R4u-LA$ms|%mW^`6P{A6is{3-fEzyr^;CtIiSx8_^v#U>h=S|6HyJbYKs z<K7o6-xt1Q`T2f_eeB*1-KX#8zJIg5B(*%E&HjKz)aipCtX~(d4VMVH<X13#ol0bM zM9cP)r3^P(-6}q}EdJWXJflST&Qfj9Yhq##bz?Od$`%UVyEJv`q{Gw8uJ=4FT~wm# z8@+2&J6~zWQPYrwYR>rI6R$_viR_!#JmbPN!Ov1#Ewzu!7064KJ=t#A_?*=){FqzZ z7h%f><*!m-d^u6?zJF`n!HjFsJ<6|`Bp;T)a(N%lu=0Z14)5@LGa>F!dlkLwkwn@Z zmhzWgY+tn14n^+@VtC`Eyr)ue_4jRj3734)-F<$Q_OHMDq~A2nULmYE`?9g}f7$n; zZzAQRXPXEAz4re5d=|sLYfUS+e)t;K%9$%^xIS?9=At)@<!gf_t}Kv!xVH3^!j<O3 z%6lSreEn0*Q2byqOZm%F4Dt0DE!#_u2A}>74uGI}U(AZm?ucc+S!cazv)`0;If8tZ zAQ{Ca?d<Lq-r-?h?FQ_2F~(lu64E;&&KBPNXecRNx%O$rk7bW9iK+!}R^C%t^HnAU z6hY-{!x{81x>b0G3ni30DeYORt-VyX=kV_9J-<IMf2<cPxoi)c3&_m4Q0+svuWqm7 z4{yEq2ox`@??84kC5THs)QyeQw5-W2KfB^9motm_YRh%jc52DW>$l4A$G;E%@}76C zo>J+i0LHD~oo@a=KF@#aN>4BQoT4DUXI9UDulgGQT9nN+!@VMVuMx-B2Ol`{!|zw| zSv`>at8p-Pmr(0%OT9zUyDl+Kza#q4cJ;a!-+!N6^rA)eRm<sRlM8oq|J2RUD46}M zUhY%WY57CjpUeL~eC=AR^K$pPzjgmT1#Aw8$2^qIW?S=qy5ov#YCE#$206$*TwChJ zxPiO(!?bm4rpWBuvODe0e0$mQmtM2V-q_9oCqlJX(eFcL4)@G{pci|xq3$Rs5V#s* zGg`LKHeagUmv{^m`6&+jlR#m{dO*+QQ1q@%K}A0<y_*$y-jPQzX3eu5?|#ha>D_a! z$fo9RUg`&S9-fWK?iHVZ`2G3a8Tq{W>+ay~7h_kwx6>@x>Ac^*W1i3FJImf5{{7t{ zP5+&AwxwL%^J+i6a=w?hPShW-UHSg-Zs$q2ItyK56*&L+&CQs{zQjfQUTwyMqV{<k zKD^wy(BAIiUJ3UX?2jIJ`|tZx&2iOy)rNa&uc~K#-5wpj^xxkzviprgYOlYRk`(&7 z_N&4J)u@l}oSDVfvd^zMa5zehE&jFE+SP0Hgi4Lh{&IQG{`1`Wo1In<Q?I=|Iq^T= z-?G;?LiWFpIKHi%|9|dTzpuZGPbbue=SsL|TdLQbwQgoUDqy{>qI|)YSdfE0^se~c z{P$z$yQ3CP_5$qjRZ6xSXZ>h<<?=ee!(q|iwpT677X}ACC^}xV^6U4PA6oc91%l%T z)A+1?TXqG^{cv~Vtp`Qze47$p?@W}P+|ydv@=lSx=H-Ht3t38m>X+B-zq>!!yVgFx zyW^}AlX=i4-w*S?{(hACDrMouoX>vi{3;S=`)YctEr05=?fxJBC68_XeqLIZTd*^E z?xPabtM9E#TK7LcQ5T<-WTN76_hNK;l1$+FA1rmt_bt_K2+0t>)7~ZvDz<*Mow@c? z_V2WHB3!px7yVnH{6k`2Nh@dO-a{q<+SlZ+aG&OBFUfr}eVxt62KFCJQZ>8nx5hC} zt&)DY_d@0u85aYtv=(db&THDNH*`S>Bq;gJMZtUAtRCSUZU=h`rmquW*nNQ`|7BNm zSb_2$@l*P-iW02Ghhm}S%u;Q>sDr&9;xzV`v?hq0;V6FzOSKjmtmcQR7yh{<+7xJ@ zd}paPGzC6dAbN-6ed{N0tQLIz&knZmedO08`&J*l_Dp^s&zpO>2VMWy9}pI-h&X-W z!TY~?PvuX}J<Kcwx@(t#fdO<~ZFb*dH=Y)C{v5Fr{ZBY7yWZ8Wbm@r=^L5{JGxQ#Z z)RYES^W2G9^}f??Z8G`&@#5`&`||DA?!LaiJhvlS_jt?S*S{V)<^TEf@ni3q>9Kw1 z|4#2aE8Ca!>Br3LR{!qLTKNAi@5l2G?0ROOoi6|IOkw@<i);5REetoR^YZ9gS#prM zvQc7#_WAmQ-Px=Ac2COII{0En_1$wjBtKZayZ`->%-*&iqRo$G-rld3Ej%b*w_&li zxl?+<^UAQ#e|iet!vkFFZm&GA=)XwY?i+vO?<+4^KAvB3UNQbgjpw^Fh3?^Te*V?$ zXOg$RVcC7|_Z*4H^#-bY^$Ysfz1R_LB^PVRz`xF^E`6_&L5NRdb%`ru?e~`-`qoJ_ zuwUnUzb4$wi`nkx9i?s8J1>gP{8ptq)r>D#e|qfePcOJ8fBe;AeZQGQwfLdk+oaO| zq-(E5E1v&OFFC4k@1ZQ%VA*?->vN{C)oj}7dZwyXvB~?X%yZfIi@ykNkNVa=_p4W3 z`no+Yo}6^z+!B|6?yvR{=eK1$Hp@LJPn-JX?E1sj-`7|4+}wS*TCx2F*Fl3_vhOa6 zGJkk*>!EC{<O0dG_V4pW;;&DQk`7eZd|UE+!1SH#k__H|SohxH#+9#E<+B@peP6fM z_+9CmeqY)0Orh78lo#B1*;9Su{hxh0o6^i1t4mrL{k~c6Dc)Nq^lI{5>phFLrI)H} zEWdY4sV<K7>T6Mr{mf6Ra-TY?`&Z0exGwzF64TO0s}9=Uw0hjPE@#TT1#_yhyCq^1 z3mybn9<Mz9Tch^K+G&3ipO-Iq;q}y2-CxXWLFn<%)&d^`cFVq7!~W{0@vfrT3qgT; zCFkGz`1bEkc^5^S5=*S_EY@awaB%Bk>s>|;#%K86w?1+Y=V-h5wxAyx4KGeHoHelC zBi<z+t0>{N{jlw>BnG({kb{{`4!`*jr%_kZnjmqP@B51`#)^WsANtnuT;SP!xO(9a z(kv+czYnZ`->P{}{{2nd#2b8K@}2$pAKF$QsC{MTab&&JbF1SYuZk6}ek!q{{2l*1 zE1O624d30_f8O}jSHu1j+%C;~C#zo+bufx*tS))V{u!K(!WH)~)@F7-Dzd{pT;xLe ze7^TkXFh9vQ+3yP7uJ;b;*?wF0rlC@N4}MIz3B4U{aq%q<S2v94z8bbzn(e}V95IJ zqA2T^yUPz-L&IWo+)WMMA1TG%GeA}J^gnVXi<#uE_RTr9?tW;|eNbYRy~i!9^!>0P z&*Iw;?dG)KsHsdj(!cIbK%@Is9{#eDr~mi&CoSykSSP@<`1Z+Su}M>{XY2R({J1z( zTI)kYAA`c17pGF17#}#^ez<o+J|w`)#U{PzVyrHG`{CSog?_{8@`~xxl7ma1_UvD6 zcjam5`s;Dee*HV}d)4D-{f%4fa{}$3nmnzL_-LD-5OcN2<wodbn|oW=1<UhfZPNeX zSL<U`_x#<Vnx%F<oB|cg?Rf63TWt`(lAn3k0qd`cAIf4|e|ptQTwuMolD~QTYppZk zuMRipeekQ5*b^I`xGzYat)#L1)!_$kcX555`paMe_nu&Rw*2d%GuB^eXN-L?_m@G% z?sXv3d0sHRztVp2cB$wy?XQUr;#9NhtM>Wp;+6NF8GSYUGk0OW@y?$o4qttFxmnEE zvFuyzkyz_LWqaeko%<|3{o&mI{B7x1UUOF->i@gP#^TZb-#^uktewWrxcgJ}1;@-g z={Ds%?7Y9;xbnR5wPbkRxvzo&Z%QhRAC%siesQv*zsg@bW&d@je(Rq)XzH(W?}R&7 zYN;Uquf~K8<>#h{|J`>av$?<WMw7Rtuce&Zp1qAb9(3PLbl>lP{r$?9$7<$HR6qPH zTB=-E$xc^g!h|GlxfAIvjj=z^K2QH@6R%{V>LPK%@wTKnbKG&;(uyPY%KmMI@oBZ6 zT#ucVf2{C(+w}U7c{%PEswBd<$}oNtk5XS^SJ9;Y+iBhsJE@+7TN8X4s|BSC1CQU3 z{pJ_D+>XIBgY{nU<=!9f&j~+VTvv2($As_-;ctHPQfpZ~oU}je%ZgoYC-t+jreeFE zg5E0$=f=Ik*Tc_lUfpN?wQ)(!C8hpgd2^2kjaF<{H{w^U3zna8M_u=m;@644DkiD* z?|1(5&Ze^L%(?0l@h`+{65hXv+*i*q=>pfD&HIEb_MdhI$A3IA@gMy4BfmpdRgNeN z0~k~=zP)xaE0|k?_2F8PJs<wg-)?q{b?1%V*)uydls)|V{$BpE*6;Q2-+!-9e{7Mr zKmP0M>!<(KRMeck{eOpT{qG-tfBf7hcl`VD{@-`&-+!&XU%s;POnF^(-J`!J_VfS$ zQn>zq)_Z^Zhez#i{JwR+IK8^=)AxVd`geZXalQWQ{p$6*zwi9M>ACv*-F9s(a*gG+ zF$MFoYwv7)wz1EB$MgSz*S~HooNx2)^+LN>k55iN`EmI^zj?LiwClHh-}*j&_s!3f z->3imo#pXBu;Tyf|9WqpA8%dXUu*m2^W#3#{@VYN59iIVv0v4%__x)4L%6;D5x)bw z?OL|mvQ}ShcVNHwRdLT-%^R!jSgby*<A0dze=zQ=;)TZYT8{i`u5YXO8~OIUcCNS` zydk`nqvS!X{Gr?Pn)beOUcmhRm%zPmB5y+FnPe-jw}05SJYoGWffu7jj|RxlPa*aU zH9{vA3Ly%I>leF(IVD&hhOy{B`#V3J_i*FBj7brq2YFO=3}64bd8Rz5xmxDk_4Sw6 zf7ZVH@9W#2{r3NBtp6VT{`f~_)vpiRtAF0ko)iE4;lpPA8?WEhzB~Q>&!gJ<H`((l z@4WuL&wlGO!~2r&ezty(KfCvO{nqdI)NAkjzV&_Q^_!o&p@qWt-DR=z{xx>rDl5L8 zZ{7RO>R$BC&)w_pe=YvD?)`4NZwvQ)-f_OLwnph}vTT3e`TYT)LgT&7yUzjgUkjfn z7yVg%{^Z{O&x}EZ#(Yqr(LF!?@BiZy4k&+k_dfi<&ro$x{{1nUe@FB0(9gfLs+a!n z>c4;D_nC#NNF~F=tjVB~L95Ar&;QrGp0684_APtqBcc=>krd|dGv7S8%zydimrwtE zef;PA_S5?RYHI8Mm;C>A`1t43d+K829{(z}llimw{*B+eY~ub;t*-k}`+v{B;`x88 z*8hL;9?~?}_?-Ry@9Y20*!EZdz4v|gHJfMFhi&aY{JV6#X8r$+_j~<+?fAa+eewFu z&)M&n*Ya@MFuhNIyUXs~kD521KfIXFU46H7&-P8v*<<ZrKYtT>zr6O1bLHd0`Hz29 zIL|t6C3kn;fA`qy$NQ=u7tU}0wW42Ie)9DFUoCfjEx-4<x;p;%&#h<Y$^Wr={ofwk zI{9DAI!E4=@%t+NMt*SHKywGYZQyqh-Zm)XdI!%+!3FTP!Q3W9vqR(#yxFn*0le8^ z%?dIa((D*Dx|Sn<G(bQN)1j6^eueL?XRc8>vSdFixQhWEeGBXp_7rg8eX~jXW8;?k z-^}bcoD2R3Z=7mkIN4b1_S1@19sY}lR%z|tJm;^Ha;|dE{rP_L{mf<V*UP<k^SN6l zdu#i#eao-a_gMe_ytjVm8^y~zzulkv{maR`9l@0^twdLSeX{HSB}?ta-|pAmy%k=m zCB9a@(mrdhZPvZJdXt{N(XcJQe)6VzOpQb3%5@^kzAicUh~Lr3t-1V9V!=Jpq!*&~ z3_sZ3|6u;GY++m6NA`xi4}JCw`BGURi5Ejg;@7mVtKOHrl(_%>&x)U?H1y^lKUsRa zG~>S1?|D)F`})5b{Ns4PPGk1^E1ng`$84?kSoc2Px#P{hxb@%Ge*AgUPkrs`ZNc~F z^2~1kK4<=pCHwg89x2Lq&ARsV<Ue`lp6{WR^-3PcB<>YwJeu_VKD+$K3H+|UulL05 zd*l7+ao<B*b#=YUy*58O+G{kjqMm;>s;>_+-58|)bC2@(4~Bc5^Bh(E`}={ioM~B7 z)bje}_2IE=u6X_`FBgDXS1(_4WbrXSpVwdBe|f*Ed#aV^|95;zpFhm|EIj?o`{w?N zD>phb=l+}fFSc}JkowE}cO7%&?iJTPn)I*sgJ8duwc*;!`!DZbtrzx6<?n9mj<biW z&-uq(+RtzIC@@)lS?RCxU*%Vidd*!@U(UX<vf}*DPVZme54ziAy*aYQ@BhB)|L4AQ zRwv%Gs6YKR*4D?pu0KFUuYXm0^_TzQRkmmLSJx|7em}h<M&<Fv_)zum`9Dr^o?3mZ zZq>EP*I)0cD+w?^$9u2;)$1S03(Z3KSzoIqgnzrX@6$%V>s60lyRY5se)hN8&ZW=a zSp~-KSo|p{HdB7Bdg-+5!!QjFu`Gk)-2BM(`=#f6tgev1{pr=0&eIm3kMd{7_U@@U zba`L$rSofkXUFc}{%NVL!6&);YyI2)Z#VqEO|J6inQia0?#aEM_<8Q<ZFSd|7u}or zJ=Juby;V`A)vueS>1)+XzwM6ue)z}h^J+_OpWoMK`)b?k3aw4^OPAJG&)s|K_NvWy zE4BU0V|Ko)zxAw6{=Y$8^(W`soxZ($R)^}ypZ|T|Hh=ZGuCIRgPCqy|=f|1Pe}1=y zgN)pM^Z55ydl!Y5fA+ZbDZJKW@5|;_Gk;yW>vk_}?%Q+GKX*SqRr>$7S$TZ<@y~l! zN8jf1?cL*Bf5-Oy>=~wd(Ur;@-3m^>KK?uZZTR==duAP|-&NeR;9TEwDYxJIHabfD zjNbK^zsi1QL;_-2#oXAU$7TX8dw=>b4LX@U?;F=i-jdBScMrO}O66ud>DX+<yEF1B zQyWv;;ruq=2|=6IuiyUm`Sj`6KYb50YZ28w&hoo`)93tO4|Y$Veq-vr8~?Y)pZovL zBQyML<D2>HIY<7t9)J7w=6~f!_pSfkdoCm2f7jyw{E9nq^Z(jE`g7C%_$I;s>F48j zvV4~Q{8!;;@t^l=>T7muepveY|NWq%kL6d6m7cWwxoiESY3qJ3e*7$AYTdIvKV7`{ z)xEal`_s<<?egQrrebvy<$D@syP53bI%i*Qf4ExrPkqCVpC)0CkFxi7N&mYlR;XzI zf%$jmr?1-QbT2oZW?!*6a(#d1mk#-mE5Gl_FJ1BI{R-Z%?XLyu65Y>)-kBsPD{KBJ z<o21$&z*iX_kZtg7u*rizwXKb=IhK6hw|=h-;rZbv$ggA;>z`M20s}e9jZ){dULGO zzxe+TcJ<(>&~|aV_*1_ZubXoD;{7u5{onJK&iEZ~lB@7f|LF6?M*HGBItz^6uYYQr z{L?z?^oO!L?ic&YkDNXhmz;lNVf*G!^ZwRabw}*9yZI!<^!JG@pI5VPd?~x*cck@K zQ~HhG-}=+#+tzRUQ2(X!u>K<#h7HQ^ejHx*>gC1r!cKSWAITW${+Tame*LTZK0ArS zd8a0<U%bBmF7taHhnS0>0&eieeyB8FG1YFzxf$<YRXUt1xBTxspFPZAdAYLuifo@2 z+0wYV+rQXey7+u<=WOASFBP-CPv3mZ_V=%CUHhl_)IF}+^X>ls?|PwkeLl}y(N#U` z>(|LgY<u<2{jv$ZdeUUif0duX^W~Gj*6eRmTkbTyblURc|29a!{sdkV;=lDK`}7%C zOgFyFy~(-%LAQSb`}<eB-dNfEng4OmIrATvra$_(Z%+LmS<sr0L;7#rYW)|hi_h`N zEVWH^I<CoGz0}};y}WE$^X}4C$I@@cd+HVEzxpa^-nv`d;z{t!v`1;S0gwA0z0%q+ zck;<2XPF`%ZCm%o;B1Xf!Fw<3Kkn}=>Sx~AcI-g@78|}YXa3!M{QuLB<i<Yi>H9j- z{R-=zgLzjvOIatdMK$g&eR^=uT5aZr)hnH9qr<h@x-<*6uhUqNUu*;wmb|xT!q?&e z)&LXMch^KGminCjux%X=!@6Z@717~hUZQq4V{De)4~_^=xpi%-X~~Mi8tc|EMHg4x z=HCyBg}VKRTHZ+-uD@qj{dA}Fo!yuC{-^Kxzvi8D&Zm`TztfMs{pw|`ddcsJ;8z~? z4QsW1PV53r>t4*e(hc?bU)y5!E4>dT?}^WfzsJ3DfAe$ayK7&bs4bs9_tTRb@@3BF z_r@g#%>B!gzdqb*VII%<56`6g?%&Qk@aBidgS^<652E?ce%Q9|%9Rr9!_{H2AK!of zx@$!`SLvbD;N8{9hwFV_&H8cd@?X9mz0>R)WnUlqaX9SV?AZ49`u}zRy}&CM63wqY z{CAg2fp-g2`TAhFGq+z)VLWg(WZ}NG+M=K-qv&vv3)?Lv?_Hb9c;M<4p8Pn0Uwggq zhSxg#_u+TeY8&OgJ|$&!B~c_iym77Np11AyGb%N5_QxkWeeanOo<D!@r#rmwVl&(S zUr@WIZa3{xd;Aej`3=%vFO^pOuvgAoA3oviN3lwm*aOOM+~)rH3vuD=Q_Ypp;cN`y z!L7fxtqb814StXp8|ZNVSxN;&*mzH+;_L6*L>(@Q?1&C`xn`()`1UR%h8?qJJ<N@b z)Cm2NQv9*v|2eg9?U#>zUS8_DEH^gNx!tM<l-f+&xBvanU3IMBM(?k0#R8xp`Mz$; z;s28F?N|R6n7#YRxt`mvr{4Xtgk7Qk;wP0G#;?2T_n()Pea&5J)H~0mH~I7X?~YE{ ztyUkcT%Bvpy&t?QJtce4PWkZd*Hi44MK3nq^Rw;o_pQ+;pXYPlS*u;SC*KW}%<d&j zE(bYZ%**IS)}u#;fA3_*u9WyT0~F?Ri*6?y?^&zOy)<{$Pk-_LYog28^a6`x%5B_U z9?sulBVV>BdgD5SnH%fc%%5LB@0h&DF#5r}uUro}bKAC;x-#rGQa!x;($vV;w+q)_ zaY)<#dWkP*%(;m8pSyCNyWH%yaXbAv@%Qf!Ki-N@mVC{<C;e*vKA-Z1ji)869=$(Y zetEK7gN>8Dfnb@lf7;so<GoL~*1lfC%Nuj<f}_}*PjW}U-t`O2(*MM9_pr*1YX`sA z>;G2yYJ2wm@l~Z$zr2s1^YK4t-6X4xb<QQN*M8k?W7CV9AM>+;{oU&YY`4Ex-haMW z%`R}R&t|)i_4>?PrSe;UJxjfo$MB*4Sncwt<6edHH}>hC|8RfXrRk6Q>WwG-zk48` z?<n6V3EkZdZ-1wzFOo=FoPUp3BUOCIoQ3K&ioa~5t?h5_J)!)C`Ex?F{~Gl`;rT24 z_WWz(;CQRPN_Zdd+eu8*jo(d=tAD>t-Ik%UXPWxKZ+|a+KfQwcI%mABw>;QeIrio{ zmVs3#luP*S5~A7HHU|nj&Gp&*Z{5FYp_jjZM!4M3RVh&HTzjDU+WVc$S5D@By6H~& z7ORIxrrGV3d9^9JOyu|BIwjfXx9#U=ZRXcYUlXhqJMod7bNG*HzOTP02`tug%u36@ z*=e(A-bc<iZne?j44Df=ca$%c{dazc-Or|w*ME9;tkq^_jrDc?>*)T$Fy}Rw@TaQQ z%mrbK?yeA@COPlYo5TA{pLVg^91y>daQy4buUsp7woBd<x9XYS%yG=Y{P5h?Bd@12 z-bl@A+g_U5V|f1DhkX}VUUMCkc#*aPmP^)Zi*8{wKK$G1-&$>!<OQ=I=EX`f#Q1^= zlaLE+XL#Oo|J=S#pr=u9$A5O#nw@jRF&1llcG~1nr{aDhu;#zF?~$+9R-ExL3w`Qv zVFAyXvS6W?->#>uTst+*&d$!RZqfCmy6yGR+y468uCFx7JYTl`(UsLn1#{jmejfck z@csWy;klZ-kNxc}Tk&{Z0)K+WvP*M&eus0d>HFQU>h^cfYg0+{^Y4w`+4t`;e>8uh zy1CQ~qj&dD*4#Y0qx$!y!U~4tsnc)8eRHdon^ktizPb9exY^IedEd^<l$WFydoAgm zaXibC;Z5(KzI6r~8$k;%I@X-dJ!HKri6L*D@V%NtJ1<o|&HuILSJl#@NlY_i8_T~O zNw9ku``mBOG@FMd$DiF5`}jYqZ^iuby#m{#`Z#wV+tc&)^U}WE`<y?1Uva)6DcvZK zZBLK&YmtejyE*SH*5>(;P=Cn!^_1p-`7(A7Wn&{XZ5=B!u1gjEx4E*zJ)BRl&Zgp! z^{!7R&TLfM6J3|S_YuSYhuWaU26xo=fR-XWvjXcft=Y8G4Y6!P9=dEJ_PXXGZJ%SW z{VP8IdG@R8xb!`y?`y&t{4+qBnQq8V=Y0R-lvgJE<A-OnkNo|d{#Y*7(x<X?#)rOj zYxdl^*HV7^eN24eLj8YC-(Pectc3@}#i>(+4y(`3KC&iULTN|Hxx#xN4ZY+GSEttB z*tu`g@9*0BYL!KcS)$f)KmAwxXknT9ok;#Gzqc&X{w_J|!?WKvL+)*ru-l?gH_>5o z;dYyo-xrAcsPATd*S<^^v>axde$GCZ;%7TP+rD`ErHB83P1tF_4cWCPIt!Qn=RfuL zx6R_X_!Gi@v#00x{dih(;-hQI5m&p%1vjSN|JppgYTx<4zssA1@7e4Rzcg8TQcC9Z z!soyFKL+e}+E?&vPfhd@d*|>?ewCKCkC_enuWk~4qrE;j?@Qw%ZI;6?xPA)7{+wU= zd{-Caj-7s=e!JO$7iFm5iS!VAm$R=X{mA*Mr+r*Dt5PdAyxt=pn`jhQf9SVcz949= zNBN<-ttz{c4#dTPLRT*N+q@6^COU%`A8>+Jd+gZhSK%HmWpa4Vhkb#6z^i10L91j6 zisydlTW6BdX8EvoF=Q2pI(V50IBqj9@Dv~V?e=jo$bEi0+{1YeoGm?Mz3b8f$!9I! zdmn+9pUefNh=$p(Tgpq8IvSthd@p^pAG9{Y`XOl1kok4a_b<Gf(`E?Y+gX2~;ZBVD zp7pELch>Ls-ImBzIq5kM@4W)i9nUSD4+!7le1B)Ze#70unIH0=&wp`>@3iu4)^``D zDmUETD17hYRJOAQAkS&5GJkD;Emin`-<xB$yFNL5m|^%(HrDdkmzx)b@9FN}99PL! zvcvy@T&(1#yH+`etaoj4^}B5bPN|tw{=eP|N~$j#z$=UpNi}CNEUD`K_n-Z>tNqXJ z?FVdMi#GpmDK9w+cJ^Xz?WJx0X4gA@KR<uWc9+uSdA9sAv4#xh;fnh=$7O=*c)8d> zhyNdDf|o^s0>nDsEnEuJIsq+cv9D3X%r)N?+Bo#47SAcK>AB>7C+nts*}B`$-dBah z`FvV(ce?K1eQ%8G)>~b_Tr~I3s@GFaugR8vzq)U>J)8IQH2JT=e(f^mi}&U$A7kC? zo&sJaa)q5EbL|1^uL~E}bx${lx2%_VGI-a4;8}9=_tfXFuJg9<^r_wQJbUT$*{>9L zx3j-J!}9;s&$;{AlhxRFf|rB@EP1O3TN83$vMe*<GIULdjrZ4|QNJ$jc%2{e@&3wR zJQ`(cdny;4-l4zvzAk)Ki2SL8s{SaeLbTnb-mk8Uf7IXDzw>sJx20^+o!*N3pk*P4 zA<IIXFZcemQTD&}D_ZKi<m-Gp`_<2X3N3VYYH_-qY1qHQS%&R5_sa*V*VN}+2#*Ti zTDZA$%dOmr?N3Wi6q-M(E=!16!S2#J_gBUH8qPiIqpr7Kl8FhDU*WKx)&Gj&qJ#HV zyk7n6X6W&`zZ`<>GAAB?e~tBst8D_uhG6;S^S=kldz@0&{bcdAu$@J2Me>5?zpbyV zzBZn$y`&@`B)_s>J@eDgYWw?h)z9B6-o*ct`)?Ef8(v%MlAk;u@1Fmwf8qI=n*xZb z7ubr8T){mre%t3~b8wd_suZdzO%voZ+*a@RCv5pwi+%roS^TxCfAZ=0^yl{V|Gz)} z(zyL`g}rU<kK23yJT*PH{_}?q2i4z{ez*Jnvie`|z3=iH&py3+@AK0B>iFB~>o-3) z|MqL^`O0U7>;J#n|2?k!eewI$^Z9$;|B?`@V7d48W6S55*N?kQ`%7&O+5UHny?*@I z-p@PMgJw~z<JFGe|7ErF`~R9}$<^_{|81RZyZ__gHTChlpb47)U+=g6{ZsMib#gra z-#<H&&L-clciZvYe4qT);}iZJRKBr#zI>AU=z@z?qbpEGm*n7CqqJ+U9i!~56Z0hy z<-+xYevdgsSOc3UM11&vU)tc><UJeS1c-_?zB;<(@CT!p#lb6{m+ihg?e6;Z%kQ7R zzi;2SO}~G=-n8faytsd5wR68-|0VVN`c9iYKib}gZm)m0zx3~^Uw<Cd*1y^R{?+%- zzxKZO&9XUG{^!}x#_#>l=3TGf@_mp0FN^ot|K@zQ`uyj?`B?d87P-dno6BP5%WLeO zfu~?U@4EbP&(zAg3tu<aotyWy_WJP}4S%`k#WC{ImnwXYJDZ>XA8`Gv;p2HW=bnR> zc|A$q^yk|1CvWywp5H(FyQ%&DXKBzmST<1U@vn|`j(jN-XnhuB4t8{X*64z@(G`4{ zOB(-)*VZs4=$u$9gH%4CuJknR<u00d%wRLCva*L?U##KZe?FGa|Ni~;`gWnr^!xu$ zt-qiD`t!qYwz2<?KK*%n{r&ClzyB`$v*YhB`@f&#{=b2*(I~(7{e10NWAGY{&}_NS zb05yT|8d{n8*fhUuetMj{@cG#<9|Gne^dMR_nn;c?`!UVHB|Y)u_u1(>t7X*K5smK z_@=%1w_UF*@>9>hFZsJ_{^s!7`(HQmKW#o<+xPl$mq~xA%`V&j{kzun%YHxj*pB~e zpgg}FsEIMh=9l&SpPTot|NG}w+WGgW3pLKqYN)>2egLw}b9B9hUCZ{-1t{0sKWrOa zk@HL79&Cxy{7c8#6FE;Tzlta$=3LB@He_JA8+5DP?#ciC+qPOv(@>DEd_Jovk;hT} zcD3dCtS2feJMZ3jd%k4$d6Rc?pXI;z=U-;NZ|}F<{aU-_UyI{4^S*Aje}69~JTBd? z_*wV$zuM<-N*4dy9AAEJ_UkiSQ}*q6cfa!7xf!3U@3OD`z;WN;OwGaHOH=24o`2T* z`pv$b>-L<RqyG8m>udcETF08chwi=B-}`mq*Me{RCVW2jIL7N4_xkm_&aJDu`)i`> z&y+J(*ZP@*Dvmr}aQY8-<yv{o?<Z$`T_FGKW6I1s|E^k9ezPo>J6)Rk-%IWD(Yj~f z<r_nKSo8Pq)3TT^s`={@Kg0e9Yvmivd&O#BvNyzixW<2Awp;hUFU$`56|dPJ_%3#h z|HZgKe9u?r56dnt(*MhFf&1Ps#)``s7pK=TykO1$%djVN%L{LNhA&Oq>lp5tM15H* z&ro$R8nk*u7i9cix)@*gbl<W2cF)TG*ZbHP?=fyWef#gp$B)-UpR+#pbIwfte{r9U z>P}SWO_6=SM!3@WSaH-n<KFL&3x3M~mp}OR?>%N)um0pY=coQm(0xCX$9mfLS@An| z%$KP-q%7AK_3ZG;pYlKN?C<;f`k;fBTjlzNt=;$E!zA~`*WH<ZXu0guy=vlm`{L!G zdiKTt`n+nRTG_SE`|pp)*`2CBYY=m1Kfm2W!(%fep1r&O?tXIYn>E7U-``Xy(|K>Y zzo78H-H(>z66r}Zch~Q(?=RiB+3(K&^yV8I_gw#T=;+_y4}|5C=X9pN`}g*r?X{!l ztP20n<4rpLDeg13`1kkC{uMoiYSVW9-v0Z0gt+zVBY&&SI%H2*pVcSUQvGlF|K}C3 zeSK7T@VC)^dFwf+-u|oJ``M)2Ugq%A9DVt^Luc8GVm~TwJoEMO(M8N34n};q*3YhE z|M=Y^{rmO~hJz+04DEDGHP@Gh+WYN&x8H_2)GbLEz6@<n><ZP$(&PF5{f{LU`?)Rl zTXaq~{g|ZvbG4Qhfr2)N6MI~K9W-}bHkrLtZpmMV9p!mDd`~p%PZCpXQAm+<HaO`U za-#bJ3)3^j3m+9(m~LG%>XGnp?l>WlbAA7+y3(@HwNYEo**>3F{M+ws+TGCXxBve| zWUs#^A9^)C{dvkuzlo=$mQ1Tzf3a2R@w~ZnuZul;qIz|8-==vdn}iu&EuDX0;`zY+ z(~G3*ESdk!`|TSrufe$Qh;gWb^+(&^(&}>?&j0&1w=MqJ&n4gZ|2?ofl6~TH?ar^I zb@SLcPYHkcEOh@)|BcW7{AG_nJ+c+QQ=4N}G4J<*<8qU#fB(>|ys5bG|5Dpk%zt;i zy>-`Gy6o+~%Jk>|4n>;9&5B}Q`TjlQg1|d}_O6TNvCIAPbYs=BFFmJkR9KeXoR~FZ zQ`y^p$7XIlZF(@2@9h1ZKci=F>|VC~*x8-uV}fH;dqrx#m+$&E@v8p)=?8UBo9Eu| zmbbhA?cx7p>)n$-P3^CH9zNx|{Bg~hzc&40d3<`x^~^>0*ZZX@##BB0e{NOmI?i>Q zHng9f{d=~g{w?c+J9aMH;U%T{<86IqrSfC9$+Neuzy9t;ZRK0({bga_^WO#R^6Jt3 zA-PXKKD=b}-ZQsK|IRlT-mczpy*P3Dx1~?nZhyP|?!t~!WhUa{;ql+y=l*`3G}%?U zwlc72=W5}e>Df)Izw9cCzpkh7_fxH8%ryU-OFvds2i{!uI5Z?QHtv7e_qyt6<^^Z> z-O-;vb$jmfk6**v=bHa3HZC)IcU$iI^M9{%Zti~f`SHi}e~WjC{{DPs%9$TJr<Wfq zzWeR&pF2Ody>HzA>+88+Co1O5{`mV<+MC&3-sy8=URFv!W~qNR`+V8C;J>9C^@H;w z>zJ&6FAOn#sU<vj=da85Z;oW&U$<}03M1B&H)`VpC%<j>+IaTlt=g~i-u-)L>dPB) zYx-@rX?tdD%D($q^KSO7z0&88KL1*I)vN67AK&tSiF1B`yf^)z@5k);>TLE){n17j zzu&LFU;XgV>;qHg{0s0i+9gze>wM<AKX1$4-oEmA)}`gU_I)WoefzINU}x`Y^O(01 zM(cicZTL57gGSEu@Vf80bHBDIEbQHPN7q)@_V@SGx5K5TY|Yon%eTM3wO?*}uE%wd zZR-8ov+sZPpTDm5`~SmbZ>v9UUY>pbbo93Ozr_8n@5}%Hxa@fT^VZ8-->=`lFZOp? z|Fq5TMa?z@3wuxge>6Ym`o6vXI_dIhdyC@#@ZS;H|LN@0x9M+#?%w>GoKt(}=ihaI z|9;(|?|-v6?9)y03-T$2C68WgonI3^vG9H0{`Uvurx^b$>7Qa+^)7JPQp^1xOW*eG zJ}&Rt5i&iz?)U!H&y8Mm^1r*dFXquy_RRWaMgqULa{TvhYd5O+ZBod7>cQKq=lP}_ z+<){#0Nel5AmOu90@&84y9TswPw4)$lKpPLvN~Cv1w3($OsOCCXH{r4*S9}h$n$S* zTl<08T2p8J-Zs~J;?dJw7t)`HKT9|zS-;Eu)U4;{kDV-PTUz|M->9sl_U-Yn|CX$O ze_Xq$MSR(kmA}3+$e+5hKA(31PuzEwDF<KAG?;QQTbnQSLzM$Z>W5#;Bu_o~%E%q^ zP`6<5$6u_6ooD`v&#%yE{_lF&S@MrG4}a>1d=r}~2RDlgoPTgryCeO>8_^@i72miX zN!nDie&m_Ax2d9aeh2$Eu17O};gDxLALnS(oW5RR-oee`0_^$IS1xh&;w;d;T7R)~ zaeACe{u>*$IYLiQReN0T{deSba%km8^;zq=B3{qu(3hXK<dfjMtsXM}-7Bss6@JK4 zp8P>Q0vs)-e6!R&k{=)S);M){XYs{X8Y@p(eylM4I`8(UAL9QWt!+1%yT$YM{7KhL zm+q4}%ALK?;-k>RNbaM?71x|T^6c9)-S|i8n)Yj3LzL<mmAe-UyU*WizoT8_`d;&r z`5`aw9Q(fN+thv6OGWmpnhD1rnqm_A-|E@x75~>vd^Gc4ahbsR2bR_y=^y6E9x<*s z$M;CmCY}8w&%Dje6>R5kI@&bPf9_ozVr2Tg)Y@FBdwS@CaG&?0lF7e0*V=kbi7t5Q zRqH<Q`T1M9KXShvUwuTrcc$*{zdzso?slI&=eX3p>E3%jDV&|I#cWvb_TxA&f9i+# zhBi|U+9!fi#3fMbi975pdQWZsiF@BA<xXAsUk8dUc2I1|gJP@x1W3)4hl(GTd8s}t zJaFRCiz64a_wI;D=~*kDpb`EqvgGcI%byc3rj$y)z3!#+uj9j^DN8;H-n*SYE!XY( z4`!ZC7FLB{K9yH~kojybaY}Ok1?AMGe|0x3mAud6^|tTp&&4aZrA~jR^ZlRdq3Iia z{<v4@&r{y>E>|OXf0D$h2YWvUUy*<RN95t@of?-xjH~t*_K_c4DnMd;KL@vbKj$wP zS6!>|SpI!waLE4edo&MKpP#L?2V`FL`*;Y0|K9!?^~$JX)$ilaw1i|mJ6o3PET*sR zu)fmiyM1QPUS{W=?Q0!8d;fJ*g-u<_F87xIKu7U^mx@HMpq(7=&t4atw=-(btA0!6 zL&o9Vm+QZ3PL|-`01lSbyl0Ou+4zyo*^)QMv$rnyv2CdQ-`4oQ|DRraf8u|tjzL`D zU+v?~l7d&?A9Zf|e(n8<xctAz)(6k2U})Fr^OM<|bJFHLlk-m2@YwEOuU?1Ui}+*u zcjoPEw#skrPaD@BbvEYF?SA)NEJb{czF?h!eAG^k{e3?TR^6Y?uly&$Zp*^15BF*$ z9`60@)AIeCv0z=nyqO>Nm(S~b1>)VaKJQX-&gjw1iqGea1OMKuR6E3f&RF>4gSqL8 ze!;YW6}`6+hMNHvu#Iju`dDmU<1cn|b^hUnJ$w13<xV{)2PLL{3As}b?&pFM(-lxc znE@(e3J*I=+PsfDqW$M-#op>e1p%%5MITpwSDN>d|9#w%*Z*qX7m3*KsTMqc<@|2( zk393r^&d(8VdrH}{QwetQ2AYn@19=p%A4EoG4_DM#kQLN)0;hO-X2xpT<&6dZAH}X zAG^Pw>Nkny4Z6?w<gtAJ<5o@2-uHjsTim<7T=xHYi@5Xp3crkB{NNP*(fJ4*HP(+6 zirF-+`7Ztq3G2EmxKE~;r_O*cEhWT0S;6mbs{G>YKkRIgGIK3{?LKw>+RGF9%=WYA z3f7&ji_46&tlRK=FLUzke(@)J&3i$1mCEj~ld=D>x%c$FzmI=}{^^NLo@1dV57u9L z{P(-<yYA~=R;zn|I?!fXa=_H>e(paGT0|rZ)=e!uP=BKt<fqze=QlZa7A3!aad!H& z&!68ezjsdix7N0q-nMby6~0feYjP8FSMR&`@o?7nzwdt3hHdlz^LB5sjD3gs>IXj- z7XDGVcjm<2?R}5KJGWmFdoT5W5+nr~^R>ir=GR}IS(N-y;%<6sYmak_d{48f+(Y)q z3A}DUO8c1W1gFncz5X@xf8CRF{$`(dcWr8&vSso@u|2-)Hl5|wdzW+UTpj<0$e2pi z>syb7pZE9t{NDW$=ls*@)A~-VJ=$7xYyb7@+1s<?tYQz8zWr0Dw!UTMqDMC??p=BR zW#xO7H;vx=^LG3F{;r(=$HzZiob&b(@mue9h4r0`{QvjFW9!>n^MeZ``=+j2AG-g+ zrVDAuLf;#Dto~<r?QQ*Em#y+@VO!P*F6!ME^ZeQWx{powgmi+A+5K7+6!|-3nT~sR z_`dsF`D^8GgKBU8dz)i_%k3!L_06`raQC;nKMuaU_5I1-+gsoNSbBNu`+wI#HMIP^ zb+!8WH}^fS+cKy2^uM|%+uz@Q_apY_yP|J~@yGVd&HR4oWA^mz^S64}o?It?%eAyT zXshb<e`~Yr>uukhzEOY8^0mQV7f@8ho%j6l*68K&ed0%<Rd?wUt0^iGv!33PE#GS| zWgNBb_g4R{=cAXZ*zIutUDO#ax8(24Qx0#gEB$%!Tb6qZ$NSp-!VmYpFIU?0{jXok z_kH&}KivCnEf{C4J>}!`2fyc@zQ0@M>iO-~k7oYb`@Ve9uf6x1yFT1|@96r&_rF|7 zS{-Zf*;!xbFYleI^44a4)EUi_lGD!h+Gnj6tIxOjee&ENFTU^5@qHh(f+wAFt9W1Q z-17aNxl6^pvmN2zZaBDA>~(0~V1KCk{%^O6_tp0~-q*gDez^C2t@57-)$z0YmG^w# zo8R(%-}l}R_rC8Fy!ZQ_`Jv6?0_%@`50jbyM)ZjBM}r&s5j$7d->XwRRQ<b^<NaH4 zr9Io@J3ci3J@oWO9H<(v=ljMj{O`**?!f<h|2nmN|HdtN@3y|n58wCVCi;_(ol8zw z6?);{l<yPPN&oG<?tZJ?{U4+9yiWN<ub}kBzpihTn_Anm?xVT{tWMSk)ybz#Po3T0 zA6}^>JO77xUGo0we^;bdEZOS2Qs4dK)=yO#%Ur&$-y=86Ht_H32!8G5s{aJ`J4`%! zv2*eHd-8Xjr_6ree@a+=zx}h%&Z2!$=@!-<>DQL`&X?Ca{{8!@=j@xUXW5^-`!n&- zy{XT=t>>o*f0nF(SRelmT*=NoIYndpgG*C?_05^*KkHXo&D*p;HoqV1eRaS5c3SMO z@9+M7yr}kkW83$qLhEfjUU!~ySfela4^#r~`FCGw%E8a|;zx}u-v8Hk`z!zFziYPo zA^v~=AFY-6U$>h7)R*}G`GTh&{M_IDNOI5j|KiHk^EXu~zA_KhGVEst7XWduj~-P@ z&e_Z0yz|q{<?EzttLn68t<hWj*R}JgykOjnNg;NJs?W2ZGFzPehY?(WRsGyuV_^R* z-(ZTd-T%5Ll6CRHeOuS%*QA{MuFtry=c(C0<~`!)1M5rjooD_MJsiOHe%}11f4iSA zXNW)NI`iL-_wh}??tTt#kl$0kQ}lRqbsg8M^7-bh72p4QH-H#FKHV%l_W#{a%@y}+ z{|h}}e;=Rospk=hedYbWdd?5;eotpWF!t78oHNyPje7z(O0L^1{5{`f+r7qxcMgXw zSmIXiV!Qg(73ThL><LG1|KOX~&lx=Fl<U{spGyPmi?>!Rvp;k7k?6g9?5q7}9;s+> z1!u$D3_G>nUUP|4nF-!mU$Uj&ul)5w|IbYOh@`*sD)z@6V|pxlb$?$)$1C|G&62VY z?|!xJ@F%^Ny|!K~Mf^;@;5|^)YW3{!in@Heo)6mR+l61ZR?p*n7X95u_yPNKN$#yD z?j5W=cFgk7?&mWX*uSe(JHUU=c>3diJD$&6PzO?U_p?uf{G9X>JDv~CpU<@Xzx&xI zV1M;I&JR$v!hg%p8#{vP<4?~k|IE|bH2r>`$oVtp`K9^5b!_O5&Yw>NL2a}rEB?RV zR-@5ek6F_i|4`>)PyKN3_w*C<@BN<6VPEk->2Ei<I{h*qRK0?lKCk*gZKBWbT<83| zk*a0-`<itFI9Af%JI<Rsed}Hcm4{O_j!T7Ri`TFJm-&6Kie;^}*3EB<)BmN&==*rC z%KrWeRKec;_qcG+ym*Jd|5mOI6{=gr2hJ~Z3ny4MPmyic*mufnqxGTb$rBD%KNh%p zUZNxX>-<lj6>Bu?BlhH<J1_kEUGMLmJT0Bsk3=NEO+!DM^(O1@{5bWaHfEcD&AuN` z3->&Ku3c}|_x;h&nfkqt!#kg&)^OTKe=qItza6{ne67sg@AoWRD)MEN{v^np;`57n zY@YzG@6-SGeAYDI))!Zw$Y~#Kzs_!dMV!ulqv=&Kf6uA?;*<kb)m6v&weNnvzHiqY z`FX!j7w!?yudX-j`~E2R`T64?n=7J|WVLHw#y*b!Gyznclpkxnp#AV_^_NX|B5d5g zM@Bx3-1q*eu<h%gXWLHI$zOf>;KPRxFBS;)%&e#=xp9hpnT5tD-Sbb(?sLh>i9FSH zHu|~h`-g<UxT=%BbywGvHvH1w`owDgyQ_}3>lQElYxVm@!?%@NGWVa{_Gi~$wcV3; zZo3z8Yq|Y3>(ysdPDO>U+238Wdex-YHBX~Dx9us)jubClm$l~f^_^Q|ri-6Q_<dvb zp;ha?wr}d^`yO4dxqH&;Pre(OPnqXUi`jZVqV&R){7wBT=5JU3zZP?Q-<7aA-&Vg_ zT>B?>s?~}o%WA*=&zS#lo!9kEdao}{di~G*=G2&};>(KaU&ep_U-z~?Rq}{c%YUi= zW<RxbZ@vF<f6v{X__?dUY3kp<UUaqYY0T#RU*_+0+w^Jno5g<*)qk4vL~H-6JzL|y zoKHG?>iXa7dzbwTJU^{+o`$UFvX%Xz@mk?4d{sYB*UaAg^^jhc`wEA};^vd$EN-gC zoqX{0?%!>Gt(m=nH{If=W`7BbSm++jwRqV%=g*3{GyiT``s#L7N_p?`eKXI?&9F77 zPWX1<P2)}G&FpFXXDAR@eVN&w{T=I4pFN0^^yk**ObwSkK7ZexX=Sh2g6^>`wosPh z3Q22Ox$}JLVuMY6*Vb)6W4h6J%WJKC-VM5JzWLwI&*?ri>12;!#<aa2n@;oyP0-5s zGjCaI;5Or2s>+KtPVY!1rd_{&{GD5U?q%h<w_aPfZ&JNKdCv3i)${IK-v7LJ{_}k{ z-*--6W0dBcz(7aT;Qv59P-N@+l{Fg#TC*?YyBuHW(ffK$PN<-?<n@iqk`EuBSkJSU z>u%rch`Wt<BW8EmN<QDl^ybdZ9V=2_Zu(WSIJ)3d=83zNM#s;r_BMa!W3umA;+%@z zoV5k<th-oQTUlE(SREZ5Cz`0Vx*qME6f{-kLP*dgjgx9iJ6oolDbZOFExD+|I5#0a z!|Qku>!noNt0|gqR+(;In%HZo&2sR7)Xn5`b%K2h9tS#F{$6n<=#}HCW176lXEt2B z(rhAq)YpEGl1<JR#=OIl#yzI4A)L?qLbbJbG+*zsUtm@cRP=o5`&Iw`+&!J0Kif9o zlqI|0%jV056>cXarIt*66mjxEq0Q?q_HTAiW>zge(CrY%p8DTcIyfvW!sJIwkc^(; z(giw!0bx1c?5+g*O+CFhn(u|$``}5Ze&62uV*7&I-!-2&+m|n$m)f&%mF4>Ct@&Tl z6W&{WVJlgE|Jo)e-b2TFCVxM<@kQ>7GMP`&*URd%W8Ht(N^3n24cA{06BQh6yu9e) zs?e#g9{f60n)~|pHQNi<tk{#E&Z+k+Ke;qF!tUS2Pk%eF?Af<U-^{j3OUAl7@bUh% zDNC2FvatMm!n-{@eES};y0EwLeAd^`$6YA+P!qB6+kdfrR*#ph%l}^(^Xkso|9`&g z7T@DrzP$YFpPJOfRqq!@=6Y-CwI%=mHM6VN{T|2pnw_uj<=*|z(ZBjf*^jyV;_b>- z{`~vFJ6d{P%%5w?4_lkHm;L$q^468}_iA$eU-K_pI!oC8&D~!YPF^w1nmarA`r+4N zU%tPy{(Luf`oBpwdy3AiTbq5Z<	ygFSuAOKp}tW|W<OePi_TvsP&(Z?1fllYL$4 z^D<&>^3uNRFC|`7*v<S}7<D|^O76nPO4;3|ch)4k9ru%ce{o~n@hM%OZan=Qd2Z&z zI`{MDLT}ISmE1RP<*u6g;`|*s?~3j3RTtI&h^xQ)?isiB;Z^KqUzYsd-rm3Fb*7*D z>_fWM)tA&4ulpc=W3RwkUjDC3F8>Ym-m%<rZr`F^1?5stHF%;gYq%F5Rt@S~)*e5( zs3iA>%2N%mb(4y&Ob>bbV(pXbOJ%;Qg|GPZFJ<zS#dA;YHn8s6raoK6W%i`^t8PrK zi9fp4YMaVaDFu#KlW#sxe)l*uHRsH0hX#L#_-?%*j#a#S_{w5FPF2W0d+F{TJ|B}= z|Mo>4k(pwwXlbW=RcvN-P%^u8vR%;I2a4<Yr}Wt5?d&_9#dgqbvd59qJ4=;?uTJci z_+DP}P&3>}vShc<>%XfvoOWYUaX>{M1eGS-{G|MJ+oz0g)$=#*<L#_@|66WX{rZzV zC!MFLCY}BMF*qc3!VVqx?e`rgKHnI7@wlXpTJt=|>GwVV$=ex49DhFXkm1LT+_81) zlDYE#etrJ>OJCvC-p0VF`=`7nIqLIxd4(y>+V<qw=A!JQ_tqPl-d}&`gHYW1#q~^g zxs=Z*Z{D;n{=3>q;rU&^O-=8=zigmdcYfjN+9d5BoBp$>cGcBC*ZFX+XGeVS=fCd` z%6!x|U-M+&X3?@OpC&vzaq9EK?|mO?&aYqjv#!3?@=-aH$kTu2eH#{AHkr7G{n__| z!!Abhd3M&m`+H|7*QGla$|Qj$uYg=8zF*(6Y5B6_e`n2lzuo;TZ<9!iUFRJx>!?bP zwQF8~-=J)B`7=msd~)9hU*`;)MPN&#BCo%fmwCvZ-|-pb+<XJ~KXt-Rq8(v3E}nAE zN{!v`F7r@)`B9Le<?_!u?(1=!tS!@ilkrq>>!x-07x#T|0lW5la^H_y8K)xGlvdfb zHcP^;ef_;j`Hr3Gx$9SI_Olzf|9RJNLB&P8$41`wb!4Qc(Th+2K6Gt9@b2~FI^VP3 zs{_vRHq{Eu>H#@d*>mf>sZ&*&_3El+9|nO<=U=(gv4F`bctUidd$!8TYnwMsO1W_K zy`g)>&nXt5ko*^RmbYoMz@+;>*KAm9Iw=HV$D{8%l>fYW0uJka3!bq#+P9p^pVW7z z<MP5k`(CYxpCj@;J8NJ3PQFRkZ(HO%*<Qna=%SRT)s*|feIJCt7XNRtd{nMxX0Gt; z_WErpsj4Rzoc{c9Ma+R$-;NvsTfDFF<D>7|H+<Z;9Ewu+KX__aU3}S}ea4FKK>ohp zuK4kHXwH-09irzZ2kK5%)~<;!{B!R6pINismrwpy{XX==?bknQcPN2FY4+Cpz2OJn z8y<hZ@?+utWzT+3{T{RP-CdhqbsL?w?L2?~ao_!!^Ws;YjCdSgcYFFind1qjH`;pt zKF@smboJ&}cj9uHzRj6)`rdrw=W98SbzPi)sB&_B^HQnvvlS0|K=a69r47&TzInb{ za*wnpQ!Tq)e7^gcoebv8|BtWux@L`vWXaBT)2m-C+dAd=dTZaa-?hJQJ^J46$6D7d zhukt+E`HB`a%BCQ+*{{1fkU(`x#@>3<Nn1T_hxGBFX}(PCBx?qDB;dO!t$}&%)jpM zXX7dNnUCot&3z6E4FAM6Ki;079Phz<?N9r{dHdPtPQ2~?xW@PF_uo3tI_?+lcp%&R zym_0+iu=xe_xDZO5x?@}*R3D3*X_S-p;nikwcq3Ozgfa(wYSb{Yu$co#<XLpr9$E- z+16S~H_a+({<XfWwD)Ce(Ta^`(&E=s-1T<I)Zd@oZTP8v;=TuS+GR47Og5#df}-zt z$M2<^Z3XT5gC;+3t(Uv@c*mUf+v`46Hn5rrDKHLJ)Yz}k=xSQ7FM7LNCwI;C75_A5 zsI2n!da1Hv=jO1#wi}K<Yv`K4?dzLF<9{U?Z9hMMNZ&rk%;24UkEN}y7Gk#aE_X@D z)2-|u9*S;Q)Vn=ykwVj=Hgm%UMnO&|j*z%h$_tbfZfSHhWOPR9HQ#9J*Sf~OprCtw zQ~1V+tsZNq#;x5FyOldUpL^}<sd`bux1*A;I&IZ0|Ns1rZQcE}85^?BZD+Q<A2m7u z+|BfJ->rYwJU1;)3o=anazSLO;1B1eCaZqDf2U{^v2a<ocB4=2hnHVo&ys!gTB>^2 z!^OvYT`r3k{{HvJHsoC6?7sR{pSGLdGjhq=8>6i4p8CxG@1d^F83%84CC>aQBIdsN z^5yhnc`=c4uKwMxCN2F}kmYN#=k2pkCl9y#9pAE4G}*{l&X~=4<rE$F&lv{4!;hWr z@V8#`{PX&A{&RFw+E&@!sQLQ(OUYqvJ*n2nUP;qJn^%QDChMwCIemG~YsI5Kr+0p- z@;v#P|K9n(Pc46xxWD=~)pPFU`q@vuJ<IVg{m1qApP}8V%<9SiOlSXY;aOg3G0R}; zq@Ok$*iyCM>o2vx%C8x`etO-Ww~LkfT&^Z?ZCSQ_^2x39xo%B1+skQxx4~rorMLf^ zZqFB8QLE!NOYWB8^jq$7&m^tqWr$kl8I}E+D67q6`Q_IS?d|pT3%+Guef~yV`j*S< z#}gg+=C=QHcYSN0d*xq3-v6ZzZ{>4rV~+RDm#`Mw{CN7YzSK7>Ov~;Z>*Md0<=?p2 z^|Zn9jm=*#AHPvJXXE0x>5KllsVBQzT31P0AKRQfVPi6zwVR}M+Q!AFZftCj4QDAy zxPA5b&DS?J8Z1zrd$>^9dYY8=v`vrol8>)_6OsOAN4u=Qg!Q#e$*VUeD_d`qww{-I z{5-Su2L8y2$-iqGZL688zx;b+TDB+sjm5hcFKklwZ(Q6Xd;CIaYR7lW3-*^Re;rpS zD={eJNq09-J|0mvMbdiNrp1SD6mnXtNmwu2oSc@xzD@c~cwm@YXqM^Ks{duYzn@9| z+>*{&Eyn((psGl&J#54CZw>QG`o5N^TVG=-Q!vl7zw|m=cek0u&MoQ8)$_RDT<r6G zQ(?J)`8Aj7^`BR^-~3$V;6F>pN`L)^$G*w#w$}Fy%Sx8<Kl)gC^7yg7d2cLM9r(6q z9lv!~k1X@e!d)Aa^{jufl?6=y^6j_8v7gMRex11=lBIlo_RhL_uXAJmPk1dG^Zrql z`PHiZ8>UPz&Uo<jO5g6AhUad4G%fQnF1vHM&+=Gb_07WOjgRfhbj-`{obUVHBfI*> z$BvE3rq=V!%4`nwP4AKQ-}Klt`FL2_p2Rm5Q)Tm|t?Q2U?LF3a_f3YY`uu6{AOD>x zJKwmh=1gC3k8EyV=Q{RZ64q85lQ(Q!JVW-mv~|_y<W(CN+gq>dm3_Y9aaHnhgEAfC zvN`AbcK6B(-}qRXeEjH}8!2ygbjs>WSj(jx?+4kKynN$h*RntNZhQ<b+mrvMVzTVn zTQ}zQU)}t8^Rd2X$K9Sg%J#~-Z(iKK@o_~Nk4afciu=-yk9o>`jLN<w9oI>Aw=dH% zDC0>wE|h#cqparY4a0e|{8H9x8y*)X9}g+ZF)WKY*C#Jwz3S%2`eS`R-`o&BvCzIe z^1$2wM_2r9y;EoW>t5s2--)JgG)&5L(%hFH;Hz=jp>+It^XeOytv9%Tzns5;`Tp}w zZ`9o{PWOH}N!8S9g7fo-l6n6hRop&b*Sf88Rp{#M3zj=-ioJ9%?T>F$T{c($;@?dt zF289wSJ|{^*VZC0AM5W0cKJOgPj;SpChULdOU67cjT!!1r>xSlUoUj|-u;c=^_br? z9Squ=xas}W8Q=cR+uP6fZP_eQk(k#Nr?3Bt>&$!~{pN1ggV+}p-`9TLD|Go@)VF^o z8^r&9w>rK%YY|A#wT<Qdjo+(|M_V8K?&VW`KkdC8^LugG{F$G;e5(H+xR?Cmw{W41 zdgeEc7+3#GCQN@51(jl^#lLtSo^<QC`?q;n57r9mT(#E!05aWv<MuNLs+%9{hJmcM zej}UvVDH6`dQ$Jfs?)!nGx>1uNyYYluv5x!{&r=4KV2q&BFL)OH>-CymT!E#R_--e zv0U|a>5BiSKjuk3u2x;Pw?6TGv0){*vhdbVCnuZCyY%ziq>PYf`M=WcYa70;oxj(< z@8szVbM9?<9J})j$RG9z?`N~!TiRECiVLLT?}WYb5*7Jnd%kMz7rK0}>`%3u`^DN{ zg>&o;IyVW4+IU`Oe$Ib-QQ*w|Q|EvGtZn#KH|hOJwtLYs?^gxx3s1UL%~btcs$&0} zifngqsCK@Q&3y2;>#=TdTxVu}^*2A`4|?T$PHX@D<K<Irz2SQlv;MvJlQ$K&fP#45 zk$X?s?nSeDmu>|4)OwTn{ps8r?S+-TrEfpUaJ5_O4@j4P+WX6F_ioF+|Mn1M<L%D9 z>)Af-I^Jy!4It~y-+7tehs)-FHLwTyA^1l3`?IYt_UF~TFWD1)4jiPxH*a^nd(HcH z@9B@*Kv9q{{%xE2hjk@;LY=`b^H0{_w_e<8f4TKN-lrg2s;#Qqr7QM7tN70M5fp*O zH>|H7sP2EfHU#7^!|L^hAMU-b2%ig%liO4Gp61!}-SXb8gCM=Pd-wjB7yRPw+q&b? z%k~IezW46U-INEhPb;R+e+-Jk+s%9bXFrI2TT#yU31s%XH+M51#6GU*o*JHX>vs3v zdZQ0^4=Tjxz6C3uz4z>kx*Hd6-)`<J|Lp*Zv$u!ul{M~hI`Z{sl;nb49+j%g_Nu;l zoA@C1dBtk>A3vwg_%`q4y&~rK_15=vQ$Y@jK6THN?cRFpd)H2B8@_#e{@%^TJ@39A ztvViEI&FS@XXg9JH?cw|v|V!Y`A;%jmHzb`<VVTs_og50-c)@51`a{SH?=ksuD|8) zx47r^bn1+6d@1k0GgY>B{QIziCt&ZY^<Z;uzWF<|@w?&i=;&9Vw5eKhpZVS6RoqXe z{+qDqPu<~<)7F3kGw9nt;|=0}6Pq8$)-3}igm0<(`_hGe)GR;hc6|5dBcOEpeB<`& ziu{9*`?g1bJji_`I`6?>`Qy7+^n-2M`ets<gW5wM^WMJ&*|Z~H=y&^S-aY<S_mn`c zdt@VfZ#M6q*)sX1`rt&N^lhEl2fL>g+rNAP1-bBz-%}dD&+gm46_oJ&Q{HQ{-8<X2 zeN{Wi@cim;|4tqFz2))U3wJ?IvEH!#-htn`$9Hd^4RY+fBlnulv)Rju+dQt&21nV% zz3P$`^Go-v-t`L<`gY!b8GhdnVt!xTw|(0UkO}jX-+M5>-wrK2p8i&xE>*GJ;@;Er zA3sZz&!ud%pZcf9LH&9~&57sQ+?Mva9u3)imiK#Qr<hDgQ8?)^sZrU4xvKuRjlBKz zPyfV@*S*Q#F1zq_{SLiparwHLwTZEJC$8CkxOD2X($`<__FezZeDc@1+2*oWD&L%| ztg$({*e~>6;@snmbB?D^vUzqkTFA$!f#=2B<&(D07oYrA{iT8@+aw;Aa}D?8&p!Wq zk)QAL--&VS*6xY1uGl&0yD)S47PVV*-l^L^ulwY7$$!=hsm+Nm>n<%csJg#Y@1?q9 zSjV~l8R!20_WalU#6D96<Xjcz!c>R%_p>Yh|LafrFBg7l@=rM@wvzod(|@N%uPT(U zD3Yz@@Lb|qBDY&ce6IccDNjCL5IPb3(&pP^n{SpLau?k{UV46C^VAu~ck6%2@orso z+@Maj>Bk=Xl9e)sFKxD1*8k^>yR2%`lFR-4X9U}gqz|d-o$8rY4}L4&Ul`@}_22dj zHEQh=?GkOJcJT{8o_OA)HtBrsN@35>o*U0R=bmp6<of^1=gx?AHUBz}DbEi#pI9Si zmT&d*&$`Ly-`0Nz%j`S$^GK!WqZzK-J|`;#Onh7G*1c@<dGWca@n1I1_dinf^mD0H z|G!Fa;gipwP4iWsZ?Vlo^WTZ{>PaWkpU-zWzIg8!nd3{eGi_?08f~5Q>1I&eoGYMU zR*~eGz-TF!B;RsLY1O9RN^f2VaxKy-KCe3O(rw|Hms+!D^7Aj-=KDCE_20jpQ`|0x z%LMUX{I`z%>aWs2tNf!+K1z8u`B?nZ2%p6dD!OEBSMohvkj7U~Gt=$h$JnATi>z9d zec!&Qx#PF^!4E^rs$khej%Q^aIPg8V;o4^xa{OJu-$z<`|8=wWtL*#6AE6Udzt`uj z=gQji_Vp)@O)2Nw%kcBpj6LZ=wFi1q+vcycOW5||(Jg<knV*!VS<X*<A7yp@;FjW- zjQNVO`^%4asD%~yTXL;C*g0qKzq36h|4hD>oLe(_ImDY5Ym6`4_<h`YZv2ZSCmRLT z=ltvwUl{9I?a5Y@%5XjY<u}%q=L34P7o6jFjSl;}@n5M_-G8CWC5t|9F%0pxU%tFI za?fj%GZM;oChorS|D&_dx<JFfRbT5l1<UWd?+*Gq-*xYt{tI6s&aK-rCwXOGwWZ;I z6Wa$<va_pZ%`&|D-<IiZ&6H)0o2Sfqyn^rLl<fK}v#t9W)$6|VoGU(IIq%huxmR44 zDa<eGInZ&J*WzQfYC+h9&rGYFtqOA$m;QV7=k?#;CW>>CPgW{kEwlz1J;6U_dFtt< zKj+@gbh21Jp_u8a;@pgIuS(`~*E5`a>Lo4JgJib{$DDY6(a53n?wvnBYnqoe7V}xE z2g+XZv_CpWms#)B`<mIyT8b4d)q`a(Rr-X@^tx*D;E%NR^a-1_HcYNdQiu+VdGuWP zuhTv6qb{YJ`Fk!$Ti@LDTg!f3zi@6QYeMZE&(oHzuXbE#e>Q&^`?Tg+XT<i-xmBrS z9e%lc*`?J^R{xb(FZo@(Z-V{&);BdX=7_VFJd$}{+_$;rp?yK+#9Di==bL4%=c_zF z$~edAaMgc*$pyQXH9n41FUwPXu4+<y;_JP0g?amw{#V)0ovS}tSU&a0TM?;GlC@4U zy*Jl>6R+AkeM2$3r9SVQlJ_yQ7XI5WGwtx+MG`B&o$uJ*FJ}08d%#!eFaP=c_IzgA z|6lpu#m@I(g=h9p7Os!`TOU66_`x~H;{)ZEUw-{4?*5GWS#kws%i5>RdRe#M<&Oww zP^{hK13y;!{W+D%er&hJN1@WXmY?&V9mx)vCt!cKGylxRf0BMSOMhv{#lJc7eP!K( z>xcC<3@z%eUaXqoX!=RSnNd5~;J=Bj!6I$jC09azRbKJ?bIy8>{KR6ls|)A6_<ong z^J~@B_gjVcPv=Ybwt5lkR_dW{cYo?8Z_BT>2G=jQM6e&9ba}7dwCn1nlNy~*9;mXL znRofD?Jt+#7IXYX1OIC+wR-o~Va=^VBi08Ny)w1T7Aet_-ul|L@9n*H>|2-@-}k?= zQeX2UH^jSUdh&m_u{Pc$`0s?J?75kr&fd@3<rZ-yMGTZNWFAUR$X<1B%bcIzlH09f zli$DZ`|!nlM@5lu+~v#m|93XVRod8|Tl%=xewFjw{|{sqi`y>gng2LGJoCA%iQ*j5 zCvW^qJ=EV-PYIgzxY+ehzeZ~NF1~B08~^9GKb3GkT_|?7P#>H=KK1?<Te>Ci`t4gg z`_x)Ccg;x+X)l?l>9OkTZ|7S-4SboO`xNmluv)Tt%B{&k%kBEFojac4Wbu4LvBFiw zxjW`fxD@&|{_PzP;X{?SmgkpQO%Z;6^Y07A)T1*0Yi-}&aS=YWvt>^6ioV;LdO7Yl z@|JFy`QrU{f#ctlQkPc6`|2O}Hc_1RI4r8Kctu~eB`9Vl<mc!IeLH(@{`*`L#W~+6 z6dPP!IOl}=uZ2@IU)8$IJs+OwWO04s=a^NG%euJVE|B+%TzfBn>c?Hz{@uU8@*!X4 zzrd=;-;)1jRxPk!@Za<2!_c3<56jIGIF~<h^BhQaUSOZF*K1~BN9D2C6Z|#jUM<sn zy!6<Yr$!E?vCNlWf8Eg^*ZgOX$4^PG(mOv3uPfi0Z1lgV-u6_*%B{ciMH;HDcb;Et zwWNB+f))2}G(_*uZ~g7F;ar^h_Uirp#@_EUljGx0{LZX6uXF!ve|yFu=1GT-{o3a& z92e5B_C4moy{?+qf&T5UwfD&@_exIV`M7>_!Mon`hwDB67F%3hxJ`RYup_^wcKXlf z+D38J#{(Je#ZMMr&;RsjAxEzF%Kb{;|9Mv*zs&uhwtJ8AioV<0b`|;)7+1M#tuGVO ze_S(t-h%q0PtJ51{O7#6FeIzps{WE)4O^p4+ZW%G8r4@7>uN2`TE9G;VRZ82+MEOI z(HZjZ)=%EFno<5;Dd*eOLNZr>?eV_0zUE&`G2f3_!R{sJ+2=&gu)F&E@3CV?Z2uqo zruO;t{Ki`4MV}d7-oJ7EVa!6^ukRy&#?Nw@%p>zn@`mXtdww|&?-s+iEB}WF%U=G; z&v$!f)6eBE8wD-&r)-M6dw=y@3-|WT+?MN?SS{HcG$G`=`GX^NEa&Du+0*rE!nui8 z=1r+8tzUHR`BM|cIe$-D&VDuFTwv3ZT`skDi|2-4Fe%_uRIhVZT=Mzzm3dQs@>=Y7 z5I$ttH76WYPVQ4!dGAhx@NJ7Z!Y3@JznXCF;+1(*ezDEDaqQt7w{zJm_+H8=d#v(K z`*r>KuKFbD_RX{Ad|tu#^2m3Er6Q}&^FOt5uk-(GG)v&QCHINWTzgGWCcKgU;6LM= zYwQB<eDM7Rv9$$Lj>_8E33JZ)5!l3}#_>s2RWpfGVUk1N2f;}`MIAj`E;w;-ecQ4z zd7;<Yg+3SkbbYKv-%Q`KbjjN-Q|(uLaCgt}&UT;M$fuR1>#`t0kXtlcC+el$$$#hW z=Y3mN{XX_y?c+D+T530+&o7@}J+HP%ap!lT?w`*@KX6sB?qP~!T;C9WK>GnX+`yoO z`p2eRbLZ|&+PE+&<#6c68>$zNEWdkkm(FeLYy0b6|FK8=Rz48fw%<j$WnrGyYV9kp zIGpdAgq8oQE44ioU_STi+shyk-8Ffu!`vlarES=iwzkjU%H311vbI<q3NW91b!tXw z%ffY&u5Qf_kk?OKu{x=geJe<&`|8%L(w2pJW~;L=zT$9>HC-LO=Bi-ZE{oOLU?XM1 zrY{HarNYW}R=#qQc=c@4F54*C#1*rTzcR}P>9kuNy%eN^bxqzfkmXsCu^YqOXUuzU z|Mm5m&&rBVB@g;_@qdUt@nc<9V5vG!X(?3UE099=oTWFW%l(gjl+AAE*J)T|`+I54 zpGQX5o_6!I=|*2<`}+E+hhhGVA2*JEIh$5Hw=HJ%=}8wJTZYXkpTA1qpyuzDuNA&M z&i%}mmll8d_u|Dzo6C!9_pV|$m@JpRM9%-Y2fv%*;?uJ%yVDY#elo9`_cV#;_`Yo~ znx8+`YiAVRoV`-DaH5F3MZEq7)9Cl1Us=*Fedaan`}}oPvhCyE(9MqZk&D*J)tdej z<gHqCRNPeU!gY1A(1re2%DxppnmhGm?<)S(Ma%a8m~ltFM!v6ML5}M86?P29KMUe| z4!3jL-f91{-t~C9_)_*4+tvlwGNgTa*d)=;&l+C-=#kOt=kjOxFKs$|c$)9Uj}KMV z93DnpWlvC(zbE$iLt+a5_rw#<7e78seRg=+?oj!LnKeK9za)C`e^2~<eevVN@iY0S z?_2VV;mni6)tA~^TKSo8{F)ha>&)qIuP@!mcRAi3KEopWeGte>M*9Z`t}orKQ=^ga zI=v{<SU+06%vMHL{@<}9mfPLFGR`>Je(#ZV{ErPs54AVu{PoexeU|##`RKD3KMJOF zZeA4$R#A7UJwEz}LC4zpCzqbxlT&+Rzp9m;O!6a{--})`8%*Z^d8s{Jw`Rv2nEZ_2 zYhV1haO_CrA6BrZxR&sz>(pqZz+AvrYi(t>=hP9)isfMa)4k<C*<b2R<}^&nd3mh& zXwHSSxNUZK{>^>yqhOBhw^`>`f`hg7%R@G9`KrxdjdyODIejgEZokX%c4416wW~uw zL9`?4m%-^n>>rgkJDdgSUvp1PR^HEO>av<JurHEc9ya2Z|FsFG{keQ^AAf!NBb$9e zVEOE&{I7Lu1oltjzLN7S_4VOh?_H0x|9RJUYli45{sTUCJk#xLvK}(m&68hp^UUdM z?Kk)rJwBYNb}4y2IHVVb{QA(+%3lc!#KX<@J$?K??&@xR^c1YWXNsN7whxT^(|Im| z%y+){?ZuA|{A!n;`L6<b&miho!M#K6r=d}Ktv%Pi3#8w6>mGk_vNG`dWsp&z-uE*} z<IA+Q{7daS`}o%ze~o$<0E+0urZ0&h{NEp@TQ7QiIP#hEZy|8dpIX9yxoQXhQ|rZ# znd6w9*ZwR63#)m`zpC27Umd;p@nQRuEEnhR^96^&?4|stWq%)7(Oxw3-OrA?^ridl zvI=W+g*!t3?OA>Q@X?B(SL#pR^gX|RrT@j3zxg7+6aVLzz5iDcA-lGGP5l1iFP$%< zg<e@I`#k>{7|1>EK7Xe=hx$?Wt#*&T)>iaNZ2iRe-&H1P{dRSq=f1!GDW%-2uzfV! zCG5*l-&N-h_*AZ&P+1rB>8OzA=MS9OX6wTfo~}H-;Bydn$zj(EHsXIz<<9&1_vN0} zndy7d`fokv1zRN9YO~*UiR=Dd`)8#eD!I30eYeg2vp=p~S#8B^{Iqh{na_sZHsTw; z8t>$>(w}T=w3c_)^KG$tpVz$if3ADRX1npm{;R8=_ZZAg7y0yu@A2Nf+u_E<r_@~6 z3n*nvJN0?3(fN&!{A9eBRM#zg?|RcLY`Wv~NL{%N8X5D--<HizudTPrPki<>c3I^^ zo3C>OmwoPWn)h*5be8_U|8kEe{HmL6FxUL$Yo+t=g(EL+zqSJ8w4GkhDqCFVv2R3i z+LO5LmDj#6|16d^FIZ7v(fOX$P`90#zoP42=x3i9=VpH?otVDo*vwlKZ$biXTIIad ziRrVi7hn3fhu^Ay{lwR=7gXl`SbN*%_3z7-+{Wi?Z&+rp3py{EXmwuW$xi*pw%cRR z8Yf!iU%Ku(@3-;3%Bc`@1Rw7>pV;a;FE>s+=Tz*4%4J)NOvOyjFa3S@S>*ls=b~p+ zo=blIW~1aQQ2a`^+B}wH%R0%OHIMUh<>Y;OpKKaF&MoR*Wo!^U?|FCOlgh=e^KO1p z=?;}InRoROV|UZDpMT`<z)U)3t9pgcFBIygN`=RJzt4dO{Ns6oS*x`|<~Gj!v?riP z`MkBxr#Zh=HFA?~vd>N5S+ii%g35dUr@+0}`esh-u1MSTmX|h*Cp=d=_WRFkxT9)R zAMY;R1a_445sObX2b9j6ecwIfkZ8%g%(XGStY<$@ONTpZU)S8tn>^v(n`e-f93Kn| z^5+M8-UmMghg6=>;}f4fyKQdYF?X%{X!pWqpWC|i&Ce=-I>IBbuwQO#s_@lfw;AV* zxhmxjRp{HVd^QuB3U;vfNBw3AO;1>6b6@S)#OM2*tqRYipT1BeyZ7wh@>487J>h}> zv>hJ!a;NxCJo^Z99e?tk?ORt?s(~`nt`nb2d*06|1UoKH>Da{Qfnt^0cJ^PMvVFm4 zpX{euIvMkl-QnKUOMISlKjZ71r3SvsHJ<F8^3m=~*R1Cv%RZ{r#y<I3b*%g&FT^CR zV-ufefxO9|b1E9{r1m&?f|vYm6Kq|+x9S|n<w`fj^NS_k|CxTpMtIq0kV%r?O@eQm zI9-*Tp?UuF5sOcIE`h>+>Sd%vdav#ZEK5H(d^2b2loim_ZZqxUx|%q6B0X-rueuGK zp?_XZlBv9xF)@AicXflOdM|7)N9~m2p7q@HI6R#8O`N;=*Fso0J+|duvG#X2JZ<@> z)cD6Qe#LWU%JcIbg-<HmUFUgr^E=C%oS(WJ9zw^eJp!^d!=R~i=bVpv^<i+we3TQs z(!~yTP32Yz_j%t-ljlk5E=s@3{&#PwNc{e!uMN80hyGQ%+^|2-^ZUDE;(t5S7dyAv z$i<#Ibk6z0o^r)`hZ~o~yk2%>QB;iB+`#$M)jKx6K5{(pXQ@E{LTNeoQ(U$GEsOqd zzPo$>jis9(Zd5(We$PDFsCvP1uB*>^4_*4W_eF#Lz2^3)na8GYD5?3}`nE#uh)|AX zlwP{+HNP(Y7rzU)1)hGoYG2FJ$JRF(?`!tFKj^3xf9TRj*{2Qq_YSv4b%HgjTRyO! z`Y~5-#VhX!t9?`Xe)uKVd>36&!|8o|`#Hw=J?tD?oqF{Rp3MJo=|1CJ9kmCq{|B8E z|6D!Q?0)Y(^YsiO9=4U~8txa~f4^bqxBKyg`fldaw`>Y~i}&oSE}lL~N%Pw);oUu^ zh6+;izUL+JCj`&?Rmv<;wBrBYn`wr9|N9@EU(R?uDo3*B)ogMb&qOu6KPZMP+_iP> ztO%Cj-&+`2EBounn+oM$l~;dk&;DI+an@tI{KJ=3yVze{I#VM0>?5mhD0kx((__Lf zghP2>Bz;_VOY6&y`!cznxy*Tz-KtsQrB~D+U6uVB%6s~i;XTEs7ussat@W(gjy{*~ z4u3H1WQ23@a)TYudETBnr*|#<+tVoj(ksETcaOS#{yOj4!s?eR=H1h7x+mQHYK8dr z<>e;7q|YCI`aJx^yldK%=Ldt;u66(Xb>6efyhS1Y{gdx`gH+b*Em$miV&1j8|M+Y# z`8~)ioZxljX;@{w%(>7Dn^m_PmAdR__U@`LmD?dHWK$<4#9#YgD3Rma@%g*m++toD z_<j1ZH(BnoO22bo<(dgLAGS@=tBq8yoKt${vE9kXi<0HmPICXZHLv!jZY59InaAam zj%ysZnK#i!&GOmDrYC_fXSmOP5-6_3|Iy^q)1Pm7PbOz}*i2%Rdpqg)bLS_Cmz3jc zn46#9u6BBocqw_ylaCXU<x(ddH#=^V<}J4tY~#FwGmlSCIxa8!xgz3I#W}<N_g-@9 zGM|5JeDZNwvRt)Ff7~Nqx0t&6UrU|@KACZRzG}bFaT`AmIbqq)8N&7f2R`57Id0?D zAurP4zBXCzv1-4y%x8`1Ht$N#B=36iQS`XYGjBP5t7jWsKHYdV<9OD|<mJh7-@WAa z7oK^%deU*m<2F$${fGN1b0*mISwGtt{prW_WI1-#{u>+a)X0Ca&-#Dz^h6<ecXBRg zh3Hk$<MPo5`s<RmiXLrs*qRY5u=YW?_=?bt8An;A??i~OUT@$yXzI_(yrUtzfVYdI zOQ?|fHB*@2)LS8|dADelI{sZH*3Dg)_tJLHXZdrMzmo3xq`gykUvpn|^3VI$&&|`{ z{oe2SY~O^FHThenRBwJa`(=ENW_jwgUGJ@SUrT)Lv+STN_jcd4GnF4~_<4Mn%ar=t z6`$FcUopD8?5y3v@|iwAo2HiB;<bp+nD)8y*&S6yKc_Rhru8S+pO;aVQHb5Xsm0Pr z*URgh==J;uFTP*-+MM>fOzZQ!M~&t72X`m*JZnv>^iRHLC|6O<eNSJ@RNv%uwbf_8 zZwGF>e|Tpa`Ppgno^*rvy>b=x+7-uRmsc)~yk|VKd>#9}zpU?@ZkvFVi+#3x+xWe_ z$vk*j<=oV@yk9ond3xsWCiZvCEZ_P3>+H>cMZBAM=I=80cg(Eccd(n7o1C`ps<i)p zpnAfC*s|#$7o1PK_wDwPs`(-lF1k%iTUEXPwe*|P`}!C6T+qp9{X9+W%x$CKprQ>A z-Uh6GzkWgFz0Whs&(EmXzBH=t{94_Q|KdLI&07s}+UJ?u=d<6dYuf(J?kxA&PjLtK zG$%jGz3WlSFSv*IdsbY=wC_&OY}M87`AR>u4f*iRe9r})_pWEGPcpxkXURV+6C0l~ zZTqCk{O->3?QXBCXFP~4?SFQb_jJmI<3;H+e;=H&L(*5^S;q<WU)_86@|c^Pt~UH^ z_q_3Y!NKU#?dyz9PFHh&-X|xVzuN8DQNgC|b=yFm(@(v3)$pUyB!y^m`96{A-I8$` z)5>i=Z!69B_|mK`>m;LA{(<BFr;YoHJ!b89@7yc8xukYs<UP(jp1*VUZ)Ly7*i?Qp zc9!_8r+a%Ut1BMZMtvwV0R@ccXSpYh-}{@&fB1mhU9R+5?s?;OpAYBu2ZN$*@{IB& z?Dra*wy%BInpUZ9{GNq>&vA}>n<RJbyP)&_>6z-u?Dvvc-><O-M<VOzYgG?y{XVSQ z84J=JZuH(*uA*OjPc1JfibX%`eLqm$^kD70b+5V4e)3Da_rL0atV+fG)u1SPAMz~s z>AfkF_ti`2R8)h!_dV;`T_v@+x>>3xip>2>_8YsrS-Z>kI>--gmF1nC+kN@`C#-!e ztM%>L{Z*id%br>Oe@2C*=Y+M7Z3ExDO{-WKdGGa%?K*1rw)I~5u5vKCuzMZIA-13A z2@2;Y+pF^n@A1yNwrA(%GoZM+f8dVz@grw5nhNgjv50*0HetuY$a`7O_8w$@uh~@o z!FSiW3p(#jp3QySSia-I+P#4wFPa;@SK{CEWsSr|179%T@cnAJikFdwi}r&8EI;ku z|B44o(~ow{$^r%Ncd=)=9}ak*?-E>{cL9`a)}Hyj`O=g3)h{9q7i|aYNWLd)`{B~& zq$5*amx5wY>e=1%%=)(4Zfkb<fov!@cpqr^ahE*129wdP6T95LPyNy(aq;d4r_Fne zXOz2S+I-$_7*}Q1vtmyeIH)F9>i2X`uY@T6x-|W8$F6KpWLZzHT(8-?eBZaqiBCYH z=h{F4+g+Jn`9M~;;`I7!Ut7~E(+%H0HvD+&cY@QIU2dNr${gHXu<BW9>@~Ju5$|rE z`5TmJ^SS9FlM~1tcaod-&h&{s%zO6Jy|>49Y=3fQ_tK9ab~y*Lq)aN$*>HXB>)DH5 z^PK&3@9>`ZsmBgy&lmTMi+bEJqjV}Lc|SLJuNM30Vd|2G9<xpBF4jnhzd4(7EoLPs zTC49$%;LXY?m6#!%)#5&K_2~X_&(p}!zC#pm#?DWgc$Se?fb^>H3xs+dkRY9Yg6wf z%2j0YaxR(<3e)%L_lj-7%CDw?Vxe5_bKKL$>DMMGyi(5uWoO1`b00Qt5BgAM42qNH zGrJEk=ZAd=^SJ^F1No$T;<g_y-4Z-9NefgS*qzyvU-@9K3nT-*S3a}*81wt3P31pQ z!A_}p_Sf_Jll%S0x$pfH1_ku)hRWSXj-38%tgyIuO?JtCW5pY<-_?V1#BYty-+DT) z+v!i*v!#qB&@2uVVSj}`%LxhVe`ZOUH2qqiLRu_1X&;|a?%}hi2UN6OGo8i1rHp0c zGa;~R-W|K}_x+3+6*JWpCWDN*2o52CgZEEnR7n4}oO!KJVU_;1uSe^?Y`o)dRPO6@ zr$<cSlG`=YS>jvTST-uH-nlUHUTYrD+3t3m4{?eWyQM)Ti{NLw_uvrH(gvr(<uktX z^6v@fytk_x<fq^1&;A}^elK$H_DxWH_#5Z%j#sIdcg*$>cq;ztm(%7w=MCPQ$yKZu z+rzC7PUuO`?%rkAU#sX?VgL%`&1Y_32XlGs;z7QSJ+$X|^@F9RDjl~zgDkM#7W(dQ zQi#u<Gr|)tx?MA^s$XoX($Q87s#<<ae2#n8_?_+G?fW3h<qh7Sm8-~n%dseJ4k&y7 z*8P00yL0=-`NqpQ?}dtj(!<?NrFsAKIy<Mo76+R)Yri>Lweie*ZPSmZUN~M<|3GZd z#oAl1<#vFQOxBup#@`nAKm9jhr~StRwVNNTlm7ho&Wq%o|Bv61&J{mj%X(c`VM*_r zY!m+sU(Q8~AGW1cUN^{3=ijqs8H?c`nb`D<Y1<nszkfO4o$V#?**!ZhW7_s5D&K!u zA7R#CtLeDK6jbh3Ka+jcSib9lu66Wv@#l?p)2fr7t?THV4b4sKci(Q_JJaW^##!F8 zpYo3F2|sq^^jEGED(__v-t$Sj>H&)SymNbuZ9be6*s~Xum~Kz3l>d65dijI7LEz#w z@8F(Nxr%hId!p%}G+yrgEcRvN^lR@Fc7Y>U<8$3q0sS8*<TKv#E&2p5`@j1=`}^|S z$@}gXX34tTl>`Oc+>?9m3O!<#zdHTe8wD${M!C=LUN?fcr~I!&QdRfN-z!>naGJiB zx;pdPJB4TSmw}R0`poYk{Cl>Pv0uCfiY0x6{8V@XUZubGuH%%st3cI&Uh2KqpzI}k zWQ`!m%D)pTpPLBhYcqTZcN0pnY<%UNT`rK_1`5UR%Fk*~F@yAe0fnLdzj?{E#vhgT z|C9p9D%jMJ`HMiNo-1U2@m*M6?FhHsqorG|JHGo}10}n?c?=)7{YW)>fBJ!PT-5I7 z7pb5+;`befM|bal4G!VG49fG*cQGE>J((S(WQDyasMy__$MEsn#{(e8+~v_XIc>eA zwD0?imI_Y8*HUNWqjop1un4>^{`=zbBK_>ikL~U<o3DN7s3Wrq6cnLnsyDL7MICN_ zkpQx;f9CdE%=&8|I`YVY-MTLA-fvK8Cg<`t0Ti!wr}p@R@`6oAn<F?xdMc+w`2Rp< z^xrv^;gt_So|+{LuH*G*Z1>%s@=lq<@U_%WgSB@Z*I31YoE&fTJ`9vG_#idQ{=|E7 zU~bZ>LU2j79g+v{I<Bz@1F4@m>CW$uoM0u3c7w{zXruQT;3y2N>;dJh+g+9F5Sw>3 zf*h86c8@7U`DT#v+lKECL%4f<u8T`m*q7F)blmc;xxa5p<?UU}7ZSxjHs<_)dguRE zo4+sf#V=lPb$=G-rNIC9&hDwV|8w>)wqu&Uk9Se+hR_>srw{F7-~0A`71KlaO%uQT zY~9P3czSNWT&rzdaZj<?2D38xf-eiV1Xh(Vzr**hT>aMbsMoSdd;PifTF=%qJil*p z?~k6h-V3SJ*u}q(JU_}BU9{wzX2#D7Z`%+16e_Hx;xc|#lz%<2JLChOz0-B^D7$;L zHXr`EemG|z^Ldind(8(&f1i}g)0?{MT7Tu;w9WhHUofmcBlxXW(O{}um+_{s-?8!6 zw_n+M`O(~X#;9vgvu57xP=0QGzO(Y$e*@d|9*Zl}-bcUqUQlx1XdUNg9glav#Dx-% zx9+W5#M7GaZ^f^=%?9OG`=5P(p8EMqjqRC}u`#pfT&Pi<wI+Mo;@!91Wv+4--uP2p z{BNe=)8{)Sd^|z*>vv9lw(7gTw%7gos%3iJ_G{y=M}M>9-R~UT>b`T`+dF1+-{oA_ zIMMxL_1DF&>x6$^G`8vc)nRmT`$XpN>ssYB-t5@$C-JjrRosjDC3a7GAHQDYdikYb z^0IY$-?ys&e$e5*g`cmucGs@3^PhXyh2D7mwCx%9SFstTdv)CTxA$9wsU1oDeEId% zPxV5<b?Y(%qCifv`*A&CeYLzr!0!cDV!mHtf5j#L=55Eyb-CqdD&wrT`!2V>ZQ501 z=V4zykw5R$;}z>QglnZO@2o%P?0Tax@#o=Z+@(c%0hfLrDl$urv6Gki8DUj(p-AOz zv)O)s`8C4&6EthL@O!P*yneS_{)*`;{(Xn+cGO;E7yiDK_aOUmU9WcjsJ<n&7oL58 z*<3Gd7qNBwH^-GfzwKD|#65QLuLsg^nyW=?H@s-}omo-&>&b5UGkShVI`lc^*SuL- z8<170SGuZf@w&j;54GP8I(OMcd^yNrY%`zVuSQYUF2i!tH^<rcm-Fw_soAio{hQ-T z*BN}BysjV%vc4U3H2jtDdO|3twO`D$CZqPV;Q>MyEnn6sm2S>|_W9t-(w83`Pfy&! zf9sE3?T7b|ScCR${^q#S^tVdq!-f^vA@YA_)fR+YWH<Kw9dzku(u}r)il2Wz*b;u} zVe>TQO!+N8Ykw)&3On$;UsfBC^<wg~^&mYuh1xtXDnI^M#4lEBaH!?{^XALbmmg-= zb-!en@%i_cgW;|GeD7A(24o2?b53#&yuQM22j}mE(u?ffb`pu-o_#)eGk*z^@_G(= zo!f4|7hF;DX+P4oXkB3K2I1ciYz==U+&G`!BCD=f?08){XJ6B#j&q*Rg;&n5HJ;CZ z?|&I`M2YK9_!pfaKP76j|0D^%j$LkF55B#@K0l+0zw47|iCbd)`^3*XgKs@peEC2O z=ZBx$Sl?&cfBO2<?DWJh&u{0{&7Yqit)}+l(Ah2Wp1HL%&aBY>(^0dpJG-@RUvB!h z#k<sg1m4)y@^$fs$4652I4n`za=-TGLHSI1nP*1jrMfTAZ;$L-^8R#A-Td#Vr;l={ z{5y7wed)C~lU3T77p?nnaKrrk*C*|MgrNuc!_}7&0sg?gmH%4alG=c*gGYTovb~bJ z%Km!;e_hy@gNyjxX1xDdQ}AF`$&Uq3J#Mk**3Gy3q5rUXb5yqcl&F@M6K({6Qt7v3 z`JC^oOY4Olr>u-TcYG;_XyWnKr~I?^ubkZJ==q%c^vx-SKWhq3Tt`kErXQJBW>&QS z6FGHjKd0;U$SmKT@Amy(ur9E+prP9ElGVPoU3N2e=;w0SSuA2byRP)%>+kS1^5FF$ z_SO5Z*lBDn4cFZp4N4?3N9=ZFL!x}w^WE|?k(2o3x5#+@UU2sPW%if(a}<`<Ag3vr zgLXe|BNEi(TgVAY^bq@MyK8nDTRAQ(Zd?d5-DY-efkTg-#;Le~+H2DbS?5l9A@l9e z5yha*GtaYco{f^cx;w*vHhyzlxiqcFl&J}nHn?xFw~N<qxcOhMOnG|#T9svw`AdEG z&O6Vp{?7(E!)dC;9o>D4ed)Acp3fOT>9|7j_k&7Td7WPgEuHhvvo9}0E&%d5<#p<V zk<)R3*6#;XLFxGGp+`lcA>rj-(lt}+=V>$A-8Wmi`I~b5{QS)_p7s0AKD&Q=>dNkH zyMO<;Z9mEq|8dqg<&|ssCrg&L%1=BW9LxQ;=C;YV#j_;-dQ927;L<w%$6QvL7cJlX z>~vm|W_-W)Cg1H$`7c}RjuxrZ#;1M$y+^;=-Dl6Qs<+KS-e;d=v9Of3^0)o1xh?yq zng7U(=H5AOI+J#s*(kHW;==ndh57vNwk0FwrWnmQg;M=&`6;)`*BAZz21)@R;=Ua` z>MHMZ-!-nk<I~Rval4lxW#IBwe!a@T-wUo>Yk%1e3Sajd?9)YS3u<?~S($p~d2{VI z4^XKm|LNd=X1Nee$t{R=4s&^XKm$|k5jR*HU6-8d+Hks^^-1zitqV%A3qlqMEx6V@ z<;ofp7O%`^*XRwmK1p>)^|Y!T(cQQ;n|IU3l?hp_rft)=wXMzE)ne9aCfbuBrllqF zQ==~D{mt_C1?N7VEBe0Ay!d_d%#?3u_ZgR;`#<mZ?*HcR&;I6UYSNi|H89ObW`Wu5 zyye~dosU#yxd?3OS~~eg+tz??o3ILx8z(xp7GGE!?Ol;C@FufL_|o=Odn}f{`}{NP z>et$f>=D^55i7D^o`{J(eZ;I}d*GT4EB2-3ugv%zzx8z2#3sS2-)-E#7Z`1Q8=X_% z6<fdYs`Qt%&AiqRw(YbmxBhwU*3S?B@2o8Or!V}SaXEX^tp5{&b(&srd%NWn$v!?> zyWsKKmXZ&D^lCq7%u?9>&!bl0xJ%`p_>kDC^Kbrou=*0az1fF@MekQn62778Hn)4( z<w{$xCsJD1?2?$}vKb6pA{S1nNs23$HCXR>{J@No6Lzh!&AmMl5C1%hsK^(%lKOH_ zlf;!)`+sKM?~ZxzeH1XU^fm86rHDsQ)~!6o|8580^gDmq3$K4Nddin%_3oeE&fiUp z<$JptEGI~)mm8=3JScnp;iTQ$1<O~*FS_ICbNsHoYWY^SJ70Zva+jU|)@;4*uxj~n z!SeL-;CBkk9>1ILB(IgRJbcL=*2m9&K=hUil)s+89IRLH<Xu~~J6}C^a!Wmjnp`ee zzVv(`SnrA_?-H5c?OJ|^HT3h}2Ys&#PTsBV*zJ2h2rMgm@~%Gbj?G`KCmzajy!$fX zx5fPM5|56<bFcHBQk}07fBo-ICaZX{jt>bN^L$=>4GEYmsC_+9_Z_qBSI(CYel9=x z=XTO5eM!E+Hc;q%T`v4^uVcZF?Irsj&A4&*x9io=z3aHwPv;kV&An+|YLfJ>+ZtgJ z2f80j+rO_Y>eoeMwmO#MI&G?c_EH~3t=7BVoU>=$>CzoFGB%yht~UE$u4Fa5`}jfS z$=GWRyDud*_+|7=-rW{uzvHo0OwsrFBe9jr;P8!7E&t8C<Mp&6@h|aj*vn(uz2EsM zzI(MD902QFW-y0*@@0OvYuO#v+0&uH_g%1jsy{dg*6n`su7|N)RkPgj=G(f0<E1;E zyfb-}SIrDIy2|_ADYxg38pN!46m`#iR-2@8zW&jbnJYg-;wW9f;A%f4j<inR-O6_7 z=03k^>Ta@ma_Z&Jr~QIN)#i@fvHcLY?0=HCg|Ym_2iY{mlWc`W<<E^Eo+;;R4BR}m zh}}@OoLRMezWD<^&$3S^Mb*pQr~if+@?Y`YH#@JL%`^ITD^A+&I$fZ=a324ijgyMh z@43nH2*19b`Zs~&g25Bx+JfjyOM5yBWFH@?U65SrW0f-h(7J<eZfgo`pVd_43+UW& z0-N%1((d29J2vml>rdfa`pkRIzSMO}`)nt_lW#QNm)GjPP4@c-2E&{c*B7XtczudJ zJWyUx|20c!lJ3Ooe;4o@eo>a;npeN=%zg8Ff0VrQ8uz6h;rjPc@m0ppv{&+4VoSH5 zYP{D!;odIako8@zFJ)glyg%;ozHffeUM;bu-`&`&Zrg=SKCF^a+w!Va!e*Yv-dDSR zx@@eU8nIvHt5fln+#lvKIr9F8m}3@6Z|mm{EIpPgwXyBjT^YeOLa)sqKh^%SyXVAJ z%NKgn=e)0JXt{3hW7$08-JaAQoBW$e1^1MK#EuGyX|ipd{eIcwcdu$@zAmWTr{lmg z{nY#bP^!;sdh%}Nl-(Q3-sVnO`xO$Ca|Oz8%P#=uCy|qP-%Z*5t$;guX7wL%j)_e_ z68p7Zvs~bEVfo@G@2*bSJz?!PvvYS}LlWCAg?D9tJHWALtXlrxZ)Z0Dc7w9#{E+Mx z%lT+snk_gr<xRS?cXpBZrmOdkUF?y+Qz)yq^L5vb+tu<A*=cuJlZy&hSXM>dxhGH| z?VPHy<Cyik?+^#x4LB%XHy><Cw@P_!@Vg!f+Z_iXWzAHjcYJG>f*c>a_DNpEskwsX z-EyBG`cgY~?|KhT>3N+`@`^xmzS2;al^=;cEp<xvNXeb6p6{MX6@;gm7|p+P@>@-y z$L6R2$u+m)xq4sqKdoA5x7_ZrpjCWKt>4_&9>0oT+59&NTy`+2^rPihIgTrut6$a} z-I(+s@M>sm{`S9fesR5Yk2n3WIB5lUhRflYf|I}N+@5b;{d##!`|0BcwF3_FuV3_; zD{0s9gZZBC!j|5VG_)%3h6YFHj_8e(z`ndc@y=g`r{_9$zgY~)mg~eHz1#bAigm}! z9lz&2$qNQ~C3rhDlilmsz4f#PIEL0gdDl6`TKQd>-7`r1oDwWw>kW>*=@ak#)dQI+ z*bmKDb{)IfUxW2+cP#qu1u~PD7h>N#uOqQP--7MCt+CU3LQ(pW*#GL#po>2e`&S4Y zbh(r6$a?QIew6pw44RomAFV4g1V`yMk9T$+JB=T$TXPW-Q(v9$<gN$D)ZMU?vFkVP zv=Fg>Rki%>_t|c;?~|BymqhBW;0b%H-|EJ%bM~uKto>OV-{;++pmV=;-M{i*<|3bU z>*m*SHCBB)U9#^{gyDx3uV%gfdRcvmJg*T_h}V`~sC@hCm*(|{p9()@g?xzDT>uI3 z<tpWmgWmN>)V_#>x|a7*-j5ovPyV_UnQMbW=Yb_e?z(*8_GCG*Ps+UB<@thqdG|9U zbk;Eyny2!C<?8fzM$aosKXSM8E=2BK)RDXMZh}LuI<ROvIK=b!Jb}apo5H(iXCSqn z+{wGgKrt0pe-jcsvF$su*DVAk<h%1#%J+kUF0b$!M2^?x&Rw&);G|JM?T+nakcIo~ zq2;+_$L_=P7lSp%tCrsc8TxGBBZ!4sg5}!Fz&YSu{-oW1+3u`-?_nmjfay(2^<B}a z3mLTjShu__&AB~K#rB=&f4%t5+(ez*oto2MO!`u@Vea-qvD9fcKU{wPeRp&3mygah zYr`4rb?a8tpHp85EeHRr&HP`n=cntmJ505wJ4E;0uPs=i#j#5L!f{^F?n~A88t*B3 zy#MtPTomfA?kKG(J}O#YSlh0&_w3^paUdmInfD%^aL;R5_|ZjQ_=*>NuUb-GYPuFw zbk2R?@P7Hkd$!3dK?<!8H{N^bk#Bi<by8rJxWxr)rk87_onLcr-1a%)<^NZI?Is_Y z!}|aKtSj8YmZ$ztwv%Vlefek~6US=4&dx_GoY^~X?98;c?VqXsjk)iAclo{6r6)RK zVh`QVZJ3`gP~O3MPJ7j%y-g1ecv$f(E~~ctrT??$OUJ>_7h}}^|6gPz67yzJ{e_R^ zU;kOIJN)#uf^y)&*KLs6Z^M&!B|UehM)&Q@wT`~O@of6dy$UaDwpsb!O5MzBov{De zvpa87e%5S$sW5l>=_7W|N2(MS-oMW1E4i^q_CxgyXmjm@ZRmvmAwEB!%5W@a%1JrG z)$ec4p&xL1%EBw^1wL;de_p$4wblH!f=LV4EmbbAW4|xUQJXKKt@EI<VD?_uIT{_V zTdmb<H*EQJ$S(2Yt#4=k_rI;#o}0e<zS;cT)=B5mS6^NdJB9yU^;v%TCsvcbInKMk zl)ul(F2c6smqOpnp53o&p$$aMm(8MASMsa9_4a(;J^7}Fyv>g`dAk#(DsP)@_Iu0A z^wtzquzq!v>7G2@NjCbbX}tU&k6#Z~TwxckpI2r1<H2j)x*rPHCvM^Y^hd9@falY} zQ*~F^3)ck1i9Rn3iT(Wb;H60l3_;6}zi8g8%bj@p+_%b&xpt@Dv3fq=d^Piu-m97q zFAD8zCcO5z#a>xA-OfVn=L6HHSJ(^Bq}+*pzxdUx_55*~wFQZ<nmd1AditPQ-S5}K z<{0-&b|*gX{&FzuN%K?N75tB8WcM4NTn|#rH^FX)$nOVI!mQ7J-#Ax(yX@u91wU_y zWSw8}`CxJSpAU}flr!a@{EYqez~V{s(%6;!k0KV{==f~0YTeIY558Vve}Bj>BH`h- zy0qJRUk@_zFF5#cQH{Zm3&^49Zg=BW70W%ZOW)#zB+_JzCCa|<_SpC7>%pv-&C*F< z4#rKpx+ypPb@R5LI=jVH=AI8*?zvk-Ugvd*Z00_nKjtoLJoncl{LA?B!OhQC*b94j z<}CleV%M|R&GV<)MdUwd_N+fA&KutD<`~1YJu2t#Wv$3Fz59N=j$!&*d#83+pna6W zq2{Bz43~ZXc<S9m#WNk&JNX)8XZQUAbp|e{)QRs;w-F3YKPvgk-9k;JYX6E|TJ}uy z+4sdwcpRm+EwRqDOH{0s@6`SCHo?CF7dOg3?Yr`E|F-Ay+ur8X9yN<Uu01JxVu@Y! zT(!50&YhO33Xm@;jXPGVQX6;d^KKjc*)262-l=?blrfepzy5p0E-m>jwf3LSUSf~; zsWtfcynA{xzuC0kNHKL@L4MC$i?5C{#cvDMeujb)M%2sZde2&eua3eWl)iOZ$=|to z9x0gp-0XI2X#J(o=gPA+ynHn%U`j8s^C!yx`F7Ws`{vDg)7UrXrvKh^!)$))_ogME zZ@x0g6o1;hTN^o!MV>Sl-&<hUk*xOkU6p+3^_tp(rC$yT-(csyV|n{$9&#L8pFp<U z)h^<*6v%rLWzTnmW2M|v{*BJQ9p16t8^2CmvFOIHnYq@{f4BWy^s9E`@5$%N4Q+oO zSzNOrGvj#8hyTUz7w&XG>bvq!2o04yu%2W00)fS*$y1KWx7+(U|Cv~5C^(H}8Ut&f z!;vVhjK)o-2I8i7LQmZliM~)`=#wGS)R}ppP~ya_#ItD&3c1vZ4$N{p?RD0OTl&JW zo9B*O-~ar3UuF5TeZ}`}?>{WOf97uO?|s$pzFR+^_xty|d%y4HiG)76*qyiZ?4N=c zm%3kiU$ppq*<kaZ(h!laGmcw6v(yo-6R}MBx7t_fM7`4DKil+=>K&YHw)sm}R@OS5 zAlJaVAHx^^wpA<n<(T{<>csuRnEQsc4XIs?t%Ym%uGtX(d)D&{-{+<^vVYrJ{cpi# z`{doFdl$cW{A_A}&)<Z(j~>j8GyXgMU*GJ4+~=p>&pN-cdgA+<b#pv#m6qq<=6x+| z7xSa;XfOA(1Lxh{3jgaEuRmyGJMH2}e#^7XGA~;n#~1zhw&2YAmk0ePUz9AKQa#}q zm$T%zaGCHgGgq_UUd!&D^5WoU+4f6Y=dNat{cKsL|7q^|sm7kWE%@c+W!u<Q+w=KP z{h1}Vr>mmiN&XJ@Or4W;*W=iao33RykL33|WBcN+cX~5>yreur?Hm^yiyz^4noX9y z-J$ZcFy?YqLHE}OYwk4fWS{J_XGZCxbL{c!xeqr_I=r1dwa%A6&ex{mqW(_yOr5lF z_OC{L*-`v?+vWDCHnN{x6(_%L|NVKdJv^7SKNdTbdF|(Wrqe9dbKkx7yyJd-=7)gI zHV=MU&3z(~Y`M#(@Wh|+n}2Q_pUQt;P^fiyvf1VXf4fem9NH@Xz;2P@=Bf|;GT~nY zE99PC_kX+No9X$5@2$@=_3!vCYQNELmuX`^SG3mu)vw<tu6x^Mz27I~dQIvw&2{gr z;|&Yh{2!e=eQQ|}zn<~V_L)b&|K&RQ@b?~{XV-1?XFJ*4_@(n*p*PjQS8JcAO!$`t zum5({UTa<}S@pr@_`TiRioY(%wmbgLb>HWmMQWMi&ky!VCRPN%qNVE5!D)N%G@DFI z*`K|>`SGl4&F3Te^G-p-)%+YEBAonG<#O&Fw)IH9)#p7)9ux)f8{}%Vz9+mps3NSN zHh0J8gJ(Y<`mo^1hqsP1<1aVQSCq@Sb=1})*>Jbjis_(u_~82b;NG3=#r(@=JS>#E zvrU1A-;3|Q+?1cDwiUb|6CU@2BKT;@hif8W)3?dl%vi|&+p@1K+xq(J=5;@1c8mW! z_x#k%C9!kZ%kRk`MY)^Jju-7H-pG&O-{)exW7np=#kTiiZ$Fh=^X8xTrmYtI?=pFi z{G={7=QqoDg<jR0?{B?+uw&WR2b`}Dny+NnzGE3af9JN-wh<3yXCxFC%H7$ogK(`y zbH#>*-PIG03B4)%8d?x@x#~mh>x18m`K$ihUcLJH)#UXo4s+Odhqpa+Jo)f;v(f%# z?9v_V%WclsdL*ls6&L6~+_CIyLhk%S_iH6H)Y$f2zxB07D{sOB+q-)F*UQ%ZS@$#M z7h7)4^WvvIm-Nmxx9<}}@~fv!#CHJ{C$nB_b{4L<@U=L3U9xLgc;xJYrzKz0BMat* z-TRuPC|I}e?Fp%O%4^SW_vE`jKY#L1Q~UehB8?7~mlVifoUvSUvKDWj_Ad?_`ztz6 z>-ObN_<HdNi(L_GneG3A+~==Euh;B5e$+I6+S^CxPET9+R4yh>p>5{T@6T_mw7>ss zG&BD5o*c1{53YR$1^?5Ul~=YE#$0S(H$Q*(m8t@%uL-U1Z}0kbbZhLpgI;GhJ-OZa z`9W7HQUbhrq&fC`0sp0lPkYyIR|93fzHM?b9<~t=^LG9^1JcIz{s7DD?#~Z$zqcZS z^SJ<j*}apt9?6_#yMJj}tjn~GNVnb5RJGyI^X9F6i=W;)cNHzcT;JA?(7s+(E@%JT z>Iugty(xcN3W~sjRbL;(UTGG6H|O=ocenJuC$yF)G@U(KxgpnCLT-x8K3k2?*;OB` z9v!@v_Rdktd&BLk;Rg$SUmv`Aty#K=zslae`0JiuPqY~Yo*(S<Me^UyBh9+2cd%!! zIg-csPF3dm7P*)N+aD?K4&G_jOsky3Zf=Jhx9&DK_ROiCa4g7&J>M6UWj0)_D)4WU zTT>__dcADje(~MUir>UNv7WD;KB=rw?#>=nM53&){g`k$2;{g;_qSdLJ8tT==KUvZ zA!X$DT%<DZoVr|2%pv5wd_98ypB{2vo_qT+A}^Z@@asjLK+ekrbH6^gZ}cT0fLUCi z=k@asF}od>?SHLyD(iVw?V>d*sq1>4KY0B1|JK`|li$7cpY@j4(EEL0>-oLT@<uP* z3&T(Rxt#Nld-K`+O(Jp^wwrA}@PBf2z>=;-yI${<HxxT=?b2AT_%L_=@k&Fn<G(do z@42>ozY%k;d!xlYPp&=Yg7+pii6?z1SDYaJ`ohyRJzm4pI;XSer4{};koLL#G>6UE z+Up7143Frn-ZVG<=*%l=MPIvq-E==_Z7#Mruq1)cUQdDT^P1{^3YU$#3g)fd{#^HY z&-r)nC(rSlQGe3?z+;Xz6>akKjeXxeOxt<mT=9zQ8$y0K_8mC%v*AfZo)FXV)KfAa zz6&%gJ}2BR!@eSaLVeY?pWVB6gkM>HK50|=HnRfzB%h)~&$Ajov-!_qxRWMk(Z6Tr zhkw~@&yUV{GB@!SQ~qT2xXGvNva+TL+?#1y-&l0HIk3kwZVr2S^`oVatGOS(opbKE zc+vs=*H4>kx$4iazWmAQf7fIl>+JpEm;dJq$6EhQ-uiug$o$n`x1K%U7O1a!yvX>$ z1LON&gNlBvn{H%Zbt!%CADNHI#kSv-kEtwA+xy4np{+sd=Omv`S=Uax9ooI99mIZQ zb794FZ_fP3Dd)I127Ub`7_DD^v1;e8Ev~Cy{=YZ<RU*t1=ku+B`l{_=d#A|kd%g5b z|GY1e`uiRJSUmN5=icM#ced)M>!I706G3KXT|2EWc&{&bj(GAU@#l?hdt=-xj$bK^ z>zKM~dUVcS8;{qit*W=SAG*z*TYUB2{tL&fdC#{T{rued_sZ)c+lpQZtX~~IW4il{ zr>5052ewYz@mjZ4*P>Q8PiUR6p8E0J(@+2GI6C9WoSaKc&+Baqlk^{2J)F+@{@_Qj zeXsaFd^2uh;ZL<)H)VV0hd7lAL$%7+y6;sbKYr6=5PQyOlWEM|^PcO_ZIix!{bMTc zLoSOQE1$#k-s{lrd>u&iR4z|Hl5NJ{Kk4!At`FC^;(9#ecHHNBygQ=tyLL<YMG3oo z5|j57{O7F5|9Yl+-OT;*s(Xa(Kg!9xJNo*Q#N<6M?pE7=KJ@Wh>7nW(6Z!ZK#{GNr z{!g*Zue$PS*1~@Yn-20nxj5fu{jud&@9odJlU`UW^*g%GZb_+pbWuiZ`UIJM>y1zR zaWlX7K6$-bosC)7Z^7hA!Jn-TOC7Qf`jF)F>Cn#;1)DSO9N#zh!@X}-^6}twBXwPJ zpJN4o--A5@+M)X8H>-Y@G*wwD`1yr?s@nQ}y;oWAqCA~i&7LYv%Pp^#KKT%<pIY~2 z$@$lx$_4D-Nu2uIVR&+7q<%EV`;&^Ga17rZw@!7B^#3E3hH90Cav4`nTXW`LPk<y6 z$!PuhxeMazG$yV*cW3IVz4htu=4sBad90my#rx#KP<_?*_f?vo7pvD^JH5L@KHBaW z)b#gid)V0vLBV;p>Zk9a-Os;+tb!yF_lnterz#c0R>l20bM3Ub(3R7TPqSb5WCgzc zYrVM4&FjXy>xWiMpX9tc&WrE<*Pv(a{=e2t|1Mx}Rx<+}KAYpV3Ef-AZK;1uWqsP- z9OXUkeMKOf=CDhB{S<d7x}5QOYsAW`pL^C$uT>Lyv|#0<v!AA%JM`>oUHpbyzuSLr zl-hUtd+px8V(VA`_{Ti|{qJ4<GTYZO|0wJ>Jh}4czV%;Qz8BP=>oz>eU7Fq!X>#xT zSKUL_5uoU}79H37y|#At2ebL-kBcWy3jbUi|6TYV^K-CISG+cmH@Wxys$T^=I3b<= zURx?~?^s<;jiFlQ)_dPs-!J~f_werB>7bmmsND44_pb~O->!VRM?kyu-j^oZe5rX4 z_N=`0JowoL&R2nN|8i$-Fm979?V8V@x%a&{QiA#Md+GVtJp4lT`|fmuL*%p7VYWlo zZXhRS#idtte0Wzs50v8d&oTGD=DDxBr(GEmoh#N(|H}DF^=8enZI^G>Z2j(^9#XpL z-W6fDy;EeOkjs-dD(pYr{r@Xja-(^(aPp+!&2f1udu-o>{du-(XXgjG|Ca0RB_{8& z;5T{o^l(f0>)kaq;3z$K?X)WAtEKPvJNFjfy#77w{rvTXJc|DAXH|KeUw=nWH0~Yp z(f1$L9hvdu%9`o-mG`v!7l5MjY}HSVhqha%U-yQj_^+P~58eJFZ?_MW;^Vh|{nW?u zbrPq>e?|F5;{ui^wQv41SHvFut!ei@xqRZ|vis5Ca^uRL{=GAyxq5|l!Tq4ecHbVi zlwY*}`6J2a6DTr&-?*>7$NJwvP|`PjpPa9_NB#bjJra}m1YA$F%eZsAuIYmr2iQTs zZ`dpBiLHPBxZCjL>QZ^>e|0A(w|qY#f4}u|-=k8Q9?!Th>-O~jtzTC0#dRs7A33Lf zLTIYw@%0D(Ib0FdJs?o#+o)Qv!^vg5pqq6^+hesT&&yk`_2^nIzAZn|FKBn#%BjZL zVa<O6vlTba+!(?(OLCh1f<KL!Y!i2Nsr^`d?UQ@gv2S+O_n-f;i9a9PcW&Ny%j!Mp z&raO^y?5UC&vwuEo_~I)`g`6&gC)1?YD{)reE3bU_0*}W%0KQoC4Muu{+;=_T4VA2 z{P?092V(Vi-riy#9;o3{^1Y@$%y7AZ)sF+p_y3$<VV?i&Q`o)d(@#$hOA6BOnZ0C^ z+V{&M+vV$O3g=#y(%*XS>i-|#>IBXoc=TDw@?7z8<-V84WO(N#mnrbAIqYNq?@-C{ ze!q_$POeA4K6=wr@AcjN+=R^+i|2`UOm48g<M+3w_d#xL(C4DIJ>53>*$Q#ybM9+t z*DF=X$A4CNsrHFK{lw|l4<<S6*|aa4HUFw#Z2YMX`P!e0zCZoNvaf{y?AK}Ee?I;7 z<lvK6YUzikpD3L7c+R757xuaIUD$Wz<4*s!FR9yK^zW9t_;;f5lPzDK`m?^zdGM5{ zQn$9U`GIapW_(fGo;`dzH9w6H?#^wG<DYW$=ciJ2&Z^o<f2Q~_C;wBo`zz<i-#d3b z;q%WIQ*wR?S+1$+lv!BY`M7vK&&$)&uJw0*Y<Sl{M{)U5{d&EM)eX~)pKSf|)aqb# z`Py%)Ah#blG~KqT{H=49ZGhPG(-ZxKo}6AT{9{wr2DYiqI`2Q9KB(zs)7qE3>7n<N z4WCqtTE40Fov$@oqJO>nU&YbCyX=eF=1kl7r}e?zviZ-z4*%(W(E7jit?6?V_180V z$N%&C5LdE(oqlTJKAFe0JMVKIog)?WWm)~BcP2lWKmXgAaPPVKkDIb_FV`&fo+j{7 zQ(muV{rr`Cs}}99UUj>i^_NYnUHEQKn|$-!i|3=yP57L0{%4WGxumUK^H=|k`f!aw zKmCOFy@k8_%6KRJ68Jh};XC8P_9f>T%{lH(JG*P&9L4uF<pz)DP7zxFUNVsLg<JdW z&ho_%-tLSB#pv=K|EE{jXB?>B{NU|=Z;(j0&HF=BD(*ha>|Xm`w`sd%g{AYo;JXJ` z?DOWWs8_Do{qD-wr#zJ}zngY^Z#u9?`R=d1p&#rDUT1$Pd0(!<ES-MhbnW*`2ljla z*&X}A?B2rH-jW|5Kflo)CU!r4g?GW-kh7D|zuaFrKi<zj`puTI^OvtXhwcB~DiikJ zSi$|pcgcd9>vHUN=lOry9e(dxzvk~;aMV5h%~LP1CwF^h+_?$m6W6ak`o8wAV#RCy ztM82qz{%rY`i|fa*ZzcEpT5Z=-tWu4IkOK;Uz}_mT=(wG??0c4mwmIH%*l-rgYSj@ zZp?26+ptvsz1W`K1E(cFMg1z;{2=$&CV1kAX32l=3rQTxd-d(-9hm<6UC>kaJ#)Ew zYOML?nvQopHDqzW{ata9`O$BGFC_FQEPH(Z-;I|h8JCmZUR`{*wlq&ZbpET~$1kot z@KOB7M}E)p8gsA0#Z@Ic=H8qCE7&Deqh#&%zs;M2_LQ>i`Oa}~pWNBgk(&1&^HjVS z1-U6w^Ij#}p6{IZ&Xw-kH)W##jOqKAd;MEo{M+4T{qCR7W<UPoTxq=az}ECvb?)=c zuk8H$r{sG0mPuB3B9yrn{|$R%UEg!bDe+cs)!aa_^1DWN<G07mcvQ;$_1Gt~5>bx7 z)lGSwEO)h<`kt)|Wa<y!7sne@U@o)%;mm(kTMM?myMKJgai6l^$-;+X)<62u{pZK| z<<|S8m+m<E`s&(4(zV%J%op*zI=ZKtr$Sy}PwxTgRMm>rcjq%J@?ZLM`OBm=@8`4T zKmWRGUtm~M`5eag%}v|Ow?6CLB(%p}@`Ig9g>-}YDX$N5`3HWBAH4l9IrhGW_Cf3W z4c`+F?*8gN3nVu$@xbr!gVEf3rd<3y|F{1Bdqwf~k}v+x|Fq|d>g6~5C4WAJtviq) z>apqHs^~AJXENV4l*n#Yy8Ar#3(xC<<ldgR_DiP&%iC|isNL{;vAZajcKw3iY^h&t zj@-XI>!IG27rzsxFaJ>$lEAw`?XUl&&lA5yyx(?w?t68c)A9B3e<SzioiF$)nD;+y z!FPX-<%i#%aGYN+bo`WqjK#kg`+u{h9Q&Sa5p}9$@!_{$YVNAdlh_^h^7-mBcMcox zFO0qUUfnXuYW?;fyTW$gHu;{n{jyns?}L9w>r9S+Uo5}uMn4<FssEMUtf$^j-m_F? z<^945@{RV_S7%?XwfgeB%Hki>@$lzm-RHmlQ~UM!+54COPDE<Pb)WxQ#&PfCfzuH7 zKfQ|R{i|O?d2)h#q}N~EGi74%jOn%^|6<OY&*=I0xs|(Q|LH@|t8O2)%H9{X-uP6; z+=r#7PyXAL>fCi*_x+XgNmh<wjIq!6-rg?#hkJwYpV#Z(=2p$EzbKk9>-PKauUoUG zIo-Xo@_j+F!f~E*`9d3^4(8+YpC7L`Tl%rqck!i5y?om*zh3X|S{k+f()r?<JKNLi z9`D-p{l)L|C2`(+|DF2NVxw?w_099Ev#K`otJlU>u6lpII{3}`!%LT?p8FH>;L+1t z+P-;N!FS|-y}zK`e)YTd1m!Q^wF_$g?fo_3{`v}^inX7mZ)ML>T>kXCb`@*>`|GCq z=_hudSYO=zUfE8sg8TKC@7fm5adGTo_t#fAeb^Si?6r5~o!2wd-k&af$Il<&Cu_W` zW0Cxkuvc{-Cww|v#A+1S_)hfZ2i2m8pF);SzlDxJx0Ady-P*N2=jSSDc`#pOk8S+L zJ#!S-FV&wfw5K$CtG*@JihY0DALPa@eC-`MN8kQoZDkBoeAnUhMe5TOPl;-THol#n zdU*PY#HZd*INo~8%>SL@5pSm}6>-=VT2tInt+>5^Nu{N8oOk>?u|1#HU*7kGr?OHu z;>Rb+gVDQVz(v@$ig-P*2dB$_?F?TzFKplbn8qzy0e|0ORB!37^>6Yo|AkbY#ZBPi zI?g-ZPQT)O?9$qklAk_VZTRrio;CkIE2IPqdw<$r{X=Th-nBJ%idfrrF%_>n*``17 z@Tuu11aD2Zu={>;!oEM#U-U;$fBEl(WKq<wP5vLQG3lqD$bNZRoaOygZA2(+e{lDU z<z6|B?$4>Szdu#wtl9ZLz<g_utp5AYrz3U-AC26mCB4suPdi@8JtO|nk2~tlzdqS7 zvM;N31GO+NUz)DZb#GrWC?>OCo}SK<|NSbw%G{?@VI5sktJ(eesq4MztA&0P`K*#X z_wPaF{Q0%5hXrG*W6f$hWx|je5l^)j*>9^2f|~qabkFQ*S#jqkT)#AZJ=Z<m`&Zy$ zY<lo^Q6IQ6&iTIY&Wr=o*;}&9)s&ys)~UIgN|l_xg%QTKi|ozjE&Z*Wej@Yb>Fccd z&xOu{tBFs%2Y3I@hP(Nn{|B=<E5WH^{fB)u7LEG+Ca-E2ww?X`$LXpe_v1ag^w5K& zX#I<R)8((B0UiHgo6a>zdb=xspl91FQMlRf)GL1bU;esO=iKzU_onNseNg?+yK#kv z<?e~!e?EOv!fQ467cWL?R(7d>6T1NF?ukv?87shPu1{#s*VFJ=TqCe2+J4D(=G4M{ zF)wQ^%gPLwtA)IJFLZ_H*21>>8Q*{M{x_ad!}k2nG~Rfn_Nn?4mAB{@*1VgnSR1MN zEBU-9G*Pb++Vl6b2_%_h9lTw#5MFyOX3f7I6B~c(QO(V#wKpdmn7-6z)}HRv-xDk6 z$8TG0zojJn-b{3(ckX}D|5p7KBwUJm9^9>Jg0!qE8y>`7TLn+ojZNEgr-9=#=5=jl z6;piI_RH<tcBVebsGJ|~c1nij@v~}-N}pfo@5Y^u(1c>zwEeXOG&&OwRzKYd5Ar_I zJ-x?Y{R$F$ep>GR>GSFzmRi(Im?vH}Vb6K}5?kHlxBn(!q(Q|;oN>|Xp&{<fnt$5_ zYP4<B_Ue`JAbBsk$27PUoVwlKpFS=8qi9MhGmk;pz31W!T4qWn9qPkKgN2Lizg>mq z$f7+Da#<j0TbSkjG*!5h<<u&w)n9*gh@7KuUKzj6@4@M=GbM*h96s6YFIhG1>|!(9 ziRdBy)9#|Yx$H8ilRKNXvq2JxoL)t_{xw*pDVp@)t>k)eBDwRXwz8Tjepmams?)#! z6xDu8C=!2v4!s1Kqw_2IxgsoWi0;{&49(_N2c!1}{GMd|<Y{Tb!_&$v`M(eD+BfCs z&!W}epDyOCiDb3a%(s75TUTiv-+XrYZhiC|^Xcy*`)xfJp#|Q=rtRtV&>+@07+sHO zvjwx{f6f4>x}9f#7lC>T{MQx~O?X;cXKCTuxqQRlsTe`La*@4R&n2kICI@fZfxA4@ z_N@`$<GUH2U*_mlWV^orCyTiEr#}{VS(+{hy6-GAcSkhudG=?ub%#H%*<<|b)n1J3 zC@=7L<Nsdu6|&zxzk0-DsaspQ<v}hRBp#1*+)Fjy0;^wa!ajU^d+~MSCX4uYpZ3j} zdSLpVZTEct|C8VTxQM-&2eFg9wkIZ3@>u(;ywzgIj;!z6+U=+ma+o1>w@3<C)GiH! z2#wgKo2K64=i0GNK__IcXrmbG_7m>mEZb@#v^tmtOBbu`?&6$kAJH3l?MR7s*949{ zCMoG;qjQPx5AS<Fx%#2lt<Uo;Z+eQQy*J+d{QJD>Uw?#Nq;R#abT@wIP<>ja_3p(V z?=~E}{wA5Lwd!%9!{eR5ZyoQ?{Pg{+*}lZS(BAC6#~jIhL5B?Q3$wpJyeH+a>+kZv z)AK)Waq>PrF+W3RmW|uLzvAUQuYSl$7EhDbFZll2$A1prj_n^!*Iu|4CA25^NOw=A zf8L)pk7FNqZr>R4DI?d`>x15$-{D*LpO_*3JYlhV+|K>(-1ioWf2}N-DV<Ppa(Dc! z_wl{Y-(~OF8c^Z?_|&9top)OG<u^@_v;5g_?r+!oDe}!{*UHOAZBf?7Jn=^DQ}t&a z-mYI+`(=rLyqxl{!Uywr$xk#~zEuA?=e>{5P4$hQZT<4Jo%MZ}^H%+dhWh6kTlMXg zDt^zq{QLDLllXt?AD{k`*;nK3W`4BD^4rg(o&J^2UerfR>`UR(jyG!0kN@=Rh3EWz ze^$IW{w}@5UMbnnJN}&b9_RY&drr)_erfvKrtRh1zNs2LJ8HS%!_$pT+h1qy-LL2U zIo0;#(`hpM=FD8aV%87Sjpwf)*`BukV!515oBqthr>38IczgODyQ)je^~F2tblzY4 z+tC9`P;(x<mA(uy_&E2y-1isuxg6VL!7jG%&x{AUZ!dwJ>^I-;<Nf4p%bLmuaofX+ z|2n++Y<m4}MeUaAd*bMZ`wRSy_%r#Pd&-&2m!~&9cq?@kVmLSJ`wxz{ri0?(z@h2t zT=#y-fBm;h=j`;ON2dEf`S8?n{rW>q|6ksC&i#+=jq`!S{Tzp<pGkb`{p`bA?>Kqe zmzSrjch<@Lo(na(pZlKBN2TOA@Ax{W5AWu!tL^NmEacOv+3E42ujj?*2b)ad_i2B8 z`uWmy=}X<KXVh8FTD|eS_}Si5h91uY6MsyyTvKyWW?${e*NfF_e|<{1*uV97DI}=% z#P)o>pAnaGCi~@SzJt*g4!?yYKR=R*`0+{Pp!J5}_v=qzs<}DsN71v5!aG+lTC-n% zcB!p<t?5zkr1|SL^A6cH-LbJpPbGChUy{ED-t9jz<MO5H^M&>(@4o~ImE~Obod5lv za@kk5ehxIL_zUm3yZ;I}-0KuRKD{HeFC<^@u$#-5_cxw*zX;p=N~2l5<)Qbp4WCqt ze|%G2-#_om%hQ)#?Zf0QLZUQMa1ZnT3lQ_uIqtRUXT>We$IW5pj<1XQFs**w_v@*d z`*c+Iy*csF`{V2Qt?OrfWZiiFde8SKTaD~@YoNPbPq6;L?Yc$31tp84etq&ec>C{$ zXRgQgOxtJU{b5~&A1ri=RzA@E7Xc0(OZ$&cvtFL=`CtF@*!8G5-%rIG&tF&Bo;W|@ zoRu!R@$+<l75-s_2F+=f{FxOK4c9N#w`R?Me;J-!<_qpg{{9*qtJ8$z<5X*BUX0L{ zyq>&M=6B7;JIif~OXi58Cq#SgUxgXFp~<C~C4VBs;OX4=a(8cm=S%g9?C+4|qUTpr z8O|5K?V08(wL0H7pG`lmy_x-GUh$z1s>KmMCt23~o^;*a|JRqNI~U3SW`pL-GR}J& ztxX^~p6j6XpC#~o`J6TXYZ)Y8s(yT0z!z^8C-qhN+s{S&DoyV6ea0}ga*_P*U(h7D zPGry4wX?(}KS%xg#NAZ>Js)0<gmd0IrCU`WJMG!&)K2~Kryrj3yjpT&UvKTc8}F`b zpEa(kLoY^RbboCuX@&-aY18&yuAjl#W}WDs*5hz<&x`NLt%aDo?#T52ryrg+-y7uU zI^n2YJj-^C?;OV0+R>9-=_2{p*I(7S9Gf$3-yVk#VI2_nIvuP&u^1k4;+*$B`u?)n zp>uY6wots>o&=@xR+F#${@&SY^YxHJ`GURb=;@&@@XO;9Q=tXwZq|HhXfF5s@UGGY zp4j$2kbQOa`N7o8eQ*3~Zq7SAePg{{%A3!utG4}Z+PX~&JuR4b)XCgf0Zqb52d(Q` zAxU_T%ZGEnR>I?KI&1#@r;t!MEflXedEc3e|EX{0^Gp7&`R(e{`0vucY>aqYx=4Pv zJ~Zc5&U_%dD*_U}-#G4ln*}%dY18)X=6mtem;T%|>&K^{m#2I9dj5V^OrEd*c$-^g z<@2u?MH1J={-@p0RF)^Qr&c@*QY5YCxOYw#9=`FSdzNy40hgn*h2rncfdz!C=CPiI z-|mQ@2gH80UmJHseOESmw&hD|=!dek&~j*R)Ar|nZ@p8_bQdQ)JiVU#-Y>7c;5sG# z(dqW$A4cEKxA)ZU<Jsy``SZhVj4+tGNdEY_tB}&?C-1>%C5Tg3v*iC@3wLTb_q~Zv zAxR-xIR2kqyMA||+3P0(8_%0tX8t*E^_~yCz`x#6w?_9e#MqslAFiFh1}W5Lv%Y`O z3pe*V*S*H2kVtDhGF|`4htxOkjXP`i{m`|veE94)hGWfL?ZeJrfSCGI_n>vqMTn`_ zIqzM34KEnhi|@I+{Po|`$XWXDeQSPRd#qM;-6HZ{2RPF5FR6XBm#)DmD(j-ZBtI2_ zmSwd~<qMo4X(3N=PxZQMu!?n$<A-VD5Tmm@_506&O5w#icWylI{<JKW=j)pU^ep_R z?+5d}vPsZ9EX|t#y%SnEs2#Lky$V+KRc?Nus|Tq#{^@*ty7JO=$@lxAsmxh7Fm9eH zMoS=H@UO)_2S{>w8g?*R4H9v62XEh73^Vp;>_O{m>&`cyzEop5<ww!nFR5<L+fris zpBBCOe6(o(r!yBnMxeWRPw1D&7i^#babI|k>mzWDvB&pA*}CiSaESeIt?wE*6W8c` zeELjgU(VU!5Z^zuZamL^6utTS3tNATdd}L#{#&gF)WHo8WPdM!WaK+)71h@=VfEZS z&5G5<kk*BAr~dZmA5tGL4YW_1um5Vc`{B1goH3m1{U!N&BDBnTFScjv_iRW&RI}v& z_kw4O_uThRErg^rb>aAbR_*%9|7%X%c&@zE<?q`U^ADp}6lE9tms-M172R|7J2VT+ zHkB9bgcl9`Ecv%{z?to7#G}*8m*^Y++vg7{bOO02waxyx-Js^AOxV5@zWe*0e7!i? zuC{Xii{rnnp>@SQ{R(YRn^(8?r}IJUT_La{@)P4h>wjgnaxv4MolX~u7wemUBKB>{ z-v5)dGGE<ZDa%pDe(S#=dht-N@~dzOw4B_eR&g6zraK<|o!|;LSn;5B$pSlmJ@3y? zD;}M;w5*Z*{oMJOUHpck+x!XH@z8c#!5{hJO|mNvB6U4@bMA&p9(#Z6R_Wu%e6A8a zFMh<RJ3Vi^y{NBAFek}JJf%@%;{wIi$1ZdRii=-vb&=$}rCPT^V2h#DTmfCblG!h; z0wY}A+WBVWMM!Y4Ua@9>=l!wj{IB|L-)oEXe&&Cf_x;Y~yHE1o*5<wYx%YYfuRjv6 ztGHV)MrS|W&aL&max-^p(NnW^pEmC9|CnnNw?6y(%^x2gyf4<;zw7JzHE(~lyGg}o zWJDC-No?7-EdB0{RGX$A%iBih_}L$o95}80{bTE~WAi!hU2-|w-BY>#RE0SEqqREI z?@CF(7vB?ot9;d8S5U+GG}FgZfB)`$@HY3#@7G4=2ac`wx3{>TJ+=RC?fWB6iBV4{ zvc8u+8(Z;Wf^5yRhw**(e-bCjFSU!GB);}k!0K<W>+;syod5cH=GMQvY@V>UZ{1Ur z-ZxvT-?DG!F`0$6Cx180<9T^HzN7Aq+;T{LR<!nktOm5bTG~{8T@+q2zGlr&|0%ir zxby$NPmI1>{@yg_=civX`)n3|DxLR9_v_D~ePuSc6Bb6=raXl-CtJYHNs#$nbvf1x zAm)E!Ja~I|JftA|=k>v_vLBvw*R$row%fa3@AJ>1q{}bn>ra3B;c4fp#!J6dzW%(l zJL#(WEptOYNQX&z3#h}C;t`)G@He6+6rO|M-dS4-Zt$6N-HV(KZ>j!s`!KEi<=@ho z=cgY%Gab~<@x1z4vs2~k&q+HxEZZ|Qk3pLwa3{07+Vky)wNke?ZJ!Eh?$xVTh{vsg zmt_+k$X0pIXP^GFrZVc;>93YGk!Nm(e*LxO%JbFNUl*C&xjt8_9nxD8yannl87<M5 z7yEnT{~l=J>)W*b&m2gRBO|iMTki_2F#EIj!Q0y_zh6(ai#JQ(cSo(ZvU~r+RkiXH z{@?uiJ>%8qtM^4Ms~(A_A4PY!yNmrdo3+rO=5H#`XonbI6Y^nQ4!q8|qf@ba`)hD` z7#qdEo49XHQ1GkS|5w>8pC|8EyRT}Wnfs}I@BUaoN{YS<;F3bI_UDWj$NSWwB?TYn zy?yG?wyM~{>iZ$^g6%kK{_QYuJZ>`m{8W?Mt@yh4)qitT<NkTPUadE8{;!(SLcwDk zMNbxCSm6GV^`6ZVsPp}sw*NK+<qqB2ouMD{EF<A%2Is-(J8Qt9bMADFrFFZ$dQaf= zqrZ$+o)>?;?|M`At2r1ZFL`nNk{YxM@#nl}2B|{ss8y8v!h_|{<_EHM9^m-AIqhdr zB451Ol|?s{s?J_{-kq^JyYJG!cNpf1U+ia=h6YKVIIL>Aqgb)r2j2IsiTdy@|HAWw zsdn*o3ZI{zwXC@r@p;<jScR`YbIQ%%yz*Y(0d1^sfP1Hsm!{W?{=Km~4w|kvJdllB z4oTPZ1@~-@yKdjl0qsOa9=yFd?EU)FHT&M2sHt@4iw~<43AnWV%5(2uk1iIhzWo?l zi#UP18*N{n`d#dwn+<IU+NoFku3rT;SbPun_Y7E-_s{o3*`4L!5RRMu^V2+;eQRt? zUq-+BtXlgqV@IxRA+*c85ZvW;{`HCVV*lFj(B^wh;D>K_7DJ46XL&zq5<G`{H*Npv zUR59a>~m`Rv(pD<_RVpbJLT5$mFK75`dYQPa@M+D^l;GcuG6XahlYc8)AnXaKK!p& z5#EPzt@DRz`&OTCK3%gfX3oz~tup&+7S~x#lfB(Iqb%Xy@ki)kVDD<rcNdyTHmOw1 z?gaNWil#q!dut^;Z|fhlE|_k|ulM=qChN~nTTZ(bU$4CS@9)zmGq^Ug>8v~--mKgG zO?7u(7rK*oyV`%N4Td^-b<_4QypS~fbJl~{jj`}Fe7|Y?_t)UCcq(obKX3Zs=_~i! zr@Z>?s=ZyRVePLH8OQ*Rdj@C#=j4Qaca(o^{GAQ0|G#tHYg`Yl|7)AdzlOmx-`}R~ zpZOq}PyO@L-%IpY|M_#{%6)mGuRpUEEX{~7`mh-z@)}+o-*y|CCjPm9_*Mt))cp(p zz?TQl9W}8Zw$(*~)7l>0&rf?VO%IJS`1-xwr*@y#bkmdV-)k{S=YRfRk_&f23%gAZ z-oAl0j#)o4UviU!n=GSVaXS9e@7G52^{b8J_0B&$4RP=!k>sfhqw+8k#bZ}{K7D8h zp>ocHTvbT(inD2Z=3-b{OKpB2`)dz4{yv>MGriWbCUVUs-<39Ve$}juS#DDtQ(lc8 z!Z{*;Z~T{pmJ!0N`IViJvNK0$kM3)D-&URT-o89Y0PBB#+9tDa&9~akmA_WY&71tI z=4%1(v97|Sr_hTD%g8Uu=a)l+SWds9-EJi$fA|aRd7BOICisi&xf=^f6a2>U?@qu1 z;@BzIuOF;lzw1FyYwDeKZ+^5u19;Jcx4(BloIFRVV)whNu-e2X^24^fE5Nz=Tlzj5 zh1#7N7nS1GyY|<8J6ifb;??J?e_5@X7~S>>qt{mVm40pfSp^M}e9=93<)Pzm%Q^0e z-iNonYi2*tt#bh<jhNX#i?W_3?6?yewDrHfX52rHS*z>j&H7dIwOI9@&C4tDN6@RR z;G^OdFQuS%EQc4(bF?bL>!ab>rR1P>%~o)97AbrN4P)h0d^Q#Ps=dT6{>p6oV+C*R z`_Ka?y`xU%#{_8LWHxR0fb`7Lx$Ygzhd1u$saE{9UjZ&V?o9dlX_m~s9GS;{-EW@; z&DY=dcb)3_OTRJ7(3^|otJhzG^!aY;RJ5<Z0x|hA>wAy&umt$jvT6JCzmUibcy>A( zloS7^zIx9;^H<H*FTpwM_x@@`PbQV?UK~GX1`UemocI2nhE}jYo3_6!gXeK>mi*&P z;3W8I<(cW)OZB;bckTPP8o7k#$7s=TyV`F%cOBwrOXm;Y&OnE0b(^+7MHC-1o3>Z3 zyB9zGXN~2YpP$rEO%JiVxg1h3{al|ltKZC42qTT?{n}{b4K43nn_xxseZ`8~)8WA! zr&HlQ{l(`8M)URK&(!?P;EP{&bItK(UWM$>?B&o+)EE3~VFE2dQ&&I8h4v#Oo63JZ zhv$|1nicG65EH#;>c>ypw`bv<$FDCyN{*WACRdN|+J@0Qy17XH_c>_EVd?&1+Bs-} zG@a|->3n#3R5Sg-+qs~DO}qGaXKO59e!6()Yx>su`kB9eJ1?_~UzPXe?A9y`^rq-J z(Z4rreWBrCbI`h=4VoAAEAk_tZN|Q9)Bm%(_@}&ljMR~-&528uJQi<t`|QbUD^&6% zJ^g)nlm)X~Hd!XTy>U#-ncFpXxyS3{H$;}y9hlK`lWTU5;hU5fr~YItKk<tFwac+( z4Y4jITAs`QI6ju&rlMsW*zK5Nc;a>Co1NQ!@2k4^<wN`V*mrMyXMMH2zT0;H?>Xt$ z^|z$xPUYI`cX;<9_1Ks0p(~d?o4fwt)u5HR?=md+6sV>o*6v7s_h0X?@n4&oDd|(Z zK3~%QEPed5z`P%a4?FGg6Q5uG=gRLIx%rVxXZHQs>F4VE@|Et(<1_Q+?VmsF3AcLw z%6#7@*Qqtt;ky$0OkZw2P`J&|z4q8f1tIqP?VmUOo&LZ6yZy(G)m~SxcDvY{%~=R) z@tLK}33q$Mb0GGm`-{)qEcxH1P4$hQ?NxakE8S^+SFif&l^BhR-M8j*mRUZO2MsN4 zFcez9XTLb-y<g@peoJpUaDLyMf42(b*JaGPGktSG_MCsOYVDTy>z1#1Tt8b1TASv? zfBN_0<cxhWI=?oSO@o#VYzJ?b?Se#Bj>w+c)$p=@I>)_FKDG7E)1IHcb!Pf?6Tf$- zZ|*$%<oTlSKmXXQJgGkO|Dh|;#zoTaN#EW5k9~Q1bdkLKDrkdHPjt^$NN;+l&j-1@ zi?9MYMz5lM*~`xYn{49uX?}h>&F$goZ$;^G%2%JomXw_RUDGFbb;;r_`%Fxs4e%TL zp8UNy*|4^<^TqMT>F!Rl(+Vd{08J5vbKLt>4=E#3CqIylMKoXZ4&MGfY2SWD?&r<> z<)Wwm{It*Qp|_0EudheUnP2RhzV!RgJvPggigV^pTd_T|_CI6>V`J^f-;33ge|-wN z*gsbv+MJ8gtZ4tY6jEL33-4L_6y76zFSbWk9~AT<(}im)!=9a<Z|e8X`>Vb23bRie zKiJLhUmlXv<!mhc)eSTgHC2D6@;3d-`Y$Oy@%!ArB!4c3HfCnC=D(i-3I07XAJn?x z!M|Q$4{!bT@8&5h_0)fCvitlr<MESybCyY+xPRz(&A)jOPdoee+668IO`x5cen#;2 z^gZ@fM$7c0UF@&@b%kccsZHA-Lk+K4{~$MJ0la}{cQE?*ntl5fy-O_*PUkj?-*?Ai zr~Xm-^}9}-KJ>dLZeH+2%V%eNuiOSr?S$=1>4QbW&$^G|HE&?;gw-th%#bnVZCv-h zZHE_l>%{gbEB~@-(K$bzcc%XN7^9u~t3#Q8Mpw)~e_wdDO<?e(6&FrHrldOFdf$_` zmApJ%Uf{3Ak7{V~FE6rZD<thyPJQs!3Q-d}H<c$u%x|CY^k<Rlv(v7uHIa*vLd0y& zR7``zyX)T6c|k%Xb@l_<pK~F>og==d7E$c|+3?`)pSAn;E1v$GTKw$vHKu)Y)*+ev z>%4DB2DEE`<eTdI{&_twPxp7!<x~ejOn&Ol`u;#ZBsD%g&hq|YB|N2Mv*dqX|Mf3# z<UIZG)O|7W2c{c`AOhp!ho7BXYthqs?~CKV)S*qLb)tLb+CwKSU$eY_Aqh8GUVKk! z|Lfn<n{48@rS99ayg~o+_FZ+A^Ur@hy!c^S%#;<{ps_CPcq4aM*8kJ;;`pazXxdvZ zy5}r3l;=LsJqxb_{w#SQTkQe~<=khd*|~oNiC$QFbfvXH>-z(TQacxI{sfuFf#>_L zi{#topwpl?6)Rpt2fKos$}4uk+XTy5-#>7Mqzc)Y`u$=bp88yM_$PMn`RDIPwEVZ^ zr7m(p&nk6pUy|Q9KufCgT=zECLPu-a4p#430!z)6iyyqLbGUzh!qcChmYtcN%v$r) z3d!Uvm$4+b*RJ+uUtU9}Ts%MUy@R&xq*>n2sqbgIJLTha0cba~xM};_y4reYu^&J0 z_rE<;WBL2hN1HR{Qf*7(_x`W(c>nq0<C48Lo2&vqNB%@BO42U&^HxKnF;8UAU6}L5 z4(|R?32)N)Hf`S*e*gZ2rMu=lIDOkVeqQi_>G$gGmVEy?#bJ(q-*$gq=)e!G1gVSr zlKeIgnpfsFZGRgF>1Ewit%&{)AH@F2dNBIl()al%f@1wXq?SKBeVXgXrQhe<zu3($ zc3t)H*?NqM0W{Wp;x06G<O{>g@5m2%KPJQDQS6}g7H3H62!D1uoBPM6Fw;r<TfhJO zW4rN`@YXUFtu4Q*bc#U}eeeV#?rP6>XC<hni~9Ac>|pf0Wsov;JJ-E=_VB*!J;jRZ z_ZPmKr&R8HbGByZ+6H~?+W276%d0CM*6s`cxVZA@i|Fg>ufBtf29->(BD-kzi{t0c zLf!pdWRLUqOh~WjZPWJ)h^fzV&U<~)kc{{}ZQmRH2dA}=l1WKMFqQ=9`6c-?Z0O`} z)AsLTkdWT#{DDs%-pBZ}=|S#|74P#;e5$dW@bi;6Yt75Q&z-C0`*R-o`RK;8mC#l{ zyx`dFYJY7lv^lyn_(R#96_C()FSzG;{Zd%6{waDey1E%$Bt1QNW_rEO1MiQ=cjrXE z|Gcv%L$qzy=GrXiNCYe#KCOPF|KrA2Xh4*6-eZG|^t=(=Q!EGXu<Qx{&=<4(yfetP zGk$K$dRZa&YWbz_A6IFYOrC!F-t*6&=5(F8xWzaye=|lr=3VS>&W2X-b-o|22|?y- zud}{C<PNX8_A6GfUwZ+r;r|(ZP7OLR-E>vsAKg9YpTF-6otJU=ol7f5xwP!X@pom= z6uq~pe2Fh)l=K_ty=%<y@~)aC|M}Hl|Jv5f+4tlAcga&VJ9jkbL-L?zqec9e_nuc; zFif8I;`p|!&>-2bTfrU$YFTal^0c<8{IDH755DKP_bl|+zc!t#Pg&ya=KlPo_44$S z6Q5V*Y&zPrr@L=m<^1y(7tbo0^6;O_-s9+X*Z1zaHTlqq%bnpL%HkG6;`29a{`okB z6<qgrodzYpRi`=k$(*dI-27!})~w0hU)HXRzxTZO?}v;XlD~yZkD{A?U-)mtz7S|N z^PM%{7*gf_bNC?l>N2cVRpaoX&lJ+CvYY*Lll!kthw9^3F<EW3C}m&vK{leoAg}~I zXzr;0D$H03EnOK8M!Q3La=%&M_uYkuxxL7qX74YR9Fg<%j~m6yP1qOWTU_)IoV?#Z znf&Gd&0X`=vOLfWZaa-%8^1e2<I`SfPqH|4SiPO){lB?z!`WH#zbir7nBvCqcB-{E z<KBg&PS)K6E?1x02Cu(fHP7Qp2D-~@BEBRa{|Sv$ey)25%b~_QH+_GB=qt)|-`n>2 z3%KSzJyZXGAWE@nV~O6v{3(2~pZ7Vm<yYhKp=|zQNIc4m?m3?eZ@||0e7Lqh6kOdD zRsC{3QJGnOO;7r~b8pzM?_6oE7e1c;T_e{2Zoku6*Ug!{h~;AW6Q-t09-Cf&y6I7) z(ZLI4p8Psux?QYMJ1?x+VC=i*@695$SzF)qxcILAqPT+JJATT{w3z)ZbsXQDO#;m} zFFmVbdAVuonyGrOY*`;xb*|IY)qJ_?=F7?td(Ykbezf|=;U2s1yU!P-#ohfJmtI+S ze*WG%J-s=*tY#m7@lVaPV0U#`$#vH0SFcXJn>^|Fjrjb}$(#Os-L^yhOkmM>o8w8z zebc5SS1RzuwWq%P5x#%(<M3@2MR&Jk?w|RJ%~!tFwbPz0)yDnUoVD**>-O78cU)%9 zFTDO_*RNlU2XAi;_^gzC@9Mi^+xNVU6?X$(F5XwS{lVP3pO-|%gE}i8?mFx#s{PJ! z@6*w$+S+I88-5!<pFiV%;rEUExr|@GdbZoz`_{cvwGoyn>T#Ce`iqa*^?X`<<GJ|L zWuY@eJ`4M5ZG<*BoPJL_?(X0A<tg(b`N_wQY`s52AG}(uUb`Y1+U6*4Du1a4&vW;= z?=9s1TKQq7z5JWgJ6G!4-!$8&&%LVgQMJ(TKaXy2d}X}r+e<akC}_pKu=eER#h``K zju-p?euh@u??m@J-3e)T6a{>UD@N3W&Q06j+w9$ESG>#S<n-Q^`une$?bBafdw*qD zCX;<<ZJlsMwx4wE>(9Qgib2&Cs3Cn}-;<9QCo9(eWWU&NTMV_~U*HG6v(Op&dZ9h$ zd5F>Th!17rUw-{M^YW8Qyq;HWW%2DF`$GN~yKN1W@lTo`FS9%3w$3~2YfIu_t<#oo zs{Q?-i7j^*`?51vpkp2?6~EJ<S){h<`!>Xg-hZ8nXla-Q+m`Ixv)cE^rp=p!r~3X% z@w#@l<K0oa{T<t~?yTh5e``rxGPDT{w`6}uUCtcnR8i6X2XE)Cf#jI~S{3#C{NMvv zfd`{!EV+I?-EX@3&!Wm#r|;$-n!YOY*u0MTx;JSq@-REjXq&IR4y)YZagqOsyXHyn z;eC9kEmXj(zSvpvwIOAooXDQvR`7a9UU<*R>|ZuN&dlfkS2_RMmFexbj(vY>BD2op zzwGZnN_V%N$=rHfKj1s8wGEGp9WRbIK8BVi^9A;>LTb%FyC2wY3xoS%!h^T<p7Yt~ zr~WMReRcZ!?Irr|+^cdfseg?$SKcN5`;XGCRfWqpWro>7+ww5eD<i%nzlSYY>wMrF z2OTi;=el?EExaW3=e#!$6wx}Tr^~L?e;%h>QyIHcef5N8>34fx#J&04ow54Z+*9X@ zKSSFR@EBO`YF}o%8rrgwZ`%I91Jb>zar>YbyA;-zsC4;IX0!PE_4LSnf1F;OKD|_b z^_D>AslPYg>8q{V_$FBQvxSAdJan8OBmUEm7bhp|s|ov(><x|FPnHL77em+M@NwVE zUI(A9tBLzyCU@!e>&-gxWlQ({DdF8WC(t|4_rdiecKbQ^m@e<VR}hFECO`cz_CIBY zhIqWd9$82?<maLXdkbg68=8R!tv{`><F`Bg=_&6@{r!>6`u@AOoU1uBQ`8`;QFQtf z#F(d?yshM=>HmfPT5N&MmvlVHRe>}Dlbg2JcESza&-(s=@-LeoI;W@KU8%oczjmkL z?k9J=7uOxJ+rPrRUv2xpOEu7D6+8v1UhJ>se+lU<)I@&h<AKgTJZ>ug>jW=r{&U>B zx3{XccG}a^`OEkH>HLx!{O$bld9HIsZePCfT>N(3+$_8DH75`Qel~VhMoaYL1^?do z3+v;Av%Wtd2WetjYE^7EgU{mbQ>)lr_WJAB$Z7i3LGkZG_sP^)uI@f}ZkOc6y*HkV zUkvNDo_g>ahRaJqQ&Z4xPL1=2Z~vko?W%v_AKuMb4oe+Bmp#b6xfGl__Nab+D){oW zR=xbaHHFbxN&6MP{q%iv*V6Xa#j8J|!#(g)_`i$2SRJ%gzexu)>JDi^lwLlz&yD># zyj|}nwC8F33y9-`<NXS`-xk|H_DsGUCV%wbzX==9`{$-T@t<}58G3|HcC}Zthpt+( zbp5bR0MgnFIcRMY49k~AvmVI)S_w%dZ&&JzUwLra>4~iL?>|cS?M(M(TZ1x;hUKY6 zyT$fzey_g%URHXybiXCc3#ofw9RDW|joLYS6{n#q5L25U<j#Qiq(DQ)cOl{P#=G`r zR5PfMf4NU)am5k4{TgN~k9p?APUnv|azFV|{I2M&>1PCQO@~BodRN_>ozT(Tr;$zN z8M`65(<b_Z+5VXocjx^0>-Ar?8?xZm()&Z%|A6)D&)eAluYGOrSG&{i*QQhR)dT-u zd?l{9$^Fge?ws9zmUDlvJKwH9bMccE-S4>GdOzcM>n#UP|Ia(>-t35lG!#=EKYYuD zj&g5i&Ht_4dENIYY{_sjOa5zqP@(^Mv;Obk_`GTR)_nSY{1`Yc-cM=j)RDP20qmU< zNM5<G`fKAy*!cW=u|0S9Lh8g%F$Z_oseIhE@&{~juWi%zg4J>H^DFDVJzXCZpEr5m znoDyZ9pAcYPg2tTzS=tB>T<vSx_ie!snsh_%C)@p-@i%lD0y1BNZx%Lv=uf-yCNDo zLpkrkU8^}2cSCBR%abejJ@C!D_WWS_y!o}36MlV)dwF`<8~b|Ax}TS|*K>Y)b>q3Y z<F<#n2XpSv0sH0bx)<v%>`Uo`mCHZlKC;?<u!Xi!KeOgHLZ(;s1@>6a_u7-E1#4RG z3Hb00w6N9q{P}%%X8$Uh|0Q+mu~qAf?5Ew{cwT>6?W$C{+1o&cQDD&r*YehHs>Sfa z`Fm&Go4<X~7Pi~L**VZk-nXgz!^b19mpy_l6J%}Lo<12GWC5>EFE;&Q^zD0lPi@`S z3bkq1-|SJ9-<Q%iX`=p2(BhApFDV}J`{KVOU*8UGK*Xt3{5FRcO5LpQKm0>Bd(nfp zJ3PUu>h~vySEpxY-#b+s@3$&w*2ewzDQ`Zn-n2IGo?S&YsEk(KehWP|oG$k3-iNO7 z+v)M)TTLva1To{j*VZn)es&jZ{jWIpy<@zf=H1Vm(>-6Ec7=N(uxsO~B~sg-9-e+C z(C8CV=y<!>Pusr=T6HnQCr|7(DrU?3AVoloQic1zMdzE(+t~m9wDZdJ+)L9#>+UW0 zGM&4#HjTAtJCpXd=ODw2v_nh6U%W>Oq&kl;k1tGz2GTZ;dv1`LJw~hIb(wmcxhQPP zKTfNHecuX*4Mi$npH5$@zxvNl@9&pZ$ZtHa|LW}GlWXr!1gD}xG1LsMFZ}n$_ey9Y zI?eLlV>u*)?}_<f_7*u6fd&}=&Vfep>XrJ{S)kRG{F1-_cxVRN?|u9ZoEzMb6R6<D z{=2f!N^&~)y~eGO3|G|pAhy!~QEBi;*v19XrtPnTp+W!j%Jkby^;er@zIhKad{s{N zl<n;APl5`JlqX1qMR-?TPA+r|;%DH&+q>N$@mlBeA+Ed}+4SSA`KPn??z8*+=gsMt z?`E(1`7ZlM-P6+-ox(aHMTUO1fAU`QUE1I@8vS9>Zm0isI*3fL+QnWh3|hqIi0+Bi zhIYWc4(?8MN47}oVDvsONJ8B-;n$~)QZ<sle>)$u+aGavhUxlewxIfFN>9y6(Bdsf zGhKL*{A6u#^nQE#pYe8mVrL3chl_Ut=rD=*K{^SKtb+MOu5neWok*LuV&>A!Ww}02 zPe-+$@Lk<~Epg)!)uT6>VuAucWV`x`pPjaaW$m6D>^V#IT3%Z9t~Cxbni{vNFlp1w ztvPHt%eH-Q{Twsz`T0nT&F}2a?|fg7&R6jMf6@K>pXZhTw@<vXR#Sw_^qtg0_ovE# z^{?&9_F1^?TXHi0`b!@cPG|eu9Jes%*SeKoE*+eIzR=$7UDn)CjUV5CpI=?`<6wEu z34Tra$mnXZ$9HSuZ|cWCT*^~v|DeF;eU@q*|GsOnb@k`d_WbQFs;_<avs|xccXj!~ z5BcA->wT-d7aAP3y>I_K;N9j~N4v#;&;J<MuFvw#=F3l}-LdYn%a`V_I`Mp0`oGto zu3Y@POXje6?CW_7?r-8g%NX}qN(uG3sq)=ne|2)Rd--3l_5GSXvekXB9jd0Jovd5J zV>x?LZPbz@Cx6%QJ(jK6|70oXYzWKgAK&d+{pL;4jp<Vq_2)8k$Dd2ybJzIkzh7rQ zFV=r896#?7SL?IYJDdEb{@Gdd<H_lRHr%!Q67oXY9It)%7k$6!lWNhCKCPnZ+w><o z-=4n1?(33e`p-Aly|Hlqrko1e=*il%{r}!c(~UrfMeOV;&riSkdda8f-lrx^2k!wo zS8+S-#NV$opS#EJQ~&r>=biUOllJ)?^%Zu1iVXI1LG7xlo6jGw<o@P{a(U)&A<Heb zov(xavwnRNUL!x-fBIh!@CMtNAItVfe+p^?ZNupQC}uWgZ=Tk^B|MccLHkr{j@>r# zeFv(Me{E9ym}>m}X=d&ErJ<K*Uy9>-vGu}p?iXRQ#ZzkapB<ilLeTotiP&5FT=;V1 zAN{&AdDgy|6Tde8o4ZL~)7j5EUN31+?sBiVQ=mPu^HcULj}88|YvqqgMo*T4mWdzj z*`C*R2UKN#Nlkuq`t%O{_Kd~m{2!|{^xN&?HNI<}mYE!Xu3dkka@mK8ySLPK%G}y_ z<m*ax)7qb!*ZP^4p8&;_Zfzyw<6I_)9lAZ=7q31ID&vCM_LP85@W?zCUANkX-|q9D z7pIpUnJ#VgV^VF+v{S2geQ&Xgzj}9qIfKo2`JW$Di;m<iL-oj>3AK&i=c)XjXaqVU z#I<KTUxg-U|8!m3N4>~MWPhADx_7Dblg$rMGI*}Cug0KRKl{EzedytQ-r9XxJJ^+v z-uM?~SJNr8QQ81C_U(`V+E_g2jr*wymoH6sJr=!g>Ygc}z2DOf?rlAd?2k&r$8ROH zzh6(ECtrJW&X1yUw)j5jl}4vuK5wy$_uF0@vSHglb@_cReK&licY5EReq!NU@0j^j zL6@gb-%zI`mSP_SJ}|`Qu`chv7q53ek_Sgge8QgD)2FfOr=IYBe&En_!^gS*=HH2* z|C8~;ba9n^cV-`){@8u#%}<{fE<8Vd{#?1*Nw%h!k0`yXkpD8}TYY_}OyQx0Z@r&f z_@=tf-*)B8(@WRLOUJ=d*wl|@G83S_F}yd|^(8DB>!<Gd`upj>Uy!X^|Ia=+&AF)Y zP_@vnnum8cE>xGYR|NY*(f!?}Z>mKZzlE+ZpLgZUQ}IZ9zJI~cjG}LNFYN(1Q&vho zzI$7#)>HD+CD3lRqGQ$u3g?^8U#ht|>&GYA&wNk!HD>+cKQ4c~d_h-v!nc!<sP;$8 z8%5Xp|JK7?-uv+yvwkXQ^SNlx_Vk5cRH4BWpSEZ3?<bWXB4_E}7K%4Z`|y-A^v?Xq z3(oWPPs_D@nwL8H(?jnk9e3H#TrRpse)oSZXgZqOQ~p37n#H}2?M`om8y{|X@0|VV zzh5I~>4&TCi<xt9`n$D`_D=KlU(9AdT)I~q94duwsG$-bVZZIKCp1(-d$xb?hnSse z{n)l(8r<yo)ICS-Pk_y~7K)FXd2qVAlX~knlP^D=cE`KVUao%%oXakt<ucQ2{l5Dr zLyC+d)5mZBtc8?>JKH|`{p&!Op1z0oJjCVs9yL1+oAuS@)-GT=;WS^rA$m$>3-kNa zVB>{dP#u4Djr{96Wk|rJvOm_f)2;;Puyv_>HcKzn*L04v0_`JYdo25B>z(-dOMh-M z{+O!StnYv7PT19-$1XfyeQvjr&8wI4XTZikMl;@Yjr?hUaA~evTdDgvmjO~nTsOQo zZRRCd5xy>Y&tLyjpC4>CiN81Z$ETY-`_@Rum4=>u&sDo`)lNZWhFhN=*8C8%Ofrvt zqL&-5)V@u>u=bUwZ+zVOUmLGzLmdB<x2OCA=SgqyK`yqBbLT6<6C~TQ+kbaJ9B=*c zskUT|<gfa4p<gx13l^^W+3__UT!u>jL@KA<uJy~>Ld(y4M=E}odqCW6ZhUWDCfwck z4prRV1_|@+D*I&Y{|CI|F4V~HSvhN)j9kWx&%F8Jt3H>1Jp&2(+mbuIf1gJx6}E4x z%c%>2rW?OwxA*!&DuX?}AHVrbgw+H^%8zrkPJ)w-cc=bho_#!je>=C?#h1>?uekF2 z9N28LPe_^c?Hc*l^3xy*<`dts+utTY5=^D~<GY{D;6=dxlNGPGodTO%uCmX@xLM!% z|Gx<f&zswP4tf55)}2Y93M}UdQqi(I!k+JrJ~XuN_H5^bl*MI+_n3v@Ddo<Qiqo^7 zf-`8faJ-l?sHiWU_QG(5^ZfS`kJ&}f>w-gjcWmFCrGLL~M3j-=H|T%3SDgweB==1J zc#RQ~|MLy+oqW6mmJs*!f1GA^5}Z`*=Kd)1WsASYc6Eh2pYX35cR!hT+Hbu-gY*A1 zwEVw4(!T7TCdBQj=8tvnD?*ZaUfQ0`-0%pkYyVhwNALUf^vr#4{A+e<!ooy+ooxS{ zoY$YgiBK6S0p2_RYhy(>wC><HxOeF+B<nvdm&`x?`qS@jSQTh{>~_s$a1#8~dSv=- z;~z#}-t$ZTs&S7}y%YXz4LI|l#A5RrdFge~(y)m8@!JS>sDo4Yy!}53mN-5s9*eG@ z3pRJtoFAWl^6Z-<khfQEj?R~#O#5q-_I%6+7l*Q`PxRjI?{|ea5Nc+8ef;1mG=bO6 z|7Zp+Y~>B^tqX?-_8-N^vJuMQlKkiPBh%NXeORio<gtI>dxyUAgl}6R#rSz8)FzJL zwf?`Wp>>^(;k_zI6|uAT<GPB-U$9n(yJY_R&`&l$bk0s^?$lS;vzYRmOXk)8@Pa0O z=DHTU_ygPDbzGcwcLpd8zVSe-DBRb`?+%ByJANh~v%a7P37UT`AMbse1TPWoQ}@iB z4#}P0)%V#bH|yVxZUz~C!JNPC^(~0m7N}+yS-(EM%^R8s?;NbCp6&_B__jUew-M>& zU(ZLeXh`+h+^PRO^+W25`|?I#emZD6+P{4bF2_+K^L(VeS*#Ky^*z;>$bWtmnoN>= zzVCbv5AT{eAI)?o{(imLB)+eB(u_y@+M?kJ#gSX6&HBPzP=KIhO7#f)Yq8J<QmX!A z-8c<MV7yD&v))b_UIgkLv%aAOPS|U_Yj*0v5;nUR=dYJvbipy{hSY%kqx$;zC#9SH zQxumk)h{=`#|DYTpDK@SE4RZ#cz@EKzt2yDGoznZ%})om_-m|pH?%sv_{>`O`$&au z{}WK-6s2I*yw<<87#hAcvp(|aL7OMPCElMojtGdhk7ehlg9GB4`o23;4^DUfTfKSF zik|s@<lh*jMX(|FwQ{D0OCHx}^=fovUr}>b)a?C>lFxb0c5|BZ@@m6nqo?a0JGy?> z%hDB*R=sI2&UDQ>x+`a;)MEoFZyyn#64OAXS*o#f0%fuo?HepLiv3<4-x1unkZ1k# zp6`<9KcA1Th}?O;_#LP3z20y2w?A7yuf6v_U%n+;W6z)dLjC{I=j+lF)GzFQ$>ezD zp`G@w*L$?NT37x4@O>BC_uY;9yROwX$)tWaJzu!d&|ULs#Ihre&o@}a?%%uZ_5PA> zX)a-PJG+azv+BNVdH*pvVCi{H=W}M?nd<lFg+BUnAp7psfQ+0wrz+alP2Mv_G5^uN z>!0r}Jo0*)m%Ws9{n3j2dt1WifSQfP1^q($_V?qB@4d@@`t#SB{0A4KpY7YRzxmzu ze^DiV3k~kqroQJrUM#=QBt?JUrz7z$l5>6(ne6YXt-p9@g^cvgKOPeMT>3U8>+eEo zweC;+dt+x1w0=@Nc6+}Qq<*?{tm1uD1UN5)ntNr(ZkJ89iJzbPbJLVxpECI3`_5ks z{`zOqjql;-zdtLv=`P28c>0OJGHFdz<E<m?x9LC|HlMclY_DDksi%HgAN$?P3oet- zHn!^5pRbrb{lsU``1ACo`{rzZb>)AZ<--#1)#u{mfB$>+rmTmv{M<EznogP2?W-4| z_E6Zb^-rAyEuF0;^QAw4J6m^-R_xy&2X}woG3%O@b3oH8n{>ZEog}j_#lFrbR_vAe z@qPOP&hHhAk+nYbLA7Yg-L!7hrjzg+M){nn(2BOdXS*b%KD0YovAs4FZoJ$v>w<}3 z<3IhnGJX4#52?wzUAhbAOaA`HWc6y+;x#vA+w><cep41#g3>AdzNzj_v<9@yFnBB* zrvS~^$$QS%dcutl?%BTFb>8~(n`&;({Iv<RDd*~*r#a#swe{PUuipLZSZ(4DA<I+m zB)U-DK0m^~>?|zsGmlvtghS%?kMU#M?~LF^!m|U1rk^*sch2%t4QOUz`|^D?3hnx{ zOSJuKx8C@^ylz1o_q$v2V7H%J@&ct@_OJD8a^^H>!2g!aKkox=m$iJ1E1M54KR|uY z{YLkuNkU9tcV+thlMhdyZ2P->W67J}mn{wlT+3M1^3eN<#%^XU)Pz%?{5N7QtSx_E zGG84Mt$)}b@BJBvaJtdGQz@3<IUL!Q`t|b;PhVK_FtTs6dci8;h&l_NcqR9njpBEg zB6psc*T}c4L2H)C#67wPz^%zomd9@Ia)XDsz2y6ZW^jaVvi|z?vSp3s(-76r=ap}M zul{_yIO@mh{#LNFV?|I6uiser#{S!q^@p{1MZqHt^~U#3aYHKt$78oY3c}sqE|Gsf zuyP-0{^{;Y{o{8lY`%XszBT{7@zgf$OZ$)S+wYMd{cPJc-yH!pcVC@9?A*6$F<Kb4 zN7|Rc8-bO_tSdYq@oRIaBD~%M?w5Lr{OgWji&Fhxot`GMuSCE1m-4s2uC<>Nildjc zfjnY;4lP&3U+d2mh1L!IM)&qHL;b+rv;BK5Jm2;k-n+CJ61nG>?u(gtc>3i9laqd% zeEWN}Y`@>O`O3zJLAgq{<RZ$@#UJ_C$Dav8O<&!!JsUdk6PaQ2(Iu~DIy~_d_H6&v z11Wa&zdp4t{!#R+^Kr+g@Qv^FPt7(vwJhp6C>lTqcfz`_sm!mBKdgl|div7$sDdgr z;qNv->g6_9)KB8<DL;K1Tm{Wy=8pGE+2dUO6g*qUzjWUp7ruD2{!6|aYb?M01#Osq z^LSe;C}*vyK@5o8Jn^eAVLLR{PnO6poenC{gufR={M^*}vG0xwxHguF_)%o^`0aho z^PoA=H~zIh1Nh?mzWr|a^d;POkJ`7tR}b4-1o!M+i*CGS>(}J-e9(|tZgg+qTTr<B z-eFF8db(I5Ke!zpG?_iyt7n6QrpWo#Y58X#QV-A6+U!v8_vSbEmmAqlTX%{;4{m~w zP+X3*FUy0LWt(Px{ARCLsp)+0h^=qfKAY(ux5YtP5+Jv;J<k2T6k@o-*QeiP_Q}Lg zPUC*wer(_V0>53~RFu6z@d`R#4>symr1tvwwE56Zpk?>RGJ7?M;Zb4xYFHn?-4O&& zkJ^&?pZ%Zy{H1exy1iFzr9!)Ya((@Y8{fmLy<0aQnllwWOnz!z>!0clZEemuTXDN# zy3rF~o?6THkL%)e;Nh+JSoU8hILj6pe0_RlcHi@TAKiZcuMj@G^6;z)NA~TXB@?y4 ze#>H89>`f|h>^jKbuxFLt*fUs$8Ph0E8=;_7wy)mtAA73v;DgeJkzdE+rw->;q!yd zI`RLMzNTjL#cz_A&+zW!)&BOk_if3m*SoK;;ewtJ2p_Kbe3SX!_YKfAquW#dqZ$&a z$yrZNv-XrH2f~BK>DcYhvEZ!wG~m_gZ!-IO{{B@=z8_yJnV+*oI-eKaWbO$2vfK&K z+R5pd^@m(g8LZZ}uZH*W-J*T)#G>^0?Vo9o_)LFw`ZnmC2+;gbeX?;tZSD7U<)AVc zITt;3T_f-PIu+7Si#bwJ{YzCp^~7w6Uz?_W>^n0J)HBKC)2XR6e4P8U4Pvgv*HnML zc<_+@`}O;J%*}2syN#YmK5bnizxp*aCTnCLzh#L#HNo8Q%Tv{!?U`+G*Lq9lKh-Xh ztC{xn^xu{G`{x~=o}9g5^ZnXyEMNQ*ezIej`gM(b`#xwbn%ens8w1F#JKWd2(Cfbc zzF6|{U2|7>2tVy9-{60)`TVAuNYC1zk6|G^f8N?R;Ze2W68pes8X^WC4*uHszYdxo z#f|TsdJYYUPrN<b4IJUd3LU$BT^pR)YwW&0JqI^-@6j(D*Y1KUf6yU}@SNx!X<t?! z25ohv_LP4x2B!#~+MRPg>RD_%{(700zfI~1Z%_qlZgg**|7mdBX!S~c{-+<7R%c$3 z-}qkt)ok|Jw~O*IoP6@v#<#)H5^!JV$8UF)Avuvf?7!_dtz*?+6XD5OTO$AEWJvk# zd6}#A=ewTtQn~ZBZ+pHy7u_-4?XTePe~b22ZrG{(9le}=>UFLEtUR>ux~cbLS*;JK z^69MRTT@eM`nWb95#**l+kZhSy42!Vr%xCED6%RE%9(#`-~Jo#uAlsN`BwtE7xvBk z`uGEEXglt7g*T{<R(rQ_!>6aEJ>_p917e`CDLZEUB@t5pzqvAfu4T>5noUhz3f;B! zf_E0(iQe({G<sn&b3>iXj|OO7yl#9iD-z;tr!_S<4^~{aSA~@)pLBb+|NjdKn`u|3 zmp}XPG~@qVv+_>Xe}`^-7yt2be&C*a>oI~QI@11I3A7ljWPU7L)eos3L?V9{i9W9V z!U+$1bEA9j$|3P8zI5Lndss18?$#>uga7uQv!Leg^4F*TGn>B9Vv|Ga4b|q%4V68v z-@Mg1^(p_viMrP~x+i3MwKXm@jIF7hVfgW#>$YD_b5Gq!NjmNjKH~z@qh(6sqHe5d ziO$;_Z}7&4eR#@uG`DNBM)33<k-Spsv#u=c3>98~K63v1Z@+6lPqE3{`@FpBhVQ#; z_ws+wId6I1^8R_LpuCkOKK-1ZcJI3E|I2)iZoJmkCG&m#=Zl}!nVR+T@scme-(?;j z7vz)s-&CSt{_plH>wgn9eKmaN{5aRPc(eBQx8;}DJrqqjb8}Xq-iISE8+KoQ-V)^O z9Qom!-laV!W|*I>m|peBxBavnFK;pH`}gxK7hDsU{G24e=GzhN>*ezv<i@VJemymh z$@<y+(u%tO9`<GDr(O11y7Hy=>{H4+x%+cA9geU3(m%PsPU5Ni#`ECj!4kf_i*++5 z*Uf$XJoBsVboW0GHtf2rUaaF8Uo7)n5_~2XGw7JL=iPNV^I(TGG(LDcV|C?3!~98a z^||Bsd4HJp4ZPCi4KsIqoL0r`Q&qLG)1II1Hj1~4JTQIvlJ82-Kuafn-urlGSq?XJ zjmZb_qKTK6r*pg7^X-K$ns};o@U|Doub%u(zrW9D)xXX1esvjm4GzfgebFEI;ud{x zKK-fY=B%HezO&X?PW0B?bUt~0e9HFMtjmp;BcE1Q13J?VwAbe=Y-Rd=?TXo;Rf=ja zS8n+9^f$}<H><v>8a+E|x8cLn)TZtE+wSq}o&Nk(b*BFR=mXOqebT=X`q;Fmw(jxQ zeKOqT>83o8Z2+JH^B{)D%X~Nm+kd}}``$rw&=SAdOWKc~n%>R&{!txxX;N*%!_)j6 z_ttfP{k2Qy{Pd@1rUyUod$Mk}+wb?&dyfajJbqD~H2=QEF45z?yDHO>&U5(zK00r~ zi{sy}Lst;}negE4ZU@j>PZe9suzh=CK9uEyS0LYD7uy%3RB_rEVn?@8{5h=$r#+9~ zO-hVc`1Z4P@2_O<?fL%bhVOCul6?9#c>dNqUQcvS?0V2H40F%^iBt8bbKd(ce+je( z17!H04G(hXExdj`wQ^t1`I^d1#`t+w+op=$xtcUTzJNQ<eSznG9`u+E@2Xql3!UNK z8S`NpXdQ{#%bA-#J#}Y!-v>GM==Xs`)5AIME!_OIt{`%r{`9ncF_8zRm#*0^Jl$?1 zQ}QmR&EJqt>*@y|5ZB*TCsVN$x~PNi;B6mpit(Q~RX?2L-n_5iC4FFXx$nI)*()D2 z?fL1xGt;Ls?W;LC!z`*oyt}sU;o6G79n);35r@Xu*n!e{cvsyUTi9|T)28wlqM#{% zwU-k&eR{gJX?y)#czQX`lK+%v?><m^S#@T5zsQHD-mk;+9b+uN{bb#EVxB_PJdBhs zFaGyN;V!7VLz}kWS^~~Q^Ypdj%ed~<io#RNYnJ@qDPXfx!=IgA&h_I{>bza&vS!?P zp1yUR*yDG0NM~U|+`V4>?~STeP@}IlZ8!3SB$Ax4eScaW$i}S(tu))nuTxXm{2({; z%InvgY~t6QtoiwzX`j!2lUJbZ`Rm!mCvELW2mc&*2b~btyGVYv+6&0iyEj66-l~Ik zcFbP#{J^Q{cbm#jorQ<CIp@8ox8{ERrdK*nf2xT*S##4OU!^?W{?_|=zhg(0{#t+g z=~{KWXnASgOPQ~`uKu^520OR#G5FlVw2S@C|Dju$D!U)#D#oRpnZ0E9y;IZYi|pAP zmL0E@90w`{?rBx9w?R_N`*SsxdmHp;hcF-g?)m0(_xrwHyT#j%A)PVw5p>4TPtA+{ zwe_%s==R~-JkVw?bI<;ksruKs?rqBkFJS%F*s5=@TT#vb@@r}2JkVJH`<6E7yZ_!< z{N<Wdyyu(G((&bwe`H+u<3ms9^9BFj_!<sff-+xp4|6?eW3RbqfA3WNeBnKF!@>LV zKyI(|`%q@H9Gr{pO!-+<$QZxMT-$1&75Asz$@AkUbjPW$_-BlC$`>RG-Y$|qT@PJI z94WYGZ$0QhM03yclc(yx7uaJP4ljJ`;y!G%TMah;&FPv-XU6z>G0XF~pKjWCUVlpI zw}XWRQRpSWpQabbpWTNp_WHBv!CQ+3;6y2>ryYM!v*Pz{c-Xub+T;5hQoP(Yj=vXt zVEXbO8dir5ljhHVc&SRh>XaqYxo_YoEt>n{_`-PT!jnDGAGU!utN31;oc8pz+`--J zeL*V-ljI|QeDZE8FIW#Qds9t6KmEqEZ_bOoU;pq){QmQ2-LkhC#c4=qXF-a9Y8U%3 zc4)bE4_>No-24f&tE6HcJeO7-yuIE1J^S>hKa0Yjo!-k@qp6o4-Bv1P`|an|%$rSn za-N^TNG+*_2efxXx7d8+x_2-ZQUqjf`SkQ}Q~4=t@Fs9jYLOS%6Kf3#5$2it{Gzb+ zL`@>ma~GJ!Bb`tN$)x5TbvgA;kmZ3--45Q~4mwip-jNH_`MK^z=7Tpgfs5L%2fE=9 zWA~q{v8?>(@$OajmiwzaKpma=@pG?;JW2h279$nLi~hawX$Q0j@NL@u8hm=q<S!;s ze`|I|e%Q9x6Bgb@-4Al#T?dx|d};gU1Rj_US%}6RlvCO?%S0W$nzK{+wei~usLAcD z;A1WGlU|%&&w1}0H#~jF3+{1_hUCltQ+^itF~(n8dCM>6+YzpwpIm3OFiP?D-E}e* z5s=VGoe$Y(KYNM#$yd)$uWc%S_Y+>Q+-Auy?FOfgrvcAStFpotB^gc+Iv^gi%>cu> zTE8}acZ6og`vQBM!CSd~d1`<99^C!N242eMbKX0}1}<fv+8f8;3xWqjM1FGLwc<#O ztg`LJ@jlqzuI=3SUV%3gs<rKVBfh76PZTV4KN%jpUAI0ie)`j&o2LEz)W`(sq0YZQ z!&~zK_q%;I81B{kwXqa-7VLYDd#4}?u5I6+br0@Zg~H9PZrc9T5A5F5<X>uG!Iw?f ziG4_2bq%saZnpbm={Py``fR`cuZ=6AE66u#Rou>plzit8e)+Pq<eA6)-S<l+-hP*T zapI5t{AV$n7ACeosQm@mV-2c7{W<R4%7wJ%mYtc-zC=I#nSLx}UE8PkS##FUtM<hR zrN$S>51K+3&DCo_wuPv@EZp|#>Ex#G`OD#D;XjWLe0NrX8*`Ou&rbjMi0=zp=(~82 z-09PxRh_>>Qsi>4W2Bn7i{yXrf@aQlLVLFAf!1nI{!$R}vuOJR+r5GC&^q3<{kt0^ zw2Ggd-YnMhWL<Yr-3_~#z{Pv(EP5XF-*_&5P*=;Qb*p(RbV>gY@Xn1dFHg_!sC%=^ z50W&W1~-*22!_<AiedZytbAa*AH4AuRGaQ}|4_E(5;!OAQ~Uh16`nQ^ZR&i${rh?w zhRL0EI_sfDxurry^?J~HR8aT+a#Q)c{okzbUI|b=F+&^__V(g?)@pwNHyL$j>WhoO zN|z1g@jUhNYcPt$_>Q`qxOLFQoRSA`7cK=YgzQ|X|DEfe==tn;rQh;$=D9uTe25KE zyg6Y{MUTgG{e7^x!@<$6>bZERr0WdroDD|mdwm*>7;nz;P8SQ_p3=M{#ber<tEq?2 z&RAruc~e}iZ$WqTNwcFZIXiSC?{4DlxhUq^&BKzqZiP?4&0WuLRG+d;|DLJmf8TET z`=|R(Uj6oW|989nzwbP^d_JA)YsBfLmcM4@@7sAs=Kh@Nr@zj)Zt&Surn2s9tkAv; zGxxxCOP=hUw0ZHA`k$^6``GU{^6aaBb!?^lp3+M-yzXar#N^GYOh5eUp3I?r-Sr<% zZvHP(`0aE^-PCWty`MU7zH?<t*gpN~GtVE~H^*+r?@b+#OlIe+9M64b`(TID%*9rZ zR(w37_?+?elS>C>_phHK`n0QizWUdVzrFX^C8=3vFRqMw+d28#XTC$x+7*VA_mm3e zFIJB;j`^ac`BG(1bf0`f+2!l?29x*f;4}I4wC>RDQtf#D35@&SOy3%~e^39n)7Axi zKlXS3+`jU+-rMifcd=hP&#U|U<%Jj1CpoW<_u{+%H>j__^iul%n#L=~ZMT2;)iLwQ zl{eG%h3*v{?4J2#t#LI|jnCqEIfXse{GaPOXFfSvy5ORIywaXr^Uq%yB4hUREUx=m zd`n-qv?J!;r_Mul)^ERu-|&Ba=f(Rwb|$};KDqE}`lQ3<`=>lkp8Msde!QCWo>blS z{WCoM&eq*@t=N67AnuGx{@j~tQGY)vJiPl|=>7B=o^dhkQhz^*9=g3{)_MJu!oQpB zUQK_?`78D1&Z%pfewW;Ozy5*T35Evw%AAZZ-X|Ac1>K@or+NIi)yvKCa!Ow}CaOYh z_{o3h_O_<aNotj^&&<2{YI-~8`_AW;`;Yj1dSrFs#dLR${J%wZ`zIXzdb%`lzs;Nl z@zZ!0)QKKinYn+zQw7`4q&I)QVhO3KSB}4$aNYfk%JQ`RHeMC8Us>p<P1=4gM`3MU zWzUDc`^SH)rcDyR-srZ!ruRdb-qX+Akum#oI(|LfV7k}x%IbapJ@mS+dwi_Dwa@qW z-=%LWzn0sl?rwM6KSd_$Z&1;Xchie%)=akh{q*$`yKh%ML1W}NXa3#?M}0nBo9Vmo zs{Vh^is%1ke)m43qQ8#0x9%s~!?$r~t}}ze%J9{6W8p8S|Gf&HHupCVkNx*xwi34A zhi5bI3feR|_ukYuZw~$xId^c6Y4-HP9Nhm7{C*YsZ0`XX<yBSRx$hiSxWk_G=l+lD zu8;jC?tDC}u~&b`_O#*y^Vh#u`?@$+alQPElRusAIW&G}dH6Q+K&9d4kLLm()K30z zjXUm4&Yo_Oiu+1?ZfE`Y<HYutrSjwZXovsYX9{bNWbc#d`O7^0Y#g6)!THO3?zKLX zYP8&EwBz;a_4iC?Z|o{kUL2l(_osMdy6N=~rEHONw%3?`nr6wlCv}RXarM)DHlzHL z_hZ!d3gsz$nI>HS@b``F+fRJF$ZWFbsme<E<<CC-YMj{{emMG{Z-w*0ZZPwn;-0lv zYw8R)f6S^lt$C=rgztGP&o9nTyDGe|`A-U4`=>VVr1746ysY_^v)621cC%)#qu6uZ z`2Iah%^J7&oV))eHQdN}LS^^=RE51yC#L_j`}S71sKz(Ha{872TUv4U24I7$Tehc) zJwJNp*y@M6?}hKJJ8h|-W){brZ|PjInpHpLg8zi;N252@J=*_o?!EJ`?*@FBb~$D4 z*7!r;SyKw{ZPNTSEtGRlYR~^nzpouLxBsZ$b18aXMZ^2#`}dTNU;i@g|D<0VcTT#_ ze<o*-)V)j271n7*pkS7|H%YnPFk{*Sh`on7-XD{!sZ(sOYuclCZ_-!c|6lW;E5^NV zYuf&&{mtV~nGmn}PTYQH)`93_zve#+>7P;A{r|Z3-qcfq`}%9EyJg}pN4HJ3+gfh4 zUVg^S3e!EQEDzU;>;W^E&iJry{*mY6#?tHCwoehbXDe)p;<3ARbFS~cpuqC%!k^E& zt|@cZ&OUHmGNtg|r@1Gh-z~V8^wYNH+2Whp{l*h2z2(%6A1+<8{Y`Z<+>b^<_FBh2 zLgUX(=-#o7b0AjrDDByOtN`L)qgfxOamAfjFkfMP_x6;(Dv1|QJwDzv8I+K2HCmeN zT{>mW=3M2U`x<o4MZfFa^Yr$WXr0d?d6o9nw{zKy@(X8gZ=9pL_vyUyAFybY^nT^; zYzXtM%)?yigP_!$RpD*<@U7%I2>W!!p=kXIc)(6>3^CeXY<>PimH4dFEYB`{nP!+W zSN813TE@sZ+waI%o^Iycle*`2$kR5<dDFjLHp>6_zh&umotMz$YPvU-X{EgNxjhU| z7qQP50H>3k6F$tVn*TW|?eWzQ(>hzsp<$jX^f0%!|Ga+620Ks!iuujC?&O>MHEff< z+|)h#Av*QkKBv#A34Ff!I~&$)es#HKt|QxPpMU>nMJeasoOd8v&!T$w^Dm;`x{9q{ z+WaVZru$FcXr1ey>I=)g;pup#!q>&Wb7ARN1YEy>lXS?T+n>bd$Ai;ck>JC(Rg*u1 zb93yTqg&_ySTEITsB~@Hzl`a<kH6}f$n%|9_)>TBNvqp8&O%~+@`>nq9uIVdf6bmy zXLSGXPWdT{qCe+NS9xtUUwF!q|DP@6CS^aAS+M<$%=zyd^YbS@&aOIL5@es%_ZgZ7 z(gg0EU0)21_sJj1_8fymhPUd&xvH?pcy!Bu(wonpKN@%b@?>3KzHsJ>12VJj8TGE& zY`gvwI6iD-D!r?j@^@Y+H0&v`(SN&KH7dPw4$GUHm3vb5PuF6}-&w15|M<SWu6^rY z>dGs9UHnpDJtXZvO**vuyXZMcF`}oqXKE89LB@DhT$g|HnOpLU@RQPyV%O^~<zKYy zKde&w?W9-Sdne;~P)bx)c)jLJ>b`Rd-JhqqE9bxTd7$gOkze$a(b+vm|6g`4KRkas zi}>f-H@Bmo{FrsG$a1Cp+uxOc4}c4h%^dly&^#U5vVG&^^N_M+XVZswuO94~;Arm{ zC!T-uSLgp<+ZT30^W2wdr%za|uJ2oKeB#lbrMjP{C3EgcUG&&Q+!`qfmc*Tehjr+Z zx+(UDU$cXg-%Q^M>2HOQu>O>I==Qeh(4=VDvi<q{IpRhy)K9#Aq`U6#k@~9|J%W?J z++4M~axeGUwXC4juYJ-g`>%F<`h=sEryoz;zGwD<Xf;bayHBq_$gH1m4xIjDmTu3P ziHPW!Ap2{!XQ7twob};d#&bv^lPdSn_NzKH%%2J03;2MR=8`7aRTn6(FJHKGD=4Oe ze6K}bmxpBdGWUB%S-JUq{wKbD-hEQQY7fV>!#7{rs(u#xe?F}0^d{FD`QP8fEcY$j z9^-8GYMbqzx&&}`>leEB?mpD>LCzJ~tdQW2bf}1~JN+Ep?<XH`V#?n;?fUKuHAV*Q z%eL3FG@QQ$P4mA`L@!hQFpcYV&KLP9U*+d-&je+;y<eu?34#}|c5bhZUsSL7`{MMG z8pZW(+iT{0xW;jB-<d<JAL@2<ynlB5=bvw>VsX6rkv<jHb%!fKrOxZ?N26nYcm7`$ zD50$Oa`WoE2VeC}wu{Yhz8oz!sdU@Fa7fAicH;Ikeh+kmW#sRB9RGZ&F6x=?zxf-! zOj8g407+;s7=>3=eb@f;=JL1C=WEW$#q7Q*<GORwq^KbKRrM#K(GlrXF}n_02%c8w ze1Gq_1tdUrPWuoBFMM}=n_RQzw@I9`=X~Ah!>?mA)Re%X(X?iBY(FID{n7n2?I`D- z)K!lU%RQ}#yXAj+&(Z(F)9!70s0I&>b;@6pAD6*OpP)mx{~1Fg{}mz=C_c=snQ<Og z*&qdk|J_5cV>9w}!8UiT**vfPhgGxAx#)Slds0)G@^_y2__4PPl;>0LJ^Ih-oxd{c z0i?xXy7%ejC3SCp!V3PURfnSWDj;nH-j?kT-C+%eqC>a8IiEvh^+)@&M2>ZM{(3Wa z`^PHrS<&2}V(;_`EAH)|z|EC6{d<-wHg5MRuBl0uIq&^D6I7cYx)jZ4_6ZUNv+ix0 zbfy0iJ1nn%Z7ILY4-Mc**NSqR1CUbgbUMfTmA~ONERv^-_aB3MdX2*CHA`C|d0zX| zG+xd<sk<IOmVS*K+NI3!;%&9(-xd3(L)#IX+CJp{wu81=vN`j=e}_6_XXl4&NbxNE zKXkEyo6n{6{@Uj2yD!{{0@pVa*KF>44~b`)&OJ}pvD`B{{PE*V#ZBR7cv9{O8EZEG znf7H`=xlgJ`%`D7{MvF@;cn+%(SPqK)T?J(w!3|RRDI`#@0~phZ^Jyg<<GX$MdZ!X z$zN`^&#KtVefBOJsK6;rnHyTy4fgiaiYL1KDj&qw{AB%|&@NE{%5WU(693r#Pc4TR zub)_h?01=g8yDhxpVr^va@%<dX;Kcd9Za8Ncfgf(Qg0{q&e?i$s!TNdoSUX|H;Ck$ z{4i9~>*ZYXph;dgYKwQ;9i7+(JSX~FCn*R`4{33V3Cc0tdbB@fYl-9Kqx-I9N-aDY zHEYw`+8dwm%zHlbvr+rz>if^%+$>H%x9R)8_qOlf+dQwF|G!>rhU~PHDQz<s2k={z zpOZOk?CQF?>;HbQtB=B~A2zOEVD@TS|0D1A|2F4qzMlE`G1y45!hX(q(f2urb}yOu zc~RP9+vvt}zK3@&AE<O}{-yZd`dPJw+Rv|l*PPFu7xmg&=E#?aTbPWefBHMYbanN! z9m_WSox1<3<>qT2&Sd<*xV_)r|JRy4!S}`&7Mw4OH9A*gH2I+2@7uqPX9}PB%xnCs z<U-ExA1(sQHeO0KX&N<8B#w*E%$IY2ee2hWi=P@#C-ADpStU#DNxWXPuixKvedRNW zhZ*vxzg`UQ*M9Ts8P{*Qg8rgs4Kf9)Gv%k6fB4xrtM_{FgS}lJ%ygdYS#h(%wCHrq zq1$oiD+4!w%z84dvSqu^ho-U{{(t^9*A<!UR9!XyOKIM(&@hFb^V8Nn`mC4j_h_cG z@%Ea=FE^*X{;`iiS7ZB^A3IZ{JMI|8iD}i|ThFy*=hFD6-Cq)CMoYba@cTw--o)40 z&!$-!*}r=J8DxF9^7b?8dvZ(Xh?`2Ucgu@(sPJad&oYbay;H>daBi*Xdi{(C>L;XC zw=by^%53@i+Ijc&50%qR(?6eCI5T>kTJ5!B(f7s|nu<>EuFI>`a>;9a?Jdt7-e|I& zCr0=1JkMv-F28>WxAygkKN)k+K4*uRZ~IVJ4C-ld&iuDJaq(Aj_DJnqI`6}_n1hv$ z%=V7=G<F{4-ko{*J8uMQk@Sx}r)L>gKf7>7_xdEe*)^6Bdkvqpdw=-uqThZ(SM*u! z%j5@I6P`_LT%CHu_~e~W*Y?)P?>?tnRD1SL5%Wy>z0*HJ{d~uxLSG1K`E-u=yCnDQ zg9QI{&i9SpmPnp1%i_KBJ4@~XD6rpx0$WF=_F4%i#PSUL?SCv?@+>nSoSHuGWQf99 z-FLSgo=y8Y`=?#m+~_C2X6<~col=*g|Ku|>ICwJ^_M8<jh=cfZ;)gQ-$51yU9g5bi zfO=W=tMK>F?;n`iz2C%k(6~J<FVf{#&iRmi`VQQubXDu}UUE*1z7uYFQ+wIYqksRJ z*6UXNX#B5N{!AI+SK*oR+RMPnD_nVdP49=YxyPYNYBp#7)CaC-kFA~<z0a>=`kd3R zxut$^esVjh`>wox*P~@~Ywo4`dfF6cJe#(DlAZQ%5r{`4?6>#qTI1htZOyZf#l}wP zL)5RJFI-D@s#d*SR2TGb&(bZu?~||ZbX~W8W^_?XUCBIHEPvuX6s=hSNzTz6`G+H6 z0d3Bi|6APxDWFTcT>syhv;60t)3bsrL9y)ZG5_qkhqY``0eO}O&+9&RdLnk+PWtaV zo<9Fw>(r~Zt$uam)B4q4o39;|dT>6vZ2RZhH&)wEd^IgTy*8z;$G-VIKRBG9njMO^ z?*x?$S5N5rwrtN;dJggbG@*M!2VuTn_dNcBjYQV^srwH+kG&>x02J;&#a?cnrUeOi z(Ym~u0!rI^B(ltR9=QIx>i(j1@gJK$Etlunse1k3_l>XbC|$pPM)&l|KP#-K!fd|X zvi)l^G-v(fLnQaNE!)eOkaE@}`Kjmjy{u?Gzk5yOO_0rzZol3fIJxIMD8{GO<yjiL z<Vn6<v&%Vop~?0g`_Ip~`{B8+>F*z~M3%}rQ$E`75j2rSdRA0xTR<}LrmhchD$wA6 zFL<w14$0O>b^mnVf(j7<aCE<)WVhOG;&;gm$DL12?6>>yPK@rlGu`qcIGMejy7TFS z*@y(DK2zTI@sB?a;Dq!}X;0+A?peLz%G>YwR?I$KSqq8pv_rRJkHIoBBvsGZ{$tN+ zDVFmxvvxk^yOi#I?2{d{uEutsPsOL7J3SFAs`}3A|DY=~udqHiHSgvZ@qPWV&&77` zOUsLNHv91;`6txIef|~UQ;Hz@=qY>4_SG%W`28+$PYWKWPrkfkKPV9U@q(k>`%TOE z=2@B)pU%3(@irM!QqFn#d>X4_(diY6RqMG+Z)WCchNQlIK6Pj6x%-Ih+n!Qq(hCn+ z_X_K7OK8Z>12y^}Da^fP`@)Xv;wB%2pY%?O4zk~Pex;LU^#7yJW3TBv0hJkRRBOYG zn!sV3x@ms2So;ax#oVE16szum;`5`?_9_3{;rXY|=h^Xj_i7FFKJAZ}1Q#1Sr+?@Z zhn5s>hob+-LyO9%c86|%(|gX!`-{19@snv+{O_FK|MReaT6fx6V^9#MGQZq>tNybc zGw&~-e|9f4>+&MSl(ui+_M5*%w4;#K%I(A7pOS~UIG-LC{{8Ks!Dm|;=067_N`7py z{2W?z#&|vBahB9Rt@(>@3AV3c{&O#3#o;N3*3I94^suqA`?d)`BmVl^$p=oJGr9KM z%RUQ6i*&vpfA7rZ$iHlFp`SJBd(Hgq=LFYBo~suT{oC@v>{%T1?vu~suBhmLJLub2 zky-m*eb3bQAAU8l{kf#C|KV?uFUS5G{%L9p>(&1L5~{l0q@V3$^T$ZpV#}YPq8;D7 zciNrvoL={nVP^kP_8&E8vLDrD{(9Qnvi)1`x!KoQ)-Q|CQ`@szym<ea>?ZNw4u|#S zmG;=yKmE$8dqO|`M_pw-$Nrl94=G+}R(tN>cjV3r0r8?=A3uKZKDqGe^ht-e#-I9H z=(p?3Y26gNva-)VJ7zt(^5yi}mhC|w7O7R5{{6JPrTlpB9sR(`dv35x)%`R+bo-zB zJ^lr(Kc9;3i?<Uyq<_3+MsV{_UC;gd4%X!NO{+cE{^;TKNzSMBRok=oPkH@#PRYyZ zw@=jM+&uqWIcrkzWqo<IJ+`rP#7$4GemVU%=lgrvJL4CrRc_=r`SVHe(Ct5L>-94n z>nzWIKmFYK$J5_^Wf{DizN`QJ#dh=U3-NcSx+;DweRAQG_sNI1^q>B+P>=nUsy(xx z_xFz)7vG|+Ur+fC-QG9-GsNxBTfSe2c{hE9XWScR-u*RGK3psP^s7ni$@J^L>wZ>o z>_2k<;`Q~8VvqUN|Ncsf`NimdyVT3(Pf$_D&!tZa-cB#7oikbQ?<Yee`OW$tp&>K3 zW&2OpbKSF^T>WzTIA{J(9k}s-SRbx^_W&I1;y>$tmT~Mi$@l%D{POzr7qiv%-X(-$ zn7pa?%<)anVGh?j6#ZT2xvTG|tY1%sTedHo_FFY;5;#KZJuABBKLnec|LyN4^OpE& zT{EX_S?;-i-;%rQm1FzEW%)s4#C4jMF~66_$Uk4=y}xGCnd9%&e?kK#xMh13G(IyA zS$|GKI9l}K+nT2D%o&b#m8;)RU%Iu{>db;a^-)61FC#wMWcp98tM%L+@4jnZx4k5W z9XaZsH<qf;?_c5R=ez%oZ$&q&e%7S$%lh*b_w)uMA~o{R?N1u-*)KHx`BZjaU)$-& z(?WNt0L}}O>S{T6xGvOJG*^|Y)3iiQ?J_g_eQ(2@|G8!R=R|0r#|z)PI{#T+=d343 z?Jj&cEzXgD*eos{WVqgaef72vryo1}uRi$m*pm4B5B6wZT(RA+yFK2EPj~+mnRS1I z*7X-(S{naO@$<%Sir_3ftL~;_MYLIQ+!dAe%i{NWRFuy-`d7&GWOwa?htu^1@4ac> zvk#QmqwedU=Y2SR+x*LJvok*a4!N{mzfM$N=HkDXm|5xmgr5;N4?$CVy?2H5yT^N0 zc*gneubKJboozEbUgH(_q)J!TI&yzH-McT|kN@Fxd5t?2-A89muB+Xsu}Qh((&@(- zS!r&H-M2N5KMR_kT={Z(HD`VpBwmZ;AKI2kefPeiqW`V2Eq<R@#qR5$>KJrS=$rqp zvovps?<+NVy=9u`udkU`LzC|ouj|1Gm3?l{l7DAGL*=LSp=h;=z{z`N)%~=3sJs3N zJjBg8@?YQGBk#cd>Ga!u@p@bjr^{cFsNuWr^Xsdn&eC5&+~P+L>#Md;i}&KYUZ;8e zxaG@B`nylm<is6?hRAo}d+(+~^MAh3J*j?pfYkd}%nthi&Y}Ik>nfu;_Ui=CZ)#=r z`1MtETh&La-@jThL)(7B&xq}F&bwbxS-vd(-^35w^d9f|&-~#>?(w6D3B)<o1)^U? zk5Bgynh^d(RPN!z%$_J6&3?DrFF#}`CIm96s5L1zB)rLX+0xo~PU5xCV|&?|na3|& z6wAs@UaNa0+$sLTsv|*b&usDAFlFYpu%-}|Q_74-zsPKQUUcqcf6B?dKmVFp?>0Vt zuX=y__wPUFe!l&AZ_2y9|IdComwWqK{LPOC{+HXyd`?(D>sjU74PTp&?^s@89Al*X zICnnhaV`03hW7mBg`Su9TvlYLe82Tb_k*h&mRCwjwoBySbk66ByYiv+uJ>`LEzjpR z9N)3u=FI0~hd<xi#3yU&Z1f>D|IE(%#lQWpJQu%vs&wAXeZ8Cdbs7Zr=oeRg-{<pK z<?08Q;x*0JCp^wNE)!ha=j1J8#eYTp`PX+pI>V+tTe{qQ^S_863*PiE$vhWXH*Z?x zqbGm=7(8D4bK4VD-_N_goGzDmzwF^9eb38#w(!OLcq({o_Wy=6`dMoGYtDW@t<L-- zwf*uTrmh!aUw^u8$$6G}SDtVG7m;@D{a0kR{SE5(uf4P^{$Kys<7a-S)ca(tiqrf1 zDfU=&+4?8G8C}<x#>=JdiDvWM?~~Cr{aPdU{+j-e+v>cZiJMN2-}mtM({l`Umgnxw zRQjBC<+=DwC)ODr2g?sVoIdOD)c7pE+jT3CFSpCQtlz)!-;I)fkPrN(*WEl+@mW+q zbJq2x@%zqKNdNNQuj2dpl2yUO>GB5mj`0@RE=c=yx_MvxJKhJ=f0W<W$Pb(!KP7wl z^KGwoKm6c*_Tedg)Aro`R~`q?-|}+$@yPl$zjr}Ru59|at<Lw;q-B+{zn*#?+x`EI z{e`?+FZaRBUoMgVHaxX1(D>)m+xz0>I37&5H2K*fx7cof$F#E+YtP>2Z;#L73$I&g zne%(8-27ileD~+1{w;j`c9Z?2WtOqOQl%f~#;8|%Uf!ek_mj!vTx-?8lT6Nfzdq0! zKQD1lXg0*?!2A05c^^y{?wPA;rSDc-cX4INcjKFXGc5iD74P`ueKzs7{?{K@)N_A5 zZC_(A7JBNR$E;^1FQ>~J-n%t*(sYxvuP^DJH@au2i-^(VJ=-@pfrEVBm%pEK81{?E z|9ibJ_3O@#73bHV7ggbWFzxtZj6lB~S${@v`rnBrXJ1~@-^=(szhRZpN$*+DN?%U@ zEAhVcy$3wpGkeTGr_GGN;!{_7?fYrPr?OUI8Xpzke12;6J!4Uo+}7oR^W(3)x_Y%n z&0dsm{}q{ae}al{eDk)o`|3Hnu9EY0|JkFk5UM{Gy`lmfKt^*vPW$ivOEq&AINh8{ z*yC${@@vDallqr8TkWg2G;E0Xd$r2Z)uH>>AEkgr2ZA;Jm0|=@s@m)RuiUBiD!#?L zzMN+4F%SL-iCu?dyI(eZ@xElDzm{3Nu2S>yTJ1@%8BHh0^L_kVl*O=rj?9keuV)Xe zIKMtWY-#$HtGt*=r#Yg2%}njz%9*n+FOBC*+v8iT0!fv8iF=~|>A|CxFLjS}`sqK5 z(mtII+!ue2@4@ux-`A9Wow<3D-F~~^4v~g;H!wrRvghmZP3KQS<2JHq`@7B1xYbYI z!@J%S9`=VN^Dlli+P`AvpQ5Js)4iE~JQdY^k({{C<;rvMXLpv*dK+JjnV;M@{F`C# z{LTH6$#U=gGAAp{{ig3(k{LI>&a&&{yZg#;r}w4pxp_GSl;Bh6y`P@V^dmKI)$FJf z>%_nQY}L8Dw`=m(>jgiSJ}Y=Seb(V^@vlCv^uP7xbj~&T=;bG%E>D)opZEw;%xvu0 zo;w{L<d%IO&El1>GiRyo-*fHz>D|mfo~rJ>_3%!-&8yGF^AoFCHs9yK$W1cme<df+ zgXY$mhbuNi%K^WHJ;`NW@GudU%&%P+!w(9RW$&l+vwb-I@7t}plP4~+vsd>lHF@!? zzU{ESDQXGkm-KgI*&?XXmNP$^`A>iZNu}lEz3(JnPG6E47samk=M&el=*h~TyJwx$ zw}1cp>360dsk;{6OV7Ts;{5qAxx)tMwr$4@@8Zb%HK(RQvy%P6ir4PmahFWid+$G! zyyxlu33Z;co-HkXa7cfB%AVB4;P8HGb6>w+=zzZQp$i<<6BS>5etq;x>$^+8`&uwu z{%rHVh#9KTbQpKEBD>8KV)&j}AM>i5;5led+s9}8Q-8XieKOtrSKZGXhW#n_>Y}^; z&EQ(F;=I17<tyXsKNMu@UTUJ2V1HCz_s?Wbg%<5aT#t376)J^iJt}=U{kqXTUHd6@ zGcSmMbJ0)DW4C81f`i7pc7KgwL;R-G-)C;CG+Yok|NhY@i`E|(o5P0@r*lr_eOOip z%m0jz&xS+7{b%N}+aEd*>81Ch*|zuY)j7-ewa+@KuU)hMPc*}R84vO97lyAscW2z} zxg7QMY4>&U1DkDb6fY~i!~R41r1-D7DTR-Y+6vm=jOTrhRy5B!_bd6X<V|_c%X9Sp z7O_3fwbg|b%|&XD@BZHaxA~{!vFO`sU~gUjS@$!HVL#6$E3@=dcCGI}t#8_UbAR2Y zTCeXG|995i^!{vQ#1(0m6_siiaJa^&&h+=4EppAre=HCz%c+uQ(zljXeSEEO+w)#V z(e>#(P2$bRmi`t$@#0yPxRiR%`pfrs7*3CUs-6*Z<5{`lyu^JB+ry`SzE-%S?}N;Z zRUhZ)GC%nn!mz%#>F?Qx^R_ee%@woTGp$MY;qQvSSvNj%Y<EezUHD94+UCnu*Y|Wk z<auJtv4{I%Mz{EW>1}Lfx61aH>j>l<&S}`w-te8x;+vWNN5%J1RZPbXyTYG~emGYs z*UWCQG47F%z{9Wk{x+ZYOnbcP->m!==6zbn!fO^~@0&R7=ZCgk%^%;sXyd=G@NV0y z?wa_tn%uh|ddm)c7d>YFd&$myPaYT7?lXz#a4ng)Fn(gn?-jr6Rvmx;_Vw~v=KJEV zOYTr!{kWE4?Rz1P<KMZO6Kfy;KloVpoWm634GAqZ@-?<TWjb#jC;VOCo~*z3zhkt` z_J?0rCmt8iYn;2SHhn37<~e;Qg`#In&tnU=oZLA_;o*$0h1ch7cjPJZV?4(T5@R~H zSa<c-Y`>cRo;k*ipYtqkJ=rr=;bFubUiEJ&Pv)@B`F`M{S~_cw`|W3W79VYGi}YB| z<sZ1H_S~zf<?+5ei;sJ4i}aY!<sZ7Jmaf|49vv2a{k8D@$I9uf1$ixteb0p&$mJfr zTkxp5_Q@KibG{%eXDK|4_^|Jc`rh-FjPI`&#xooKuzRlez~|3@W0&`jZ-zW-FJybf zb40SkSRh^DoWq<(3ucN17}X^;PE4G?`M+e1L5a--8;1G&Tl!<aUHi^sUHeC5|Ng%+ zwVj;D^6zNw-|s7R%=Y}FZL2IU8N6c^+a7pyVq4)?oxF`*%Dj)IB4YM|=(0^azOh;I zUov=SuCvY)q+)++;q6eFOo{K-V&RJ)c^uwxfAbD6QFp0he;bdAg3QVj@0MN$lDMn0 zZfD%HR+FCJ%H6k@f<){$?uY^@-FxEEyO6>qiFdqp)_H&o)!)3sQ>)LU=l0=8QSlQ# ztMWdUioEk0BvRda^y*5BOJDx(VXsSIc>mm8=kEE6<nY6Nd+O8ntUZ7F=j67+YN*=Z z+}*2#3s*dRel+vnm&#AhAEeIx&#eFV!C>Y2ec|^Ney8fi=(B&5)!9FHmNeh3!)N!0 zE%#2c>-y*}_Gj%k%bD?4Hq^hak!h<qbKG0=)bVHft?KgL5q4Sgrv7v|JEdQ_&hFbX z4f#4ty<Y()`$hI2dXpQi^0{;W|Axy^Q%djkTkEpf81B?9^sEWswO+S=sm}b?^CxN+ zrJZ^nXd!<6`hMBx#rG~*#xwA&-}GeJ(TXGQpFV8+c_cUPWzZk5wcB?rDdCgz7Auu` ze*Sew&d$JViCRZe4%ji*?O=S~Tj{03E4MtuJ4Q%8<ZxeU#IaW!YTMT^XDzeZa$sjf z`{UX@e6z*cm-DHv;rn?zRW`u*>cbuJ?T#OqMOGZXd@sVh;-=pAl=tlUo)xbGPQBXj zb^0d06*FHIaBXV-?wlvT{;;$6;o$b!k+PfSPb+me8`6HcPL}I;h3qD;L&5DvVeRen zCxM0g|5#07S#fx&!0pMpCn`^VTdKSC;Yw%A)y~h(gDqv`W&C}qjiFTH%4DSjmX7DV zxA9FreJHp+Izsl{`pIA;OezkymfnzAz}H+I(XQ}ZHM(8>^x@$4r)&7u+D`?mDA~`q zpDUnU(RPjVgwK=KIv@P>;YuRw>ceyWPgpHTTXmRWKi_(`6^Ad#T~j<!dGgxAMAwQ} z1=_2fKR*V0%HYKM!xI@w6YAx5ujpd2)W2zUW*vvWtX71qR=v*ahFL55oc~(!G|0bn zmKEmFm)y?1H;*SxpADpN&n6?A(uk9<E^G<C#%LNWtM~c!1n~uYo$|#=mVBQKz_wL{ zR=f&0`D()tcZhA5)*rsZP}*QW^J@loQQW4|8~d$nN+XWGy6|HLIDS%om#*LmX#Z!s zs_8i^$dWa?bZtr_&b~5u9T>@e#ivx_`SpkU7)lr1|FiE#5!k&lankdw)+Cm$_}{qZ z@PEd6|6F&k5rnUXy~|l6@>P`m!&L1DqFb^qWN}XQT6=53)l=^FU5-j}69YJ0wM@0N z6f`a>X=v$au?6tyH+k^NyE;2^o_OiX5V$8W@L;pEO~&32t2W-6^)LS0-Y<Kf=SZ-n z-TU<N%=67>(&~+^@7MnSfBKx-&8LT+b-JvLz9oN0kMXqmJF6JJo4ON!?EL-f-QQr# zly_?9m(F~?_V&Ju=j&MSe)eyz*3x_z#q#>xhyLhW%fmXG=H2anm=#!fz9vFkeA%_- zqD!{v>{a=?L!nvqeC&fA$Ibj~^(uT`%1!TX()(J@89wRVC5?+~BICoeqdqNL)4b{W zrRx(8UayiVx+{CYLg$kqUt~%8?VaB?-|y=?T>jT6T}ChIae!rHA9G6F;{uJo!&35( z54@|}?RMyVowXI;NyeGReBztg@BGrU<eO00dpOa8FaC!_yV&i}zyEXJr0<uNZGXmW zoGzo6_&A`hU55R{o?{OSWZL)gc{~lUEf0Qjy07o>633awe1C6Pq}<m#&S<p0&_d|= z!nb;Md~5a2&nbLy{@B8tNnnkN{Kp@*#Ma0x<3Bg2P~yO`g=I}(@rM#J?dx{`u=w+< z>x^W(_e~2PX?=)6e8(TwJTC0`#i@Ds^Tl}_7uWk&iHd$}$apu^UiQ87owuHA=4+=* zoL}Gj;Kqg*kL4fcH9z*}`2OR=hF8<vI+yvfZ>pSD+dU_JO6K#xy!-;c?h~93mh8T8 z>Bio5(ns!eT5of*zOsMaVxQeB_ia`xJN){>>6zP$)~qp3iTitp@pq+W)x(e1`Q=2J zukT*H{%@URiT(cX@+rF){GB6{b^H9UA13z~y?K5xXI`n!<j*}uZ_l*U>7~Bo6Hc`c zUUoMmzPoPUq{{1k(@NXUte)?Gr0(8}#q+;&T(ZcUzu-5k&7Adjo4yrqf4J@MntuP! zx~J;?anDX~&YuD`Nbb;`rQ1)`Edx1C?61v~%FTHbAO;<)^Lw(SD5I_9{P_~SSF00m zxH*1LF5h^0J=@#ocLd?a$sKzZdDO%5yvF5oJ};iHo>`qX^G*7xEwf)`Up#+!=Jy|h zo6b$B{90`Htyo(A@1F1j>$rBsA2|H;mTrc9z2T{C^S^$~+~<1p{Pj(h+kQpCjCnny z`f~54`I?vWR;_on?@zc_cQ|#f;^$Y-&)qmbx8}>|d;6@OE|+G#`+oWNEuZr^Z=FBw zcKh3AJG-;nHGWsB%ue5W_=(M8A8X@<^CzFVtEKsSrqSDFzoNc;<~<W_7jjL~Xnozg zroVTtyW6L;=PZYZ(&r@`;ojOe@$BY3ibeARm(87d;k>zF`S#Z-@Zg?V86K<onrCLX z{rt|qHIw`6%4V}gHN6vmkziNOb?f}|{_StmYinlZ2YxG-oSm+^6lP5FjOsI25#CC^ zH|sRSTbnn|Pyg}a`LFn<UvDID>Aa}hQZMm(!QVUo%x>3RJHGs<SgQT~L(gs=afF$) z-RS!!MYu`+3HQpFAtvSBIIms%W%KXL?;N}@{AH3o^W4wzw`Jk<T>ICLFV}Q$ny<d8 zGVE^rxy`?Pu4)SZsuU`&57+v=(=Hes()Z8p+579HmGiT!=WRF4xBqbQyl>67u6sH! z>VDOgJ-hDs`{z_mL=ZKf-Mm)(WTo58vNR|A`zP<b)t~&=XJ%P&t?sW%qtDa6P6a2V z{~dqtED6<WpI2yJspo5(fA?Fj<M-R&Y}xNCW!U@APlG4$BD3>R@e0o+Q*X`W{A<&? z^EdwlxN%ya_4Fo!jjK+!-~RRD`MP_@UtUdg{Qh~@`njdi7wYup=D`iBzG0*NYcnV} zrmKDVZ1QYg-9~tl{BHDqS}`Q3>~5T&Tl=Lr>_8eKNzOMq3{R4xIrDno=)&AHcjxt3 z1$aWLJu|zw51fBvn*P>I?5|5Jf4@3CWATFD7yl)$Y5rDxdL|;A=JRHR`oog8<e9sl zY;K;Pl4*YR`l9*rDfje_f^*2{)*I)|f4)e!pYQ+RYBj=R_e^fXT{JakUhbb}n8$pc z+5Pp0r-AcH_Y&`>fHO%qBsI;w>OHf--tl*3-c)$(r*E#Db~p5#@u@Aw!oPkhKJ)uB z1s=wHpTopXf#d$~#`&wA-=vp!ICx*UAD#Z_{(2TIhgowsUAiN8?QGzG8{=2^+g<+t zzOvx6)r%i)cljNE+rD`Gd2_sw`LEc*^G-Wv&C#Dfy}xAp-$Sux557BA@F?2!{aSe8 zxIJ(G|I8i7%iTS7Kirt~j%ogE!|As9Ja)cz`2o|;{odPHF84W1+(SS0<({+rKDPXA zCi_-C+Erg!E1!5T=jl?Nx!)O>-`70TeW~->JJKpYn)yA`v$a;CRhr(<ZI2z;J)tt& z+7qOCxkQDyVgAHVLDSCNzItHyj7sfKskMsDpA$Y^dd?*Ox=L){|L>0aCPf_g|3A!o zoGQN7;qT<{Wxtx|z5hA0K6%=y&ohkY@IL!k`tVz9t>&b65xv5?Hy-5`aL)-3*=Tph zGV+^ZO5A#P_TB8f^SN#H-W}d$b?pBU(GG2aaD{aaF^xNz3Rxd<(MaG&{Thc|-@`=3 zzi2d6mFR1};(Glkn0wdZUp?nOy!W4;`*e%@uE&A%@_64*Y+AD|d6({<eEZez|87nw zc^enN^ZL=BybWF=Uo_6No9?+UGwojM-3PkKosSO9E4?i)Ub61C-Tr7#!8>u`EZg4| z<T&2Fx=Z@*b$9WShV4FTyS{pevfV3YJH2mZXp>#=Lfbmu>|1@;w#=>G9e&s8_iNL) z{_mGAe*1E>(hFn54C|=f+m~P0{Zelu-xUA8IeXWBn?JLzZINA@X}!z-R{Z+R`l@D` zT>t*bcHYg~7H|Fip6S&z+XCP3w~Jb9>hFKfQ`%Z~dy4y)e|8U4?z&pvk^b{ahTrAM zh2!~e*;rm}P+0VMw{zvShXo~T49ey-^o94x)?VCr@J3))pMS5c@`aCkH!c>EJ<HGa z^|Stq+j3jF)A#E*9A`Y%_w+?Z_L~Yu+2az{_gs!^9_#ac@j_}L^RrcTbw7DzYn4h= zY-LPeNJuO^yjt$;T}~dG`+WTu9)|WGzF>Im#>DnM&0~GR7Xvfjyy)(8J=VAIMa8Wf zhH|p&rLC7OdR&`)y!OS9Q#UqB$nM_BFWGqg+J{{>FS3h&*v(A4`K<CnP{|gPGMnzc zYsdP&U;G%Id|d52Gi${>>ytMw9{an1L8kRs-~9!TW0KvgOJcI#SP05qm#{wPa$F+W zJ-=j%wDqsWj~$bbZ+#Jw@n(mdtah)g^2LqEZWwaO&hC}vzVLDB#$;0~A@<wz*!Mn| z_xM4jp0!eY-CTQ-{{P(t{qgl(H#IJ9c`5X5XT{d}>sv2hh&sL^TI2G$=P_KRR$5Bx z4U^wZI(PBp4aWJ={9j*)ZdBeFy*aIa)5qOa-+7b%Xk0FpZn)>ov!~zi{Vv<3(~aKl z71<juSs|Z(FU<0i_snm6F7GXv-wU6q_LF|O)Tf$#;rBe|_mj_9Kji>%^_}0#FuxCa z7F#~&OVF~t(-(i&WX?DJ{H$(YTupt!{Y|gLZn^D#_}TyA?_1ey?^4gyR{2M!r>JL0 zztwa7Ud{II=^5LnJTI5|RIhh<zn$%#=$YSlJwdj{b>6eRBd>Cxr6|7bW3l#*+q+oT zsXUxjy|22fviSVuxKmroC8~;*za4tcw?5@w7yr|rqN%s6W%eF#eR6bv`O5YBQ^dqR z*qz-IZ9gII)Rym2U*=^#sBNpX*3wVCRV`cP?euAvy!@d@k@rK-SbwaIj6b#Id({^{ z&Xe8s_n*A1omOd{Hw|P5OVx3yiuuX+Y@ROlsdiuV{oaH<KfcG$`&v7%(tV%$>)Es1 zI$3fT-}Njx&wsKv!T&q=nY~$)OM;i}U3}s9n#S+0&&~#dtY`bOj{oHAy19Ja>9z4m z_v)lmYc((5lfL*n)FZB?swzJ1-cji#prGg68~Kh?v*&Y1+n&`k&Alr%FW<ZP!uH02 z-&~)+Md`oxp84%w&%MRGd)6D}Kiv%qr)dknn=rr6J`?@94;22%7pm7amh*lt16f<H zQ{~OOXT5R$&)b{!P04(3@ukn~!@h%iqVG)tIZEt{pXrBvC-)rJ28D@s)oPwS*)zB2 z%w40OddpgFuQu<V*E7oBMuB2keZhBK=J(NOZu@~;)cNA?oddtkKJ!%vUz=|9c5chP zWAEBS0_WTBIlO1}J#cuNec8r!^7X$p)^C44*?ngA;yqI`-@koftEHm9FaDUUtKNs= zj<08Kzb^#`Jl~fxu9MvX{_okI#qM#d(!6}n`r>VE75#nuCok`wSh;x$C}g<zHamSX z`(jlo{P|mz@3rY^{JD$oPQGZXrD862WAnN9hUG_BU-F&#ZQA1UO^xNopYv*6K_+Rw zn49~cc0M%X&8wzMR@f)p>s$?vfR!(54<Gog_&Kj85~Q~J!tafZ-<6-84F*NMR8=<b zp6D6nPrSi_7k_bgeBPJmw)N@vuIhV%LQKDk-~7YB=E`VL_(eC~o6NgM-uV3k@f1+p z{=RTqS!I2-B}2EhS5~98XL;l2E&emM|EdH9=X>}3|91+W<vZW=pJ^Tq3R1}zd+k)# zYpd$a`}()1(tF<IuS<QZ<sII?-TClJ`2T$z4?N2oe}1t19A>M%cS`2_<`-*CKIEm| zyA=vb17VB4cTU*z%Vx&)@7&LHgW}9tO1X0v-!;DYH!IKLGt->BgQ2gyF5PAL{K)&6 zUcKA3nMQB_N>|yR;ywO4V?#yN{-k@l{@$QS=iY1or0wz5{rXH5mtq&}i#LA%@s#(> zZ|B_dPn$igIQU#F=H$;0yq~A7odR~A)BE*2d;X`~+v@MBpL*-JL6yJ3hq}{y-h$$5 zZu31Q=6v4Ie9?-aR2#PNyAt#J@-w@$c7l>=@x|)i#_#dagkkf=&)`Gd`8|K9o%|KF zY;XU9?{&=Y`Ooa$5e15spD$vQ9{e@`oTjOtdTTesUVX`m|A+VNopuVOmiyxFn+JX? ze-5+L1ci&zmunIg*)z94;{*k>_~P>O@ox41CS**s+SyRQTLWYO%idh4Pm4~-s%||n zb;<4nKX2xpiT<|(l;(eHRMpE*3je>aqgvYV{j}qt3|Y&wH@)?VZ|K$EHJc1S%dH2c z<Hs*-?N#)zT-Mz&>G^)&8Qb3kf>SZ)mpIW$=10GNGfTa<)f=o?Xzycin%yb+d0TK? z%^c2KZo8*1+O4Ew?#B^xvU1|lJI&&!t%8^Bz4{_H;X&@XJy*Ly`eNJeJ?Gh@I|Gt5 zp1!!7@Zj#;N^dc+kF_uS-r88s|7>lP0?5a~FLLWLzC0JKHp+in1M+nEqVEFC@8ixy zF9Esr@(WPw$^3JejS?st?3(ZCvE9pgcGt=u6ttl)-X=fDJ-J7A>U5*GvbQY0?%SvB z^vSG5ZX^F^zd71q9ewv^^X~a=^!}I@$aH(h_qJ^J<{ReE`xLZnul=I(IFEa6ap`Z4 z?@@k!0u&+aW}av7hbmS|&)Wan`2DN)rPI%8XG*{Q$6|jzb8q8shG*wIA1}+@@;kVs zKKH@7#CMb0_q_JKo$-D8i@b|wb3S*qJ@eFlwr-B@-6@&*yj9WC75pjpW<`Qh`L#vg zEtuasp1JJ`$}nj^EREA$-~82Icl*~*8-Dnh&0PKop{1h7=fB>i6X;;T$+?4j5qHy{ z;Db!8qS4O6-W<Ocw?r;Tn;p?q-}U^(k}E5w+)7$H;Ya%?{%1aIrhKzIO)bvOI?Qs$ z!eOcM)H9RnFBq2omc4IzzWCgp-FN=p{r;P|`u&;G_xp<9+s^;J`~L5p(~nn21g}^v zeQ9^Vyr}w}TZPrC8ngb+&ssG7-v67+*9Bx4cyqgpac%ggm?rs5%l~QYA*YLHOxwye z9@kdReX{i5mcD22y6<?)7AhCGzDw+>-t%bLtY@+vd(5pL9sRiKM77G}*nbT&>8mpD z9iCX8%W#kF*zLOgPnOPlCfl;dpXEVr${yaX=~=VN4L)sSe;|9VBKqCr$KIxAe-}Qf z)ns_jacuX;xRc(dXLk!!{(s&%y@oZ;y6fY&;5{Li_k34+@819I{lkep<p-lI_klzd z^Czpv+3j;TzW4RJN8GB+d-2NePcl~YC+?ZMf5M)Um@R$J?zP-`Uis+R_vdQI?ylLf za#`hk$9vt(ANrE^tj&I65$yXp&+XpRzKY#deG+FY;^TBHK}uZjSu=f*J6*9{17v9U zgzpEnKFWEC^ZdK=*gN&Z2Z>|VkM9=MtXx+4UE#ev(}%v)J<;zcf!r8Ai@ELj_qCyq zmVOMj*R~6nJ6<tcME|PE`{onByVT>X_)Z+QIJW!CbFgN4r+dzQAB*Fk?RhNuetw-A z$ZC1Fdxx1n_$BOV25VD3VXemS-sRZt7t6s~t}DOqVc0WS;(h-6DIoi}v%TwPyzZQC zZ!dGcqFOWVl;zsRJGaY*J=$l&@SU@#`kR*Jxsc0yWKUGbGTalEeE%v89Ju0@(aazG z()P&OPXw8=Ug`Z|#){<z_g;dc=6mUrzsU^uCQH0uTYlo>(pk@HnJc%mKlsb?_-^HH zP)y!!-y_RdVSlpXxHc$wwomwO@cG;7_unIz8{K=l{Ulh6bY(lsgSp2lZrAI8ymjC8 zUMFLPe;Oq6zuP{^<vUQ#^*HzEM49+infIJxU)I&sG2DCEQ~uZs<ihCYJ>e`5<{qs0 ztqHQid1ARM!@Xe1_f64He+2n{zNYm46~mt8hWEa12S<C=lfS+U_byB3KR-KZde)KO zyLMV0_!C}}S1(psJ(E4HVq4x}_Vm5~%3}VvwtRg!k9oGAL{^xA@Yl*SZ%R6T{x!YB zm-W>C&0+nVSBIzV-W+jx?$n#Re(jYDv(gZ&m7o8%a)a!fB{DbPt(Uqj$-Bqicb4(@ zoQQ~>DIqUe|Gby@y!qmpt<O?-q&<+3k#YYS^5UQI#{Uf4|N2h9#Bw~$#CVT=8QbS8 zdqgh<hj>5A<vH+M^VshLzLTbBdG2}4^uf&do_YS1Jt5wY=883Z_c?ZZO~pdC>+Byd z{e4m~d8wQ6d8fF|Gb;YxxaXfRpZ#-6b-af6((Z#dx1O0JVP-n}she^9BeVF_EsI`; z2EXVHK51<nd9Li9!g}r%j_1A<pJr3mtH^6S+_)>!=S<{I%QaVePnKEV{>-lT?&am( z(%#AiO!wxW-{bb|t4y$5-ZS}%Q`#3q&aSaMHv4+R;;X{#@9af?-+#WsK5e6nXN1Nq z$$7$dXV+c-c>Uz-sn^fEy6A7RuH?VfO@YIewM@si-qSg}alNsz&l9P)i_JC*{dkdi zhwobJ+f|kqH)ofB&)hv%cYp5wcXHxu-|v?HTKaMG+Fag0qR9*Q?)_41R8?@hNLa@# zHf5Uoj7sfiyyvFA{h74;YWE|t2$RsWh8Op+$>>);y72d5RqMa?maqDgqvWDM4zjW6 zuH5i4>ZN0x@oIPbi#sN<FPBb|xE{CI``l{t(*<u{pWz9f#BM%&>VanF0_%wFHWeSd zMb$oE+j%tw>>m5o&BoF{-=&|PnYLSn|J|;GF)wnso;sd=c)NL5y$Aoiqc%4-gj7wq zej~HHY~nG~)$H3Bu=k&{eR0>@_F(hw@FNcqO3&I@WORN}SnsNB&*t`c*41X~3(e|J z<<8ii_;mH|(Iw9h?%j^0ysoWs!~N7t&3mrh<!OnS4>G!ZG5h&bwlk^?oF`1S`Ef0` zup*%N$F~LV<S#WpH{kykrDJ;{Tk_F^++eBeYvgJ~Z7Uj``L9Lwb-gWnHerq0?YgkD zXWaJvzFD;FrrycsR_n(f7Cig#*72_W683as{<1g?+Y{ND=c+%H8pKE1{@|<n@aazT zy}eh2Z6fN+W#q2N?6Y0*yZy_9ooAZ!=jq#?$UZ1Lov8ujuGeSOc^<s)&OZTio9nwt zVV+fTZ}yw<@n`Yfm%H-Ux$48_GtIJ}m$FytzKl8k#i}<ujQ^WE|F&mxXKZIEKJb*2 z*`tS~xcE%7{(N<0zt}L@{?Klh+w!-r?QPk%_x!iMZMJ<0_en4RaimZ_ZnNXF%uB~O z@8WyT>zzTttbDQAf7yox`TK8tGj6}~c)9Yk>1_@B?wh?be7QK_e*R^def#e(``!Mh z?zYUc#Wy!E>92U}z+v30{gcDS{?3`Zf9q~Xd<m@PlE2y4{q}SF+p=wPo8LaKj>-AG z^N!xjojHdeUuqVY><~=*_V=&Z*@wS(`h4gA8DloRa>GxamyU78GpA?0oqcSoO~&uP z+fKeXSpP&$=ZV}F|MW@hx9jBF*-hK?`Ct80wEa=}?BGk|CG3@F?RfufTT*!T)j`P@ z2hEH4pUIsOxUa(hZ>KIIj0)sFKbW%eQuCf^ihTFXmVy*VzBu?R+5U&QV9x)TnI#{V zwPH=ccH1?POtJg?;KxajDbMz_$F+l^^7)KzLA>D&H5B>wjh8&$}&y<U-bG2bXnT zYThGut1$D|<sE5XAF#eSSh$nDn12~(+#xH^^Ub?&AtkE)Cv0vQgjG$rF637lq7PP| z^yR@ykoq)_ib?F{`JIQGXC2<oUiyE6+#jLO4;HAsbc{PKz@9(*63B3&FArqe<+jxB zf9G)X+_xpS<>u$wPrt2NS@8awh0O}fn(Bb>{4Wpc8}omYR<u2lt@k--Z7tZAtuGF` z?qpy6?|tI?_c7mD`1fs9MtJ%|&9j4XhnKKd=GOo0UFZi&Aotz*<K|Wyd{1agP`Bk5 zi|au+YfnSvhT;id6xOG9H1GE{jE}V4A!J*z*_r=Vo!!lEl_zC_`sYV|n+i%#VgL2f z(-YIP#nT!ux$h}cXqkCzY7NUg`MhWE>h|Y~{>s?uEPu1=sl&~4X8PNXr{6yRw9NB- z>gsPE{B6JLW<UGzH)h%I_8Pt4T%R8-+IOjW&od+atGCR1wJR@t`<?rJ0lR$hi-TFR z#kc;-_|$n;pILa_`_qG2;YfimZp5$mLf7_0_Cn*S%j`ip&aOr7&Xj6{vm2hjR_Sq= z#D0CRBqDAr6hA-M11crGEv^Rc^#O%S|6=y-Pvv@E{gKNs@RY0hG8ZY(*BkMld-q5B z&-#7Vn)!R&)*t;d8I*c%Uugb+(pKX0%f5NKC!3}DBz12%@3n_VRrd_`+4rZ(JuxkO zr1ZBHq``bK`+e_+2kR#GwI18_w>oL>e6Ri6ubzBe)f4$r!}z;7cR_sXcQx5P_L=7{ zu?Jt&$>Q6wt}%IrGM~+|PYVx%+MTVtcCFv|dR6lLJ?j>*JvK_rm>jt~V8Nbk$?w#Z z^sk(<ZjZllWxDS1Jui&P)xY*Bm(Nm8dAILe(e^K;Gp$#Ip1Lb`=I%?)qVE%D96oj< z=GnW8c7;#;id)XyRhqW@-2YQ|9M=ZD+m`(9*|HON+i&jdt-P4}&h2Y2#2B&7ckbR$ zEN0*8wexo4&gdyh<+VwC(#gd$cboowd}8i1j+wi=Bj5dcJ8@@o)Y3bDg^SBWyYAF> z%)LEBJoZ`MhXWQx%PpOry%U<Y`&#{JuuWyj@9JhKmFIjnJbv!we)nCUlA*3ysw2U> zJN4ayFwOF6gYxpFC+4nw@58-X^X2)gzi-d<zW;W8xz5*A_h}xd=GV*Xte>7Rzdl^% zp8fxIzuN!&`E7pV>#a|V_QX}{PV*@}8rc8X>;2?|zn>mHd*|-?{rem3s#IG0U+re< zTJh|X`|7q+uW#{0PxxMH>VD$)gVZ;>q`s6_#yYOORQn<KOl)-5ov&RQ(Xk76oQeJ4 z2KB*go$}S?C%`_iGAuv8{6uVQxVrVCS*P#ZHL>Ztli4?6XLjPw>?+4+d|6YA!n6H^ z{#c*XUv}bdqG0N3ZIS6awR?IXLAvi~(e}FCXJoHvJzZ!1EHA$6&egKgP0Fiwp1Hdz z`4J>cPe;C6mNOL`reBZVx!SLLRngA#z5WiH`B!_^dY{|77^+THr(AkF#8qrb@A^C@ zTHpSDa@EY0Cclej?mh}LO*`V<tohTyrtu!T)9X8Nw+jEVlTGJKW1i(*FN6mF_Q-d) zZbO3qutE9qB`4;df0Od^sZsf7^&=Cl&k7oq-%s2*`@1+KNpYOHyOTZeU5p*Sjk)Ku zb2UA?3@)7!fAr&^?ZJb!jxs?Pzg&<JK9ham;-e1c{*^Tu8v;y>Yvg`-P1`+pHpCL& z8M|KxKk0jWuf)fGYU1VmPyc4=?Y-(NqQ3gKot<Euy1PnwR`tE7ajSky?`5~mJa=bv z;`#J>8n#LIu4$Ug+Ig*JuFyJh3pV!Sfp((1{`<VKKag90d8x_4jiP6H{zV+q`*8KV zM}2^9=XOJe_n|%8lP5n}8YT382g9ClV{i-KbI)a_4{?Vp@@u2|^shYlr4aY4`txJo zX;NoxxGT>lJ^FgMjDPi0;l75qwTivV!+d3RkL|qq=UT=y?>o;{zu66MYI;Ws%$hgJ zvRUehPksMOi<-QhwnvM*Gb<f@o;nseR(ILFUpQ|%cbD>$&!4vHl)pBI<Ttg%ckj*@ zPuE&{It>=OsXJ$XS^`cMd0fx(oagNh*?GG<N@nL}8O7r7w$pa^?w<^fLf^x8a^ETL z{B6I#_P+l^9+W<GsO<6i8E=v_zl5JLD$qD^Cie2eT$$rnC8u0S%w*&8W;YSh;+9Oy zQBSUzxWnU!+pL5I+8UeMHc32G3R~lQ?pwX|X)|f|tT|3<+&x*c-3yB=p4-&zpZ}fb z`QLZDpO?#=n_Kze-tW7g>+V;-dtP_1_V>5Fx>G;tepdH);V)RX%hWG6&tiRu)uL&W zuawrV{A|Qy7Z-VVf#s~LwN}TQnu=!RuF9LWFDPt!;?jqQr}qSepDB8J?*8jci=_P5 zv-aKnGw-AO`(M*{t<#@AH%4pj(sz{ypIklqs#!kvbMJTlTb`wT|Ceh&e$e-9!jpA8 zJEU(k?!3HJ=iNGkJAai6pS!+Tv$C+jz1XAZd+33?>f4jwmFRZwUd>a!lt1)U)u+kt z?{NHk_R3<%VoQ~s(Of%j@8&IDo*j9|*Zj_2-NNT>ydlfB96cy|R`KNB>kQ?ew<UqK z&urUW*lF!B{dd;=;}DDAl^wX-s5`?t_VlB7!EL)eJFU%g7F;q?=6jdH=lO0L<GX!d zIG*`k-?U?P?vB}y4#q0a_#d^d=)8ES$+e&-uOq`08XdF0h0lsJNq%<q*R5^-4<4t^ z+Lga%*P0mt51rmCzpD#!j^(~3nz~i&?8PPTE?Zt>Ipz2}KId1y){6ZzFTc9-N;|dm zqJ8}?W|{SWXMgy}m7VqVg}C+lZ_iVvuQ*b*-!Ajn*1*{7x9_dhtGcLn>Hp>V>(3h7 z?K*bYz3Pv2q9eQGT;}a{Qu5b>?5{7iRGH6ieV}k_g0kJZ65ZF8AJPureJ)%c*Ya+U z9@IO`@7i`5l<%5$^sdw4yN`~{6)!(q{0JNY@B9wjo%9<bx3+EfIgs34^COTD<T?<0 z*y_yOb*Y8rvmfR;KRVaF+tB<NMD99c`P^(nkeRVJ3%1)9K0kW*RwX3jwhI-MAF~CA zZQjg>?`DF`Tx=)KUT)H^QhuM|PU+oNaEymZykiqCkGrG0-xA`^FvfSs)*rj`GD@s` zZrkp^o!0vAytpCmTqj&`eKQw0s_*9R_?-`O%ePjDecyc#+;tCw#B?=p`SQ8(D_@^n zzwf5=Hy7reZ>ukVtvD{de$mEhO83_%unI|(9RE6X*|)!!o4Y@JRQmc)^Q_>nzrnZS zKmEOY^+Cnkh`#>t2;l?EpG_-^`@FAy*TEkza`@%1$^>ONOtjP5{`hg!tG~gsoIh25 zh<>mmE2^zuJN9&yT+NMw>vMK|w(^SmTO@PmqRgZ_cUgD5j_m^bbDP9FJ^wqEh2^Io zLDKDR>4Nf1Nw8;fjqjZGxKmm9{n8|e+;6i7>*@``N$+;zj@_D|fSt1x;?Z*c2kYLN zfg@!5>4NL?c6@&Hj(_`cNQ6ioxSL=N(U-d;dg6}HkMgSAA#PdAdgtsuQLue~j}&aT z1DWanzNguGmh+_D)r{r8#S+2UWWMCPZEElK?8uIlghm$Q9o>iyuqWzrcf9t#Q(3sZ zI239q^Bvw=L5QK(3cjylFF(BZo6p~{NBiDKJ^3yA^|sYN<-+^NpOx|Ky?_4*?<tvb zu40+;8CFl4^cMEBzblM>vLq%??vK;w$~Vuh{`l!!yEgn~PU%hKU5`BX^0MZ*mxf>X z7e3>kzWD9<(v><@w@<xVWY1nJUvck-t-O8yjal07vzaR2vsb!L+OzH1soNE43x97n z+W9KpVt=f{`!|&pb-8D!evf6UlxL`{&O20@dv<F19EW?n3hyUM7sf>wKmEJG;a;yo zzVylL>~(wAGF8g6RPKKE=;v0`@Ozm|mGVrL{55RPuWnuZ{NS<w-@e!C1sz}W?f+J_ z^>!0t|MAz_E!n)pwT^9-|2<Q;!orZphf~(w+f=8t>wogAY0rLWAC)V=x>93xoceUP z2G_d_#cJa=%E`2Ue)iyT!1YaW{r@iRk*mG<_-n?};6MMv)K@pGsEw`o@BR0m%vr^s z#S?rRb~9gxM9JQ^-Sy%p?s`1?_q^|MRr~G!os~;3{M}($d+h61+Y5`YOG~WyV}Ekb zy_}mJ{g-!U%`Lu?x?#cly_Y1fG+hY}E59HkzT$UD-+oZ(^ZNbDOMm%AuiTemzUu54 zuerLruC7X=V$px8H=Gf{tKIkCsNDK|^Ym3ms^X+Y%5IhSzS?!~TVCnK?tT9M{rCUR z-v2Sd`SQlnRg;&qUrBr@_x?`doj-T#?r3t|?Vo))ea`9TwX+|8P&g}it9i@*CG6(R z{O{&9+Hz#u{f@1YwO(IoTXCY|!<l7I4qk}ky1U*ZEmm&MrwkdnC6-Rr6TVIVl3@NJ zAz%8N!Wz+&-h1V4pUaNpmot<5lkh3w!}XQwP3+6<<=WXZ`!2{W`KxOCgX2TO`H(k` z5v!-K?y8XinSNtIou|wHyF0zPzC3t&rt?AH<9&M1z9r|yX_naM`OPm}_xWI?|B;7| zvy`{6XV!c2*NImYd`@}e81eb$`%ABHRGxi(aJv-$HdTJM@0U6s9JKU5icp)!DEB7o zs9cL_=JB6jwtt>=y*XWyKW@Iw44W6s^O9w+|9tRgpM{M_amzP_xB4#+x;L_4kLj`H z$nJ}ky?VDS?sL_LzsH)pC$UdvFBW+B>4ENfBzM@c+1#+?tQNRd^gtkI#oX(A<Z_JU zV!{@%`zN2{Dwp~Gy!lSunX}rh?~b2+X?*VK!Ef`ABRPy!?#&K{YJqDt-*$ho0^79s zSaY_Gjl{3weJ`dbX3r@2DrS-W@Ym$4YaTdGHV>1!@&2(Me}cSk@Y9X2gnqr3yez}D zdqMsA8Q-S=v3n=?VDZAw8~PL0Zc=~z@A|pbUnhRs^KA9C{pmF`KL&1Z@84m3$oBt( zzQ<LqxAnj5DflgFd9F0h>{a=V=HgI}zt!It+Fy4pZn4u}{8#n->1~YsauWTv9NDg) zg4Q43_BqS;#NU|Xp~sqcPhxN0AIJ0dZq>%=UoG_b`|9lY_%F#UwDtJyUG<^x!NJ<+ z3H*nmuGRIuwFAYh#pQ|*(@!>^Y_?P=uPit-4=JSIGxEQy)&Hij=IH^&8v$U&w<`+# z8|7-ai^Fz2-m$)W=ieE#!{I4Z7}Q-hanInt^ly^f9X=Zi3n`Sq+kC8<eKLD<^-Grz z37@?SD<(YSc<Xp8{!;UOb~%{}>u(BcJgv_y-w%qVm=qfepW4FdfAb{X{hXq0{=MRP z-{WV`zZK_x|M-so?)!?$Pgi1}H|y5-A8v-*$Y^t;-U{U0hl*DsK+fH9qvC_?$>x_e z2JCzE__zHtMhXee2M6yeZ(vW<W#>ECb_<k1`5qtS7kP2e+&I0}y06;q#M|R{_5{7C zyEDh`ag5v?BN0RfxxsC7qnhiR!kV9N%3qeg+4Jn{gRI8~r#(6NAkIqA#^P^1lHaTw z*{l0(me<Raec3%}>*WOBTZMLi--5JQJwCV+6!3NrEUy*L<v(ZT`TuEG^68k;&_~}_ z!1JQYhX>EDZ(vV+dn2y<`z%n{+)1&iSliBib(N#|yVue0p5NXve>u06=Df33@hjJ4 z*xxrxxA->k%JbV9d|$J<9PRJB{r0Zgmn;6^qU8UBc1@<|WA%2;nj8LF`R&h*uYz7b zzb$8M_p7PBe~0v$TG?Z=$G=K!kAG45@SAqgoY#BaJXm?7Ip}$+iTjJsMz`WW?Rlg5 z;NZmdpcFK5&z093-|mUxFS5UXE!$?t5(oZyr|x9DvslNDnk?4$*@*K_{{%0)>KSZq zd@%Z^ux6pG_)A_;JpI`6@xd!-=tWeeBZ{pD{Eh7We?+SVt|^7e_oe<g_4;7E1i#%3 zn;AA8oU30>dtI~P<6We{wr7%)`DOe~Va-*Rh6|^_Io9&=L0M2JTSk;s7F_xL2vLTX zGxN(;Fd~-?cd~76#4lh!xaGQu{hh))^ZAQ@U)>Uz@bto8hu6E}ODhY$>_EyMb`1CS zIf6<_YZX?T1>l&;xA{@?DS`7r-~qYnzs_y%zW-wRy8HOkgNDLLW%qox2XAY|ss*mS za(6H6PkC;-o}FKk|DSH9L8SrvIz4_r3wxw=di+3h^Zr9}En8(QSfsPSilzDG{N#M( z&N!|=-F#O3*h9xzi^KT;$t!25w3#DK7S<PpTopY&-J$NoX^sfarn8@vRdl?Z9Gu)0 zI$4^U5<(r`Zu!tIk#a0)dEeUz-P;>={Yu$#-Cy!tcDkLJcuM|3iPep7{27_jQCZH~ zA}p&zxHl?uiE3yhRr!CL_jHc&?>WbB@2QTH`<*6t^X}(+^UmA8-}nCeyW0HeE=m`I zTB@)5dOke-Zol!_{mEylcBxH#VdO3US>oEfs5jNA_l4w^oQ;~Ip?UM}|Db7ow(JVE z;`^MEe?(3BpA>t3hS`Bz83_y5EfqE@)iv&4_%`|s7x%WUc_F3Wi|?%8Vlh!7Gfs1U zP3G*JkGr;?`7fWqxrybjzDE6p8pm5~zjvGypK|$p-R`p7TWq&WOH%&%?XLdFRAE<Z zW&i)Z`Fclt+X&%vKlv@sPP!^zG0AN86N9^ZXFr~y%r`SWn7!V=WJ9g_bw?><)viBH zrLMNztD2qH@b!IL*jy_c-dg(X^a<H1#w+UL*k*0^-7a@x$*ioeD>ButFK$({xwYng z;Sy$t$!4pctT0{Ac)ZG8#_0c9v;Y3ir}BS?Y|B2_yd^R*<{SGWcV6qAau;XZwE4t+ zP4p{AiCo|7^*OPp&m?F37TbL2k*S_^;@1`T+T~L3z5Mi_b((_rg}<BF{~JwaJ6k?8 zbJOhI=cfD09AaKycynLcy5^|IMkgOu#i)JG?mPX@qh!Ok>oM$^IxTsZ9_M$Ky2|oc z=}BjoZdkgY`JBCe9H&)9MxIGYK+%qCjv(*e?&J&mKk2nX@7Em}tsmMVuGf@)a9iE% z&1DsF;h@d0mDejiy!eyxV!@MwwarHRm+`$*w2Ig{_q9Ur(~Gim-gcFq4QH2L!?%tn zklmX(O!Y;bqrEu$S@8{(oY#Lg75%z--kbgYK4mE@4a=C<3(l-x*<7z=Wl^Jj-BIdu z@tv*P5AJ%ly7_DvyS(;`1;w$ugi1bKd4y!yznL!*exBdKcWK79V?R&!gDiU<EnBl} zK{KoDm&+e*wtbZ1pSNEh$@uC{zG?qDUMuug%DnQ}+f#ZroLxLx_D`ZCJGa#gcmD5} z4j%c0Y(eXbgzIeUnoXv;mlteb{WkvRs|QA_n{PW`IXI~ylyg`7g`Wo({A4oIe`pmS z;Aj84@@2x!E+qZ?d`dpt;f`U?JafMA`aQPSv)1$3Wt4s>XO%5k=N;a}7q?dn$#M0n zRu!Kt*EO3gTU%K7DLMA@)r0!cvUlPy9BktI^|C_lc116{c9^>}yJ~y3?3ACTr5l=G zBs@0;8UHxI{Z;Ck?0r^0TCN^^toSNn375Fyj0N`(bKh!Na9^Bfmi~)BE*EO*z8yBK z*!%Zh{3pS6mxa&HRyliX{jsy(C#3!pn_Zu=<EQVmGfc-da>IV?yL-RGZrl2&zd8){ z?=4|^f1mSx!NG1r{d=BVd)5ow+m`?NSJIj8@;0XTb%%C8j-Df)^g-73iL3mqq+dao z)@+ZA`@G?Ib?=Am8(xGzczArry{%G9mPCfP-rm3I+GMkxT|$4~PP#a^cFBC*s!89C zI~?sN@Av<-M0Wd^mHWTMMqb!-C}@R4{<;GTkN^B>dER8%sWppp?Az_+ob1ofn;)=y zOQh;?uFp~hJ^{}f?mIK|vAN4^;(L%kq26!D&&};SGy-F48eSy7y}r{f$*1Vh_pHXx zdhT-==9p>sDF1h?xNdW>(on7P`K;Q;?Pmqod!|mGG^x3z{3$<Q^WBm}k>p9@zYkuQ z`FQD<oYJ1D{hxn1@%&gCZx=h~r|tUEY2vr0zfHLj@w>dCLT>x=!u<F<eb?2uE`Kxo z;s@`O8xJ`&&)fM8d}d5)-4)PjI$9TxuX6{xBkR}G#6!D1J|y{oPF@i_^!p0;9eqQ! z%8l|FKb~f{e1D?6$KF9_dVJmaUr)p2>nuM^X3=8XxMRCMTl<M};br!+yoj?`&h%To zyrlo%^UKC$)9da%o_@ajYvzKEOX>k1|Iz#*FY@?bA&^fR+v4R^_gKq6`pcNK^G{Lp z*VAS4buXjW9BE5^RC#CKvAwm0R&l}a_kQ-v@c;bAXLVTJ^xv;cK7Xp%Tz2a-<Flwe z&!Uaq3%t1hCi;-g!~G{Jqjz=9-!3tE&Ssye2R5%egrhk>x8w`mTgqP)*W(%YLH5Dh zd={Y+jW@f3?rrCI|7_|U@#IO{PkxG%7s}tyldw(xK=YQ!h5P=i?RkF7LjRab{^pzF zudE{*ze_yK72P8-c~7zK`#BRT&fY#eY4g70obP|JSJWD+RX(iJzVbbe^`76M+dG>+ zC;5Cj^i};q?FqyD_{;V8)%K*$_CN4)-rVWOXFPGe`?oDQ&f@+()jiqopMPzY_;9-N z=lmOmmp@OtKIK<Xdb4_pq>J3~kBN6~iyu#Zc6FJr^p6UU*H+t}T$q(UL1x`^$&@-} z^K<Ky_q%`Dm@5em+_RN!lUvG<i-R1j-{ZdO%&J1!-y#od%XsIfADHpvXx#$C^B>|5 z?f&w4&pv_EPp3z(jo<g;us(ZrXRuFPfA#OAq8T-&$@lvgKSZ2Y^fORB_T1_H{$Dme zlv~#?F?r6cy2@D}*2SIzB~8=6pLibL{TKRfy2Ru?H$Vp#={#H;cjz_u#+bUF+^?tW z|Nd}#b&Y1|`@0(N-oD=JuEy~5{NjhxIS;~)TKcmBbf8eZi@dk}>92;;!pFTY>z^0A zx3s+AUXIU)tY1$n5AA;MeMf(@;lb{|4u|#a74|$A`}E66XL|g<W51r(*ZfGWWVrdt zclVLKwR>MiJUJcpJ;~$`{J<h3`&Uc6_y3vj;_<;~SlVU<9k6EzKFUe?P<58*ckdq0 zxHs%lb(Ir8Y}@|nAH&9&x}CRwrGC8PBU7SPz0oHA;-=rn|L#h-bNjmUT?L_h<@dj% z{t0~#-Shvz)7p6X$)C6FX$2>>S>J0*1@EP9w$wkSqW|Mu#pbL#-=|6xtbCojyA_lc z%p&7@fA9MxaBp4Oxo*Rgt1It^3qFihsW4QlJa~Pfea4;dSEql-%X#$m=!_><_q<;% z|Iqg42~aR^efPWR_lhpg{KXHBf>O@a9q(7Sd{{U8C@7#GFWXyoC**1Johz3gYZl*f z$?B~BtrYrZLgK#vw?$4bD7`-&DaHJ$d08}X;glDTU!5<gJu>6Tl{x7e%6qJr7lSgz z*~+$mE#EKH*34rB=g4C=hZzp-UekD8JZa~jPol4<``Z1P^mm3}@Zt)a&g$Qf3a9+p znsk1i$nAjBi1TeeF9sc+)#xHG{TdeH3Ws**89fJi>dWbaE#LpdB8rpHmhId6Am(>` zJ$?S?2k-0qozJqIKR9{E_VbRM%99_}xOZ&?9X|y<;R;e(Mf!c&Sfd0^^S=A{%=)0` zT?q1k?|vDl3hlh-b)AMM!O5jv=-xW1xcH8zpH6RI6L0tGu>MR2(Rm_T7r3<*)+b+= z@!1&m;H${=>l@oAe%`hfQee!wzHz<cp4Z30CZ2tLW4_X!xyhg?&7b^Iv|w)NW2<jl zTedrbi^sU*a()%p`yPT~j5WUfS60mNbqagf-66sG`i3$`{#H<wUES5kyFb22_Tk+x z4FCTM^?1fziS2!TV`|Ixy_3GPcRZCc-<y2g_xx`O^PBgzjP}~j^S*Oi{QTl+yF*X+ zFN<)<p66JUan{&_@A_vRBm262C6?zWsQlXT(+ZLyKl3c+e7{o=RDy*U&r9Ta=zHeL zXC6q7d?tBJ=-yXRNPb_wHa_qB!|7?4BlHXN<Ja)d%eb?7uI9Hc(9uND^Prx&&n<a5 z{c(p~U8)2$eAg)LdFu=cfc4Ab`_%WG%!Ef@s_DaAX-ICbJoxKroP1s6ArXx_|3~-s z{g5?$FZQ-d|7cSb;wY<`@}-xS#$OYt&5=FwRWKQJ_L;iCy|2tr!<TX72kXMKqvga8 zW;_qRwnpgff0ON#v?OraW4k|_rf3HInRjGw?MLgRd%9MoW?0O>=kTSl!Vv2CJrh4{ z`}!DEV9%<v?EIj&{vkYZWOL?c#zPWE<2C)?ccyeUpZN0Sd*I``Sc7+OlaF0KJ^#e- zeUrq4*SJ>vZ#?~b->VaqyH{k}&si4B(Q0^dW##?rDTj7{Oa|p;?tRAh)=2G-5Av_@ zk9z{L&Uae)<9+KjTeb&+Q_8*Xrc*zx``vL}Jb99MjqI=HW3}<-g7?hz3P1_>?C*Wc z1n)&1>^3~fJ)eKUKB@otq0A5OUODvs_aSJ8&t`l0_D2IGvG8i2JY%d<+cW#rnZl0G zLf^j~{dwc$w!6Vb6ZbALJ+EN7<(Z^P`|C=!nez7WyXK_N@cdGETN0L5Hgn`(&jh>q z@kFi7S%3D2_O_JAu_9`S3oaGr`_6-kmO9Hzzn-48`!nf}jnd^sJHVN~XwIK4k5?W9 zwIWao@l7*dJf2k!%ksJ{+aI$)v;57L@}~mu8e&uHhjn%B;4ELX=Id!yyFZuu{Y1BY zjAy*QfBK_e-_KP2`qlUUR8@Yb&)0JOIELuGCA{B5E2f!b@1J$%C)4f6cFz+1b#FQ= ze%?NV$8$3uuX+78*$dmYL_Yl9@LcHcinmXGHG*4$`PvU-i|2d>HU1_sy|+1ZyRZk$ zX=8d1KF!aG=MPKe`5DJIH2qgSTQ&QsDgR-M`Ukn(-VIz+dY@FWUzeVg7Au<f|J1A4 zTTisSzHIk)D}OejZrfwux;O`(Uy*lLWNl7YYW$niB)h%F@JJzdMsniIom@Ni`Cljz zT<*Q`a!y*$Ba8UNJ+F2=P?P=kP_t~$uMb~i_U9YiuDP{lefSQ^^{4(6=l&CZ_{==g zh+B63IrV)TpP9asmiYYWZ$M)?<HNTWhbj%99gQ|qy7IXD>07zgOV1kruqm(x-8Uie z`O)66#&X4nv9&X<izmnJc`Q;PE_iS5`l7g=zw$riH!a+ZbbNFDgsGvD$Jc9|p7p}d z-c5JI-DMJCsS!>hI?0)8iKRWJtP5SHnJ}5>I%)>2*_*`}v@1e0;#5>e&WhZB9xQ8J zwH<Y@sY!0U7@3}>b}94P#<YO{AIkW?+iZXS^HW^a;o9@X-wNKJ`TFli+`Z41&u!m- z->iN3-J;2UXFuK!`TS_{GrNC>cg7sN(`Ru0t?laBwq^J3v_Dz3>RkQ(diRx;FIlZ? z8t*O436#92$+agwVUKRLihk<TisjlL;to{oj-Rr}Z1Zd1J^#(lzpZVuWGu5kQ@H0_ zP0CLBHy^dPpW>3qVZHQbf9lsw*UuTUZ~6UWSI+v~ibv*}`}duZJ)3v*$hSK?-&o1~ zZQ8?^o3{RNp|bn?|I8(_eXCDBb(>KhHYe^(?u<0qZ}KWn>%Bf`+WX5(XYg6Qd-&nT zD>ci+V`n~pvzJx={<h@vv*TxKOKX?ei7mIwcWhXEa8Ih`wO@}KKH9%Mc7MjjA9?)K zw{5DOWL{pqH}1r`>r2m{emyn)+2e=z@9YX%Uvo_0_UBz!*Jf|6%zJ(>_1(9$-6!ml z<b8{3x7Z!F+w?drkA2;NiR}BPzO-H>V<fw>;=?7^7l~`OILkb@v$*&5%dEmp%ipfH zDz?{JTvv2>x*u!be@pX!?3-loCyH1r<;UHun{)D4;kDvT@|xPmqn9737JPj7%bF)k z*T}q&V7(XFQ-0rg()3M5d(t&OoJ-qtx#4=+HO(X5a#m;G-Y=G2e>X$%Tf<W;nf&$h z6o2Q<ifpke&OcjLKlPVh{xPYbUv;Z)SR3E4dtDtBCvLX+H_!gOnQ!*xRhk@LAI*35 z{L+)NcK+HCx^8_;UU7EeQvdR}e5>GhpH<bi-S2!~^!w<#NVeB%ezo^{8f6}zsh;*Y z)nCTqUu52&>qb)d*JfsBzMZ^h=k4|S`%bL;T<d@G^_=u)j}P9@h&@?7nRlb@`ltK; zbJaQV2|U00=9%f6CrSL_v#)=fQ?~Q`?YwRN8|N?ISyyL%xUP(2YWpd!is;6@lb43i zI&)(2nc62m9(_$*-y8IkSLP~XoMYe5zQ-x4e82yTzpe85a-{Kf!v6mo9?zfq_Q%p- z8x`}C7t?24&ze6WZZVIgwCwZNc^0|__wKB1*H7D%+wUEBYC`#{$FUDOuk$?53k@xn z$iI8De&Z?4zqLlGC%k_r>{O52w{N<^y;-?MwYh0OFHS#uZu;^0foI-6@t)l??U3!X zYI(b`%E(B@uc8)(SrYqP_;lly+VkTd{kSsOuGVt;*W~}o;QOq7<F^^yb9=Cqr_!|6 zvj3ysOvvr;b{9T8oi3Sw-?_;ChR(_9o9E~sU-n_?k4KSv1+^KbifZg<@}19rc>0Nj zPraXfc<U`QA9NY2e(K*FpTQSF2Vb5(-SD0i#Mqyr$E@=gfv+O}?QnSdXUY8UwGd-< z&rJ^w{_!c`|Gm{Ut3xN9;!4@fbQ*Md<C6=YREvImQ|<G&eR+9$eCppDh3i2VOb1_{ z&MlFD-wkvnd)BWa#m8s+CxdTJm(Td|sp#14y8f8>*{VN_8b3e1BeL(zvYT4&Yu6k& zu-@VNQP8c`TWUIG_SJSi4)*``B~|<N@o9cH`==-_U#7qRSjFz^pg<45JU!gt-nxyK zrcY7Sf6L4pA9u21_WMb%vp1{6Z?lZo^MB}F_M=gM2ScC4?>|ftN%<VrdY~)hQNm&W zIlT{UrLf>Ny!UPEr0GUau6}u{bZmF=7I;8(OXk0QTU47n?aAq-=cbo0`S8^6-YviM z1vj2A-@M9daoF~@hu)|G5x%ibXU#;=-Sk<%K4l%d&9i5U;`(L!(+%$JErq+duKDA) z`%2%N&rYhj`L1TCYO8+x>yY`|1C!^^-`>iTu)wqX@CVhRjGsc5HNS<9FQ512<>~xQ zb#L~1g6>XV`SSGAp6y~4n$B^)@p{R7uF6k@hp*JJ+na;lv4g^Q>F1~KME2R3HOQS2 zQvCKab!XW^+3JUzK-X(W?Q`L~zwgM$mFl&>K1E&YpKE@iZV8X2Dd-;dZ}Iw-V3XsH zSG=~@0N)D^N@?3A^4;Ustp~aIVa?C=BKwxiR}_mf=M(XN^I3XDsT9xg$m?=#`V*Z` zPe1YS_H+xouS@*n>t=sVzFh>3z}u4f=b@pTI`5+y?{v7UtB&0+>V>3=wV$8<Ru?P2 z-TJgn&+%-6#;NZIB!2(7clZ*|F8f`@uIRa>lKJ)VW2(?-ymz9)dfhZgc<(=4A<j1y zZo}@L?GLqf?lbfLl)C(Lsxw#ow9hXVXkRuuu<`u*Gg}td{9Zd7Bg945$Y-yc0*T2Y z)yKIzojwJ%?U}VN=4eIu-rtk1`Zk_wHF~nNcEQ8bvnBEuhJpiU=gFU+_K583`TP9$ z5j*=^yW0;Z|II~D*H5#q_1At*wGV2WGi%=;xyQK-ai=DPU!HzHeNXJ~CHk7qakto| z_U)PZ@mri4B$2$WsjTFRKlbl)ZPNVv4<C5Wdi=&3!@<!J_GRa%LL9uQ`J<TLL`Ya% zH@G)9-y=S#ZO;~dlbTBH$8YB-U%#H_x$n-Snw?6m`u&THy1p2KuJXMlw0vupGkPHZ zWWLt_c5@2Uxr&e9?)89##2>-OcYpK0^gcCV`nSe5{ru!TxyDa_-O@QZ-S*sc>7XA) z8A--RKc~I<-2L3=@B5iH+bntFmD;E2PdvO`zp&<&=lp$p=6+57{1X~~>r(g3Jqyi- zR>z|MhQm#MpRlL*GQ?!-Ir`g|e^~l6<I46M&&9u<Y(Dw6)&SkuKZdW5-ztW=nDcRN z_9RG9yieKlRo@#P6ylH9&Qt>j#hcQ7HK1(hsDAXD(YK#R%l7e^%@@YZ-mfF=ztx99 zv!UOy+Z<4H)Aso8UJ8r3Kbnu<W>5KjFwJvc&BdRe=8EiFV;AA*I^n3@eh$CDSDGfd z80B*Pv0odX2SWoQFJX`A0dL7qS-(EX9ot<n9bOzsOXh!9*(skh?a67o=ck3$M2n+6 zp8k`4baCbFtb#g&Z$Ej@Z7#~Va=NSqy;%CbsqT%1FVx+>J=^p5JOS4yH;-0?znuWj zC(nDf|D6me@Ag~A`*}P(?VP>t)cjRz8vcvExFw37zw^@m-Y{~5n)+KJ|NBa4^!@EA zzc(3P+}RzdnC(9iTtI)bj*pvgX!@HE|F|z4?$~(#{9z$B<0JF#Vq_8h8?5&Xyr4$s z9lQOH6H=jkn%-l+-}l!fXwGAo%zt|vk}`hJ(HCF-;i=)lEs16d8_(;{4(0y5;_7;g zpy1zFr!z<8_e7&7SH3(w+_PO0Qj*#os(4=)0XI0_@ZLW`NEOKX{IscNjpXn5?LD=1 ziL-R2S9#WRqgSl*sef-&?}1i;(GvN(AHao0P0z=?{qb;9*Y<3G4y~^bpRr#gk*KxW zx>Y~<|KAB4&+AJYw^m+hUEhu#cef+#%dSC7=${sk-`e{@vR_Tp$9=m3;bzAh-|I_; z1cm9j>AQn|7=63XFZuh=A(Lpckk|Kk&|O@A_}9j@QP2>UJ9gXH2V(4<a}~vN5pj32 zB6`&maHdwbi2rBYs-L}S<+g-Aso#H^A~X0Etnc%o8$3Vh?~RHUXi)4=-6LxWjk@xl z?Rj(IiFtqe9^O{_Xj`!rvp@+t>iOx1>0-sde-{1!x9QGrlVb_>J+*bsfB*ck|C9gp zSVzD4%y38x&Tjj2tLD$Tb*eF-<|9gFmU*q;^gT4Fb~b<9wnrCInY~Nd6P$;r4kV97 z-%tnVdzrU2m0n!&T}B460=IQx8UNQSjA}CST7PZ+DM&T>QwCmS*C*`x90o5?e!3sK z{k!cBKd7E*{+t>NDzbkoCeOcr@Q+Zm*={xTa_Y{}UmJgyLhHwB$^3_=(2%M;HhVuJ zX6+^K{bwjK^0%47gIMBOoijI8_V{_dx2GiLC>BqNeX(OF?{h1&jj0BF8q%R=)`@AK zg``a;JN6uvHktWMz&S-N%X7+x1t$Z23JeWhotGTRnBLL2V&jIwmrsfc9!PYR6s@(e znAg7V{<H7DKh1fkd-(bHdFj@k*XMn&zV`fc^}Y1_=jCr#745v}{_^Hs(eEDfp2^La zcY5dZ)6aBkK40>_y8f>F?JY5Rd0$UGKQDVW*5c*a@I7Crugu>oa&_vB=iRT;WRqs( zZl1m(yd-4)+{nK(w%2@_v)efC^_<oBEjFr8etLTSdb`BFvsbhGk~bQ<XCJyK*3tYu z(RI_mSO4GtO5R>kv~FQy_*UkR8g^?z7vhQuojc83X!YRrYWc~<y}i3x-#-WjUFyBg zvtm8Zk?2^xN)t0Xjf(m|Uv2%&lm9s$+hf5V&HVAy-&&6kW$&;4er<I8{5~C(eR~{# zeL8)E`R`6g``&kvZ$7)0A3i3zqQ1_o=A=y6zLdTT`<{HgI9ajw=Y|)@&mAwdS4#Ht zj<-{)@b1ryOF5JM^0eZ?-Ji3;7h69+aA<lpOa8;az5De(|NJ!R$n@==@o`&kW}i7$ z_)D`*<J-@q9qyUwH|pIm3=hB9ugm|s&gIygY5QtIK9t!nshnuIeyP5^@E-p<@CC?^ zWFmfiDm*y5KH&ZO(>43v_|)tS{<X<XGc;c6tMa#>i}qET#C)EV^J9|bnwpa`3u{ll zUaao?>l5e2{=@Yb|913P>efbz?O}c7dTh_MeKkQJo^8vBS4xhX!^|E3&-cT$|7*@S zpRU<=XU30Dog({k7Ws9(&3yCuXxaW_vzI5kqPurz_?O2A_U@9OXt;c-{(PZ5l~7}& zKIrXm`Yj~+8SL8kP21n||FYR}=K1M$N2ap}|M)cHBbQc_&bOaRJ6u<~zlfa48*kJ; zRez@P7X8ZFFDV}J?}Y!}*c}YLq}jM>yUhYfFns5}$8Eo|wzH?QkWZ)P=b8t)|NOwg zu*c}*(>YTY@6=whu|8zluDN~tKD^*d$!0H$nSyTiKj$yW*Y85z{9m);_b*d@qi0*c zJS}e8UfB%3UEXrTho}2l@}I|6)yF>joLc_q^f!@xBKPg0pZ?&xc*O3$^%mdKkGXAD zo1j-fw|rAw-#?G%<>}`~<v(1De+h{{8L>S_<Fg=%gSlyY?OcS}tof&9!Dc@#?bJ74 z_TlNq(u-5_<&D1my!Y?imHY2=qUNJJd!NFujh~94H=pZs-SdM6wdaStsKxLQ+4Mm6 z-a>E;>ZtA8W7evlekDHFufyWoPqi(QrT-p%>%I9wwK(GEBul5?ldik_w|#l4y-1$D zeibMyMg95|dhoW>o)a^!Uz)Dmw0-#kxViENtv?9Y%EdhU{Is`IKi}`+>Bq13IP<s4 z|E_7DWxuTEbUH>@Tz9dbR<{Id?*69oAMK!Q8TISaf(Li+t%IAq>%rT-q2M@t<6Bdi z&J`~wAH1+Ct+Uqd{E3TkxAs{|?@Qs+jyH18i2wBK#mNc#YMj3$e?JS2KkcUS2ka1Y zjnw}+t^S__z5>2D;o)h|rtPnPLjqa1Q@?!qhg7>;WwNrMRJXr2YtQd}6VQX$Pwa2R zk9qIhQ_f_*JgwQZ{rhf+$wjLk$Xa>BlJh3V58LY8!7kQO-B+Uss<!LZHlAPJBJ^|e z#PunMr=LlD>ivx4t#_Qft>mTYy^G{Oo4<l2i8*Q&yZ0@Hq~+Nx?@zphmlwx5?w#U= zxc0nI{5hL^lX6q5lfN$~-#ve(|F_`pn!@|Gws#k;#fZL=i~Ua*LEW6pny>v)DLKwN z{+z&`v!A!>Pc+m&*VwAxFTAJu{)OiUQ|;ovsqTx>s$ID{{msoO8`nSjqx9|PqdnRG zR+`lsqX)E2+?V9|eyGuV9;}U74sm!o*S&8y;SN{lxOZtQ#Nn}>`q!61uQIQx+kB+5 z^!E16=vkz^vrebZ6PmR<o60{(o$c<a)UCDj{*ZSn8&(wUi~dkn{`zm}%=6QkJN4K5 zJv`lJvAQ&8)s5%U(qA}!Ec(9;y@V^;_Tu=t)zETzo=SyxIJ8_&J9zst_)>RJkx{wn zfo{&l->;44>%aG{*{R#AFRlFk=8fmwf4;5!`0f5A^dxak@b8UJme5>R%$ol_9a>n} z9Nb+y37(;A4$j{11Wpn%J~frqT=8K@rE#$)M##E;dHi8{38W6$#&M4c5@~t@d;YHH zwY(eh;W(`53^^G6uf>iZRE<nLGX47!Sm;{pc0csI?>0v0UUsqP%eeq4<|}tSI4cY( z+9aFGU%0|^hB0gY{ZvSKT=eMlUQm<vxAQT(`x75{p1JY48$Dz{*)Ec=z78$aVl*mF zXG6n4>7ezeIq)z@IvBkt1RMr6Y9CYI{keGO>)DI{xA$MO{k1c$JmL6UjHDa6NPhRV z7m%D$v;M)`=(UgpHl5?%@9&xLq~QOdEN;#B>#26}eCqpbTz_pkc3xY+{@jMR?2?#w zH=awE|2T1I;eCvJ=k030O$-*sT=yQaLNoKs2etv%_PK!C0b=`XqCad~a|xUt;(cp& zdi~nuR^F8#|5)^Et>w3$uYSMt>Dl-4JBG`PKC<t*v<y<V6}3Kis{$$IzjNQ4S-l08 z>&^@AIsE*^=Lbgf^|d?o?dKkvZmbp-S^E9ejpwU(OBYETcw2v_O@HR$Q`64~-kQG0 zuF7bMzP-Y)!h)I5JZA<kjNdku-_(Q0Uoq?Z13{3&c*`q$uDQ`~m#&3JV&aSC@9JJp zW5+0ur!JEB?uO+L^@?_JX#V)j`u>+aJhayd?b*xy_1~^D&rjDpIvu@KKl{($)Hm<> zC4bkHs_#9_aL*2-LXA`SwehzJG>@<zv@Tfn4BWWb6YwFfxF4QAT${FMH{Oe%{<Ees z=+WujOZBtAgzP)^HA3&WUA)eB&9gG!r(z^${;oQmGgl$W`KRK++t%)%!NpsgPDTE& zwQ!@e4&JWX1UCBSq#s2Zuo8LtG2@MU(o*6vS}ks0lIx~H1KFD;|9U4RX>4--aPMO_ zJec{p@15d=lx&BNOkeL2pZD)oP<;NefBz<IJpX+6@{MuPUuw|J{wa8||EVOjcG4H! zv-SwMblVyB;okk_uu5;I-v>Uw>)`Tuo7%oPTeD2c|3<$4yC}K7{=|*v-B)|}?KImv z2fZw+3;B{9-wbuO-@)jat039sI`=(hYj~=&SF7+2{sNA`ZAYe`UaDWq8nRdHHz<S0 z_uiIz`ZSjvBbZOS*o)o2@$}V(ro;W924dyT2eLJ>kf6>J*khc38J1uE?0E3jZUs0q ziV4N9^M81nO=g{k{c*c^+k4yoGhTJpdeno|H_0uS6Drx}tf&}LFjYRN?N!;a{$qt+ z5{?NDcLMCCz8-&c{KmEZywzKJn|Q?<lU8;*C^{HE-OM^AS2WWha~0ECCEv9P${|Xu zISy$}R?P?Hm)T!2`j>Fm`M&hL=kv->M&FwE{7q)Ln#u2bv**0Fe1Ec**XM<q$)26Z zmmOZdQa@+CU3LD~<WKC<Qd(D!Ctf{&_jp~M&xHHycmHa!d=|dmvhTZe@fJ0i<&}>f z)LK|Z{(sC~_V;?;$CkEZ$Mp9s-Iei4<K4Z7eU7Z>CmN<N{KZth-|l(mWybPwdo>di z)3YLbp8f|l?|yf_x^8u^bza44Z`J-~%Oab;FR^aB`VZ8oZeBXC;_1J0VtZQuzxZ1^ zQ~JS@lfm(G-`@v0rRP~&oA9b|vAJ8-%O7U9zquN|?_dA2>-N2$Hs5&O{b|`%iL8<& z2}t9oJs;Hg@tnW!&!QK{|L8&UTD;Jnxyg{E`{{Jk_c^oS75;vuisJv5e!t#i5-%nk zzwX3?)5_T;!8+5E=j%`VJteiz^LQJyUj6}Yx_)_i+H#TnaW`o3FpVX@u>#!hc+Pc? zd);+d?9WlJaF4t4{9tP4zBx00e7eW8Z_PfFSMF~<yVicr*zxW0VQAa32Hdv%_2p@} zt3BVJP-ta;oh82yV(NL(J=*Ip!A;e#`0f6svLJGnzIUfSyYY`t8n2ezn0L%BKIi-E z-e-|UsyvYH!Qt(o?!glOcs+r?H-687=7iR!@+XmyHpETE3iA?pQC1iGp^W$S-_pog z`r>N)Y7Cq8@2{B8m)c&YutfI7Y$<5b`|viX=)JUDf4}0djbElf4gJiL|DO+HXyv{K zZ+C{kT7RErH*Np1<X-&rr9U?re|#z^e{=HgbF07pwi9;NI>LNF=ywf!!s({J(+;a+ zgs|%(`RMJ?zTF#<J+b}SkXnPACI5aBywu6(y!R)$sy=qwv(w%}@%yZs^^b1n-=_YZ zRp;B!Z>jmO-e%AC=Y_U0KY-hqFE3B;KFVM5R1lgh#JTP%K^hcw(I5Ec!AqUWi4SCN zuR7m+`clo!sXvOU*y814^Ox?NzGvh4?bB}uUe~w(yT$<0+vU3t?(N#uR&IQ8d|^8@ zS;Q$-e1;ZhE+6{lt%en6l`9{}R(Q;3pT6|xr&mX&e>VE@X~wR+3m+dQ&(HrO+wojf zR-PN(#rFmOM$`pBQ%2B1>u(LvO2g-a+4+mGq!FW5QT_fpI30ge+xO<&gVWoO1utJY z`G{Tos|yq3V*b8Fcks{Hi~V!|Ld)OXEbk9gL1OWyZbfuj7OYKC=l@~ayUSp6*Ll@M zo_laQX35>IjY;$Qi{*Ig`RdHjQ(T<huZ<U$Ln{1FTnBIO_Jfp;aheskk({en5&ixe z*w|^p@p<PSoQ~RWF75sQ7`TQzEu(*)4?P^hJL}G@h1PJDTOa7Iy$I=k**0x|JQ?1| zzRq#))LclSDDBjrfBu2@*Xf?=nrp49ecyb(dh_iK^*hrl6QO<nec(PnXh!E^zw&ly zyKRr(hiyWT#vs?h+s3i*^jvk&dW#o0?l#$feEQ$$$EOdqSsOm=xA^w+(0=CM{RLs} z7=_Tj7svleLlea}u6w6=A%#%U(g$y?gW)E#9gL1!`TcroCTKLFDCld#jytAdYyaz2 z9{IO=v%>!1H=kK|zGUZEwcHpzwC`#B+W6WEnw-r!?=6J2IL|hfe-MFZm1vgy=cbTQ zX*@E0xmUcNpZ>;UUrt|)>8;(Dkr&eDXcjAjp3U<`|3>Tyf+jqzgVFn(ATha4Y|rA$ z@LDuqcu(u;um5)GoSlAKD84T4*Csc4)2ln=PCvczy!)wL>dfSlNc3RY6Z<8(4%T+F zX32k^3JI1^>`mJ%SHT-A{H*zTE5QXwk;ccTk9hX={Qaw#JYT;%J*o2SlBXE4=y$O{ zSsWURI^3|n!aBh{y1{VgUgx?uk^3vS2rXCJ7c=wV^sCd|{&v*vTahovdwri0dI10P z`11IG5;TDA#r9Z21{3ypf7m9!9+s_t3Lm^(zt4_e&-?RJk4LA!O4dk%n-TJws;`!N z=W(GY<?`;jH?b=qd8BCW1KCLEpv`ZV{No$pN%=d+y>&k!kyfv=@6Nn~(*wodEPS}= zR^z_ghtcbgy^G|%i=heaU&x1V(@h{r_&iJg?TZK_IqyA^*}EU)&WJ~+>(gMJq(IKX zIf-r8F$&_Zi{zuzVFj`Hp4iP$Q`rt$Z%2%=J#R9%4}QP?^rf1e)*qh+=2jPlJ8%8> z-}~d+<C&{}^(4<<Z}<Mx?8SNe)G<QW=}$wr-C{^ce45_0-2;-RzjNPv7hYy{H{`?R zZs-VB!vk6ESDznjGKmipir==S_x<S`_d&xz1!eqg_Wt(;&{MCx$X|;un$U=oX3d`m zsZh;1@0sp~*Z%dY73{YlsiA+(ze%S#uQJV@cyRi0m$)q(&x`+j8~nI5hX+0O_KW?E z__qRD{k5~azfl4yIDbkX+?_fdo_Y^AZU3GF4(n9Ck58Lmsn?%V^^^K)^LF%B#o0yj z*7j>4AyMS;fp5-ANSk##$35{lcnjdq+6TIG7lMll8@-QDKk@84<9cr+)UCXB7*+N5 zt~#9>4`?2K&yxRM6PnWm58nPz4lf_vS@U0?ge0|^N2hli{n&KvJ>$wns~)RwJRg31 zd)oSo@%QA=L%3f5mti?<Kqa?nyNNHPeBk4{r+FSeKJm}-LzvwHu#4x+`cag9d)^(< z%ZaA{_I-uK-<`d-5pufz7~yf;#lCNkKh))qo3>Ad#NT%Ad)w0Cx%t0FMf<(QkcxWN zk58>;4^K-il>+BINE1G?o)bO#{5$I2oQI}q8KFH>%b~qHd(L}1{oy0LcA6EZ-(LY& zQ1hn!_%sz3efnO8*CbXw&qptOirQZsU*`#}P3H^kaXt<;b~$VQcQbfEr?ccgxBdby zEnAOFpL|~K|9yRFlm@4-ek*#?u<x$Z*%u293crKVb+aKY02#48*@!V|JM9W?OK^I7 z+H+)jddi2?;Ax9iJ-&X#E?)Njti5jXcSX@-aK7;08S}yA(7XKjfAR`9Biark_epZ5 zrb`~@XZ5<t#$MWIHRI^Ulc!Ty&6a*{-E7WQ+_R`B&^+zv^bM^UQ(ccQ^E6bGj!atB znfLd>HU8U5H>Z^(^;p<X`FZf6c()XLr}W%Y5>{z99j+UGt;nnR_hi?<JMQzAecAV} zc)4%Xi_iA9-{0?=zx#juE!{az2Y;LKh2M?e7IyD7*VnK0R^s8@t$io=>+d#?aV&dr zf+@!8<8$7r{nNwlnd|9^+<AQW^W3UW!u=N7@;3gF@&6Y+xcjwxZ{E+~Jtt;-@8arv z{5MS@Zs&e|&U;DC_u{8p{%bXUKIg`U<f;32f4_FJ^-#~LsoS#sp6PwbIo7#;X33-E zUGhQm_3v7j|4Qj~-sK(^BKK&YetO@#@2PWDZbtoVvD_E3^O?mn$zqdq8E>Y-4|WUG zr}w`5wS3i@bq0lx>Mr&-mx9tky5{ZWri~V<^Z7aM2|-$!`*bSe?=6Ja8tDhE10Z9K z>rU7F<b8Qs|9sK?OUw6~&M8w}%f0ga^lNv2{lC0M<2W=yzz5IwchtS9eqO$g&;ReA zW>7cuXZXQr=*S9l)AkQr;DwNO)AsMV-~o`->Sw3rJ>tVwE;{8rxz4+G-;#Ve-t9~O z6_p-+_1yc}hEJ-+aPylN$?xuiR-`qdANXXhLW<>fmiKGa5t&b|!utM|-=Gmf^R#_; zCLW%?s^OTO<n6|e@`T@0EFkUUKKN`<`NjUX>!JCs#`nWFxoc2ES>In+46mWqbKkpm z9nvZ9J2Sm{iN5*o?hi8mpQfx^W*2W{u611D_ql#(mWB@;{}=dsqXyPQUhv>;jVq)i zk`vt1dlznWKF7Uf%#cwE+nM_8OZ8WOnON~ltAEAY(<{%1-!4zD_`+-a7+SHy2mNP1 zlHRi?9-8?A58n2IxcWFt{^{@VdgQ-m1$#WGyJr_)mbPzB)!UPIwqDwyWgkD!e9x&> zJKdMt#h<-9L6BklnLZ4+3;n&ZKOAa)bkp`uNIBQel7G7y;dJhM`|MwV2gu}4)a-Ql zwaLrhcV&_7)YB`^Pd`<A%J}%)&loN*yx4DB4IS3or(D7Q8#)-dpY{E;_wa)5pZkZh zzhU6f$Tz2Ib{e(oFMp=FN~+H1)#s}p)=HXHeP4tTmGKw*W%nbd$ZB^(Oip$D)3ok) zG~CsXS@M5>h6MQGnfm6Q@%J`A%!_vvtrZvEHSJdo=iODteVcZx@<IBn@F}fpopm~Y zy`Ujdaq#xnIgsLRjzUFw9lSYSwCF+Zy`|vsm2WBgY65<3@{;adjT|HaniwW`*XjI& zwP;li-u|}+Vsehap5OZx!SbbL<cDeHufQYf_UCGL+P3Sz{v;C0Yp?e8XV#BvJkquA zKB0$5W#5bAjq_hY254=<KbS#BlPjCbGZw=$qsYPA{~=RDsrk=N$3Oq@^he_Mu-sb5 zSD&w5yDK4?dwUhS!Iq(4lHXqj6(`G=>UTG7|1$;R;yG#+(&q3u+~@t_n+>P~VHf|; z=JV4=nSFB%HgR?TTOB-K|Ce-u7~}S}z3A!gz1ZIyKU<)!nC~3-{vC%z;?ti^+n*vT ztnXa+`i?{L<GwS~ORvv86Pp_P`+bG*`K0+re}t?&FaCI5zu4t-UkjmqD)>Aq)5ZR^ z{m>wpqgPQa2ko!*Hf_)Cg@?&+mi(9QkTmjnrhfX<52=4MrberrpZ%+5VotF@k8^wn zy3578>T;?gpy`9>;O&nSpkbm}v3<=ISciCz#|N=`$oSj0^nEe24o_dX-!A3VXI8;b zm2Q)~E_74hi~qH_u@ut&+T{6RT8Fsg=cr#r(;mEyTLw!RKN$~Ne~1Mar%&&lnf|)? zhtb#T?LM{pJVL}+l8-(^k3G<sz`k5)?4@(V1{>m(D$4b)!W|m&;oH6S;F(C76E&6b zeDPs&v%W;X`m72XD$@Oa488Q{@2Y$AYa2A?ESt&`Rzv--UGZHPJ|Hkhy~5fWGCUn_ z9AEbQgKFrd8_Sce1>W-I+?Q%c8%=-SU6->T*4j#K+WtKb+NM=J`1_0!y!pb~w7s+& zJbY|9<L9Sz%bJ<LUOO+di{DZt&AOqM{|LHMPrKTi-3Ko|i2AiD@<Ul3w9MybeLwFf zyqxG}$-fLO^S2wt|5I$&KWhKqnu|C6|CE*I)At{K$RV}s5qi0tbg}=bFEnV^3&2K? zE0;d7HHwCJtPeMpf6xQRSE}Xbr_z_EC$F!UTzBJmnAB9+j7kmk@}bV<OY(nlXfH}n zaE~u!4Ckg&#qwCh#MsmaZ-4Ir&omVUJ)J(mSgSX5&%cy+r&izEA3gyb9`{#tXkJp^ z`*|XIg7_JDvEQ~FI+62d#sk@y^^jJ+y<UZQ9AXlg^Wg2$-QaMJJX5n%p<REu+pXSo zZtbr>&t0>-dgybBy&QTBPVcIFvjaMSnCkiA+gfOy9nO94Dq=z_n<f9ZE+o5sIWyh- z>4(&fVqHZnJuA<fH?3W{Q~&PY5E;loDts1sPuQ2_l~9A9mNsqAo(f5Bd*VLSZFPhN zb<xZRx%(o))kw~%n#yp#_^{u$xo4+liaxmVe6>;8r0MNt7|BE0#a=8N8X7Tr6}P8D z$N5B?zE?knw=?oZ_B>66xOvW*>EEA!NPV@Qf99_m&#sN90*dEjlx*`w{#q1lh31dh zEcwQe#@9NLJ?CZW558R1lkcB$rW-WzJ)1TEKV*6+wfNcT<3&G;Y})+4oWJti`^&b< z<5|^u=m}(<(BB)?Q=vwO9gNO{mT9Y5-_Jv|Vde|%vGs<OnW{7O%b$LD%93xqwAk?L z&r3Ul)90n!eut4RGB5Veg-toF6WsH+8`{y<ZTfy95uW|FHf>K{2uT*FYJLXs#rxS5 z`(FK`95jFZvix%f56iz{G!>&=?YG6j=HKQ%csmoC;BK?L--2jg%op6Vv=S1;pUzA_ zUi@QI-Hf@R+5G;s`=WNsD?haT;)Ibbe7_`LR)uE3eDOW9o1yhb)xq5b4zOhLiSJ<a zo(M>?I9p>`d-LR-(vYqH{Y@a{=ENV%ukG7bSdVV_pEWOzADjs_{5ESov{7WQUJ?Et zKErZHp@Llx(&$=ux~4LfFMip-Ula27{bzjNXIj1hsUuUHGdET87=Lrw)6WvTjLaJ! z^Kbfje9b{uj{xrpo`I9Cel#D=;M%d#FG2RjHb1rHR*i>dJWW27kn}p_+RuZG6LYy9 z`$(=a+ITy~z-|k3%o?}L61+NU6*m0U`c?PE&uhQm^mga{&l@IBnYZtH<ox@8_xP`m z7vowUVDYCTk^R=U8js$KVZUE}xpjPHx(L_RTaP<#6@M?BATRvn`|Fjp2W2*AUza(~ z-fI~p)Mw0G_`$A5C9?k4!r1?7qiq&mxKMDjaI5QN_oqkRzLN;~XlnE<XYJktch`3_ z6<R%*ck6hIQ}W~KEcp{FCK|q9o>hPC<2lYF(a~C!CT8b^_I%!MzxtavXl+r9bVvBR z_c;fn>*m|>>lHKC{J3&5|J?WYw~D`CEc97e;Pv)Y&&%IM;`>TZ+^c!|!@f_hUg{}i zc4aBg)xY0seoV4VyIv7Hzx5kZKjE~i{kORbAa!O@-ve38I7qv@jO$+XeE68f9PJA4 z<1fMOsbZn{bEhAio|0u%^Ya7WlRS2||5N$VntyeXUy_fzKnHHZIqnrLhL$%$p!x$| zYkGayW)7MuIzK(OQ-6Buht#)A1MQRM>%W@qe)#P#e>=49ho%3QWN$}Eqak(r1KrT= zJAbWvG(#D@1Vnt#UTJt+e!bY9v;RS>-RA3ytL}@LeQ^5mM`^CVEWiC!+M9cC<194` z<sSPr|Nf#a4l-RNzq%S)b=0|j*amF@hO@qZU<J>>tWD)_Od<7==EtXEFHdJ5t9G*! zz8@FY_pI{GXV>DzMm$B=F8)NX?3_L_+x_vs+kaxl<xA7M;YC^*_q~Oi;7zHdgVB<g z!BeI8X8b7X|B~t@FFU`;cG~HU=fzLgo-%TOUi}HZf?nTQ_vZg>Xn~f^`u;{dG#3jU zw6<CSZ}*fQyluV;($-epXR~SBMZNOaDc^o?R8P@dWmN|nS^v29X8xC{>QB*|Ro6S~ z-c&(rk5tbOVR6tB)3#}Q=2p1V%~|q~pN5n_v7P$<llRTBKK(ah|J%Tt+f#Sw9kGj# zDS!Rn-}p--C>^4#)|<UZK05vqWZrvc$cJxxS3oM%{i+qq*T9qDp12R&_J9VV?Bc(v z@5`CG&u8VLE&I!t?b-7VG^u{~?gVCrd(~CwiKepo#qmde&|o*`y4RNuDV9^$Kgf-S z_v0%kKUn+j0=OT1U1i@NC${)i|0_>2mPE$=&v^6sY3Y4_*6UMNV#Mj$Me^?Ru0dVy z^I@9+WWZLpX?yWbc)%1LwB8dspMCnznn=Hzoe{r`o_<g6w-GryZSi}_-!+!EUOD#} z6-S}F`)BUO{-^5D#Q2T#-Ya3~s9)H@+trALd$~>9>o<d|lbv=SpU%BBefiJVZ#4ct z%~*HLF5bjk>#)M!^ylaiy1lzjCluPNyr)>vE`J%)A=YMjpWq2E_N|+?XD<dD9qC!~ za{?$Wnl6dye_HhB^U<RDo6c-}cn2dY{Vw*um4=zDTJifjv^6lbseA>ZNtbu<_AWng zg>q-okD_R{c(XK=G<cC4Bav6T*qhmdhq<DD6-|Bcw#orgOx1;d=!;tmOXQX7ALvel zG?*VAng0L8gVUYA??!$7%APcT{l0g{8ZXYXQI<z*8~vI0;`qj`(0b}Od`%f2$Gv6R z@b=4o{R;PMkku{oy=yAZ{QO;XJ;+^W-j)m3!+iI33&wWW?(4|AYTb9q%oyE*7~NkR zH$_2;t*88ua(?|%{d$dx<!9ij`_IG&y8I9e+=b%(rtS0j`S%87boE}Kk>K71yD=QT z$Nx+6eJyCp&SrUk!WNQ3iUK}ttA&s37p;Audv`53-~Utn__XrUbiuFB9tPf<Yi&?b ziIM1%FZRo7L*wtC%ZF+2FF;a;z386Fdhm5be`Y?&-5(2%KpF3v%9USIPu<tg*6;l# z_`4?XzKvAg^-zqg@^_JZ^fc(ukq-C0OS%xpequaWeeO9toaIIKoIMR`c3l^apSDDQ zWi@PmV@Ln{w)4K2DPw+j-I}=dkP7UR;=$W~5Et(k*fTu_(Hh_IKsFjQ8(gz*joQ9F z=Ae1z{!K;6^Yu^7o@R6<(i<bel|Evx`2m}id(8@Kf#wP9v3(AoS^XFEA#55X*3_^4 z3o7+mTP%0-0d!U)=!($Or{|Vpgv5Fm`)~Tt;_he11KDV3aVIajhdCWS=AI|Ar<NNM z5=oCvKR5ni^zD0lPwhU9qce=IoSOX^y%sp`VqbRlI;1Fy6x=ga57PPD>G>gV7NYZ; zeekxSKRDuSG(SFlEm;%k^Kuz%QPOD{=j|AU|9-*0H>_Y|TaTNzuMdV~ygaczyO+ZQ z!cMUw`Wd7uKijGApL2WqZauGR`4d}SNB&wZ7bpC?=4$~zpV;GjQ!#>L@*?@}>Cl17 zJ4zMSdeBafbJKQ%NO)niy=nU`(42`~yq{l9r5js3WMNYIhL{lk`{}0~FjDfA7sn^U zrU>q6RYXI^0zQ>Dm0wbU*X7Gu-+%Cd)aBlfPM5trJ>~yDXgF7WxN|UR&Q3M--27+n zi{qbMp~XczYyMX!Xh8dZnD@C3Zt~lv?e%To!m~2%(P{0a`oUh>kO-UtT9$P0H%0`? zE|T}251kwT$$rrKk0iw4pFI!uKG_TJXj`-9UtbO>;;tQ;Zl3xf)p^QUE4z?4pL_kK z{%_{x$0+U3ch%+0UkA+~mIrUw&x16^?x<E&_rZrSYT`a@s|$i84f~HzYb9%LN>rXb zW*7ftyMM3wuMCU;ZhCS2nLo7SR}=W5tTqOcF!rfbu=gR#wZ#u~>wLh*=J?nAtYC}x z3+WZPFTU}-_<dRL`7fTF#YhnIUF`d6L!jkl$Aer|$ik7kP34!Rt>@;g`oZZ6S<YA! z`JvAT(prj}^P_0{m()}5f14!!0I$$mvi+=e*zIc=HI(SZ{;6WnLUTQQ?a05#5AW)H zVX3XC|ADUmRd6$`Ol4n<^RG*{9a^0r>jE{mo1Qv-*BVq_aJ)VJpD|F>_uLYsehPoi z+)&A5`gd=YN<Zck(7e6HM@D<WJ+36}7hKI7`PAn6M6f>OGO}WM+a#40@up?P;S4Jw zWmC7=84W+T|JW}z*~^E;^j4?hlp_I7P0~$wRwaiV-*azs?fIJf>i2o&@0Q&w?%#Xz z?zP`{?tQL4U;gXQruw}*WAwIfEqY(QCE(}Bdh_oWH=Wx1_D#&Xn4Pl0Kez6de;6$r z*MHr9xzLWU;j(wX^7n>JU%meS>5U6cK4pt4+qLm@1&d^HnaZ5kwuM#?)=sUCUAHiC z`h&R<>nbN2zE|bU?^nNfs_5j}g^AlAob|O^2I-94dDt2L?)~Pb?f*;m?$;}3-l7*- zm$1)vYW(i%^M7LU3ZG7N&E|WiXH#;5&!+fU`%Kvtdu4BYZ29J#p2~9lym#Nb>rWN! zcgWt3+G%|J*s0{c$2`fPWjM7C{=dFFjd!&dn+_dztnvH6Hy^t8Z@&1R$LHZ?&OhG| zWu}l7Pu0Tl+fqL~-E-=C<(cg_o_GJe^)ctMKgJ}SxQo4*7__L-;k@@R7}6Acy5zy! zswi0Ge|q1veWxdQD!j7#(P?etADcd1S&_f-y!h9niyumrW6W~achu?3hxVX8&2QS? z4H^Ca&T(&<J-j&Ir%~YzU9<38IKD3A*C#Wr`A?ibetPM@;-h=={PoB5&#yj|k2!I; z_YrqZ&OT`CemcC{;KzCI+i`fc`Cqld{W)Z2z0U08Q`<|^LuX|=PCcGnY4Po6(oVm~ zXE(N(^FTUj@O5SXqQ5-8kOR#MzghA#r$Nevn#d1j{}F>i`ya@zfGi&3Q`skT?!oED zn?NJ!>fe55{kXIz>&wBviO^wV_);AEMe^0(`*&LDJWfyqZ5*1$`u@dfNPTalUU&H7 z_YLsIv0>Bp9iR&7QcY$4qto}(KcvdFYhRk5JYWCP>1kHils89TeH`}RZki>i#{jcn zli!!*<8e@zZ)SOapc`U%(Y6P&f0n|`PTl)J_svD{^e><4z8bw|{pA1PtqUwdKiPvn zqc6WmZGLgQ2DVgR=3sP<Bcwbt<GMF-K75(oIng~&pF@_)@T=_0+4CjgUDlRa=2z<V zx;Nw}&(}ZX9ag$znlQTIPi-#t3-5+Dtj>w=f$m7M3H#9ZA3o4h)c8QQ+6}xqsmS8v z(@i}4=7esY`-mfHzJA~8DY;$mTroo0;$r_{e`tz6!+q~l3nU6-G%A+wTMKU$?|C3w z1uEJv)%?7CWV*KTkD{_|t5)4iKWZ0$Hf}~{Lw+>Iq62?{zc>EFrWS-*-{05)F}bGq zLGCVpcwSLCc>DJb$nqYOkEw>u`mdK?jNbZ1bL07N@9U2WBHg17LHoh*Rg&4R_GPxP z9XKfmt-rWK>baXL6}R`pTPl@Z58mDYO^0OetFid_bQ;gT9E&?b+<!hN&)5I()_zgN zZf^9zD4O`<__O=az=+YQsIFfP=|@iIxc9CaJ~j}qUh#Q9Bw6&S?)y{37JtriQLos& z?xS|`71niMI%eI%aPw<d`)PHsiG|1yWzc@!%BJo4yJ5-gY0yFIj}ssZh#q(9*H1b) zz1MyHy{3w%H=c7RO}2F`S;qnGh<$*qWXu=%Yw?R4nvj=s-m`%WbM6cLz;_QGeLH<V z@coa0BnzdFPv;x`C|b9C-R3B@Z$H<3yP9-&UnqJ=d@8xv&ukCtm&SbX`{Myg%iB2a zO|*uG#Cd@|f9)abBi?z{SZdZrKK;Em`pdmDvy80`=E~mKh_Ntmp60KOKWw4yP3OG# z@O>7fayI9@_cj_{9NpKc*u8Htc)f^@>b{tQn+NZd25)^IZjf(vG}L*%@b4Pa5=}no z(wbTcNN21aw&J&@`^E7?%+S^qchmO&b<o72RU!Xp2Rx{wS@S>FLe{!W7mA;!S{wQF z`}C>H-D<mQ_pQ*E<Go(ti*B+_^q1sH*eV)Jcqx5ft)jfn1)iQ`4o3f41&+g1%a2c` zUV6WhtI^mOYbV$B>N#jTXVTt725-$<pp9?%a^mgXbu!XdAdT;vY8A6P!4prLVn6tO zLrm~Ff9R|8ghZpCt5CQ0-OG~?PJi??e&O0I<G$K`xAtgVUVN{l7{lTIHI4jtVe6}E z4o2SsRm|&`>fhI_5RXHwU(-8iZ2%e`$=r8FeczuFHfRgk-1hU8XX(6o7;7=LUF^Tr z`9YdlsqGJB_eMj~NSs1N^m=$#Z%@DnvF$Ix%ZmN|YJT?e>^pNktu$>}U+um#(t-zt z<#*bm*DLEp{@y5@4z01eS>7L*3n`sHB^}(Iw-+9VS_h+lOaT`in=C&*b(XA&e0%?_ zTflmDw~pF<5$l4dSuV4aL|^TqFZg%H{Y8+rY|+*Sy7v}BvbZ(t`)6C>ZRBj0{GY;* z<ngpqfBqR*k8D$g+O+3eYShqO9H;fG5VT}uqT%wT`uf7K(m6)EV*7e{OSWeF1KHia z;P~4#;YU$CEP%zkxi_D=__q~(ZEoex7snsMcDKF}+VeCTIxJ^(@b?2Qct_zo$GwHo zkkv%Fo%*w{$(@N65B*(y64LhvyEx}J#>)6TPG6G$!=}!zbKl$83k~DFP37sc;bA<r zY5RA#z5DgNKc~7sI$gX}e|63Nre6`Kww#jLcs@M!jfTA3PcQTYx5w*Ca(EylVLW9! zXk9WFQuMzO+cSABJdEE9?~%R!3Y;*))%Wdj`nAcc*({{eW?DOF+5PJ&seO0j`O(X} z@b0>tchGXFviHH;yU<0G_w_5p=fQWnR<3<;HXgJrAamavubP{)4^H2>-#+Ed=hTlr zI_EQgg<&|@?@My#Txfz@^x&-mq)g)HxOWY)#&x~;9^vV)!HW;`JZgUagf9jY6HlAD z`15a!GL-FN|Ke<Dja?V;;Ta@Pe{wsxJFgQSz-|Yv_e4R`#Ec)GW?z~f`VZED)S1`$ z)Us9?!(6qC{mk01aXpTEo!ro5p>}ZhPejiYG_A!7Ny77vOy56kU(ZsL^k+Zz-))p# zjA5knBKhv;(6N<0t{?d3FNfrce9=8~D<LUu!qw^jS-*xG%{YbBSE<dJ8!CJJ{j%3x zFS3#ZCh_ie;ry!G>UhY&u+{#;KgXSF972s#I9uEjQ=MbkPw8q!yDrespPhHXGA`_% zj?xa_i+l&yE_!2iH$rs7;g3Pbm-w0R{o7!dUcK+>_utQUetY@i-1|F|O<$J1|9P+a z{PQ`_-~8rWaywW<=E8N6J*RD7`0QIQmX)Pf&F{wD`sn1-!0)Ys(|0~Ue%7k&{)PRM zj=$Ue_ipQ<Gbt(0U;Zu@@2mZ>FMQwE)4T6gNjzPA<GT1$*VP+V9PB;5a*1VSna?NN z>~sH$-<_*iTD<O_#YXkur>CtatDh+>G<s%XmviRgjG{SDI-9>gY$^G7neW}7<mnYz ziMO`=TqG~;4=%T72c0tp^?%Mix&5_r_XFLzpp^N3dC)y?n~!Rjm&Ti#PUpV2?N%;) zSABTpqp81lJAAly9<;&o`1yS?Q+^eBo&K@UMkZSL<@=56K@HfQYi^xIimlI!)oXth zEqrnOVn4K*vd8Iz7&Kv>ZrYyR1y5MvEbmW%w~0*C_g<<0I{Mi6)K8aI>`#i1zari* zW?mkRlnMU40PU4o_2T#^Lukz@&Uvp2vdH<3z@EMNd8NA~f4}#J&X^u;+Wx=!m(32H z)6>0!<LfrL{wVsk^z(|kZ?>ZH^F_n|M!b2w`WLrJBkLAhaYXrRV-Gq=YrRPQfoeNg zXGiOxb<q|`;qYhH1KA4r!k$mBo3>X^yB9w__2(w*uTOXC9-1y%mFd>{F`_LwK3?a# z=6S1W?~xYe&XWh7rUfb;?4e70QawL>+aC|9k;=I4Jwz-4yUua%nlh-sJU#t%P`qBA z<o)TTm0hd4;)Q?zTJ__Sk3?-kI7Z;Ky*R$?JG4jnXW4_d>!2gv_l5Rs)rWV|;<PKI z(_j28jhv=m9TcyZEqQ->vVD%(!*8ql%#ZBb_i6{%Wapjb{^+L1DE->_&H&mf@@CD? zUJD76H$r=Oo#6%MeX%`@!Rz;*o;F>nFP|y6Z%@I!KWnZ9+3U>z+N34lU0WMkSE<%= z|AQO41$+FyJiZ_Twcx(so?ghBhRVGUa^(<<WgI_Db2qoP?OT)*Gwtc=eOIPON44mG zRQvT;_KVH7<r~-QZ+rdq|FvSjhZUmHfB!<33;y_~dcGfY(3Z5T{kOd<Ar<SU=nsAS zpcQMr(4JgG!*0KJMfEpOp+8Ok`m%jBYh8a7EnGEgqxyT9YLVy7H?E664?P_hdi@Jh zUHJ3jWV_m*wio-CUWXQX_jD>w|GxqmrQObPZ{2cuyD?vM&)aH9)c#$m&u^bx6YqC= z-Led~<oI|KajoM{*Ulm}p&^N8duN>vtV-GG^Wobb=vcvY?tAwT>m2v%SIjPZ365Fw zrTcOw>|1le-Bk2gvSeRv?Z%kpHm@b@khcxgeOclk|Igt|@?URgjtb|v_e~sHQhOb= z{xAccQ{Ogi&sz>j>T`a5GI@Er`}z5Eua>-wY!>W2x^G{H-qju6makr*2T5i7i{lfY zLxbcS$2~PjevMJBXqSf%%KcgRK(;DuKKu04pGDQLPV0Nbhh;3j#r1e2&+&brHqyLO zlX?xL)(qI)>%{)v_}>Gq4y{@9Wg(r4d#V-Lzu`gsUTjZoG-%cQH2wCV_-REyK5ejZ z4L!QPv$i(0CR45F-p7j=F7AJE{M{y~xu#9!8JnTWTyzgFVtn#D*S$-ZK^522)8Rq! z>&`qp%{l$rLUWm0jEiMo%oRp2P~Hpwy<r6_PwEcd-tGy>^L7dq+^gX|6?u_8M|&ZL zPP_tMv-Rz_^Raz)%B3@XtSgGp^UI%!FODCBom%pZ^WG&kNH5~&ga>o?B2MZ!-n4yR z{QLE%H`V-{e`WgZrTW@`{+_-8+Wq^>=SZqa=G&F%W%sAVi~WmVLnG~<3%u+WJXn27 z7oOAmx$aHe3MpV0uhjpqTN}CX@ucZ)e?@=)TC}fX%Z{BGb;76Ri{wwk+KMsS6|W(6 zLebm@dmpetD)5Adr@uCB|F2jp2g=<qPyRTwB>P_Yo%y?Ot^D%7ue*PFS=ZytH?O-N zYdwv2jW$G26#snwFuvajTLXKZ_5F!jXp7PC;O(6*@D7l8)ArwSd-v;|{*)SP^sc6| zhA;lyiSW?S->Yt158o>OjN!oa`LgJdSg-kO<6k{!`SiDG`+q-3c<*%luucm;bYiDh z5giU1ubZad?{jRfez#>!WI4m$P~ZH{+S;ony7%;6ANYw8;^B+rXXioZHGZ}{cpC@Z zQ!!s;kF*s$IoIn}NQXm8?$?tGpPxQm^kdVd|I2H4-xWLcJ2^gH<M*59<oC$qCy=^$ z@r&cTZbKV+c|v>EZin_~84vDGZiHv?e3thw&O(x3GRXATML&!n2~d6>S889IH_~v= zb9c}PX7D2U_B?RILbvv3^ugQEJu&-*_q6IG0z|js^mR~^R43j{?eV$k*)U`G1;jjS zemqATX&eG#Y}&>CT5o9b0}ZMPLBjcG^+D_3h!uXiP1~PGf#dM0=gA$PpH8-{k^KEv zF*$xdzkjQd`}L_9fy}>1-g_>zr2DT?aat8(?#|E;^NRMtgTd_J?Ygayl1@{yd|!=X zyZ-Xlpf>hS<!@hQm*jGPO1>V8k-_H+{*8!%?TOj_;O$H3jAC=s_omJ84E|rGVzwBh ze72w4V;%p`yj?%LDd*V9=C0b>$=ib;)?X^XtkpNaIR2{@>g@TVd){(G$8(FDwr@r( zeAx3~?d}+GHje})yY#xW`ggN7Tsq%*tgimVjqBq3o~reKSTP$Tu;X3q`)XkQpa~Ch zl_1>;*{1T)&G0bcZYo~^>J+B_{8S7I>pL?0dj9^KuyK9<ryP-g>ZbZgdqE);R=w!o z8}$pJ#pv9o?a*Dq@gjSq{on<Ejpv7NXF*dNk^6FdkImITf9fH$WID9{&J)41JA&wW zFkbBMjat}oF5xWiFYJWm@1lqg?|!tv-Fu%U|K(>$Qudxy`22LMWsPNJZrO{;d~XjN zyxVwhH%3y{yV$=r9ok&23H|V`HVjfizZ2W@+7I6P__O<g?mW<VUF5zT|6_CYcNasm z$iC+66&vhoKQy9OCqHMsIDX6+n)muR?ge#2s*^wM4`h*sdgKm9*Y5%+x}rd%cQro~ z_~Ie)_WW?he`bxNc?!u$Cp*`Iw!0kTZ=IHZ>c$nN9oKx>c$T(Wt~j-ZE4kycQ9|F@ zTvv5w^Q94zhXsO+rsr7s&YZ>Lxkb-SRVp|s#GvlV55Z^0b<$LP#Dimdn(eo&$XTtl z`n~V@(09K-**;vFJ^%OH$@8A%EPsD>-t+G^?~B*n-|cu_Q{+?O><@EY*-}D3U7hp9 zuDWpHh3R*Wsq{a!JF?yAZ%y?i{Ta!@MLrhKtc$ORSx#en^q_RdGK+s-4_p5`psA-l zW%~c(ETfE%*S3G!zKQqO2hjR3kA05kuJ3Y~!?Z_z=X6mmt$#}^q(ODUI-k0*3Ul^H zzHM6fw6y%R@7-J7H}k9Ou{}4~cQbu_^|dzc<F_>%emfe?bh!Iw=ksUfR+T#6uRZy( z=E;fm*S|a$;>+1oe82LaeDFE@o=<^qK6igwGId7CUw+VZ)(6P;k$&*mPU0c<Wu`Yk zi{a<(s|oxV23iXB^-JpdM{8GY1g+n?!7jJ&&-O=e_ndpje)3Yy&w!$sno6T){i~O! zXRMr9Yw_)8@0-oVg<qoUW`P!mO@|zJRRcQi>Yw}G1Giu2g3g2SkDnK~$JSd{-{{%b zFHh@5^Z)w126a<vA3Qw0xodlFXKeh*OEovA$%x1I?SFabf34-)M_SoOYRlhzmM&C( zlFIP@cPeONG7fTN*+=k^Ws_bVf9ARkbV}E9{of+_>2sgD9@{f-pUw)Vy9S4U2}yoV zlCStt)bl8J)z;VAk+byWwSN`)vBih&>-i_eX)pErkJ}+j39V!5ea{Y0Kl2cBxRD(A zaHG^!^3v<Jfrj~PYc0b*Zacdj)QY`4{jtdVb0@D&KQTl6_kqLHpLT68n+I`t+!xUH zKAo@6XE|Rjymwys_n$r2&7b_(dmJ?TixS>kSNo@i--3j<%=(Ji>o!1)78ZHGuMlpu zuxNgKFWBgxUl-|{r+rxZ^K`x9Y5fZk8_(-sFnX3Wqw+3jKD7dJ<kfNTkyk2L`|obf zfdsc-*q*JR&Vy~OrRzsIyWdk@EaOjY=&9VuZ&PC#`myZnmfwz>OybK#YIj;U>mN`0 zH1VG`_=wb?<y#-F$1vLDYQOCCn-Ev83E5LSJt{8c%<Gq@Uv_<eDZWO3qM`n`#&&)E zz&&q`-~95L_UyE?Yy7+O4^9`ZJS%ek;Em_v=V$Kv;3M}h8a)y%oxdi(4!I3lEO&YO zbgg^qG^dG6ey;lU$>`|q+sUAb4Umg}avi;Gb93GLl+1l^bbfsbV2hs?zhjx(m+~Wa z`vq%@^o0I>cnO*S-UB%s?lJgmxT&k;rM02KvCr${w&~FjH)|b@F1-X#9?Kr(N~B*8 z-((Umr}^vCjBlylRhFSk<oljwy!qVy%q4ZkiB4mT;8-1MANJi=v()0l^XwBdE?=I$ zStS3nH^k*d+a9g0zXmh^lWEuXPiw$2c{B9OQ~NFY-d`^M5IUZ0Df9bJlBIv-vp`d` z%4Xft&sFv|&rnjz=}`M`don;hwW?p6qCT2|<|4j+N$q`f_e0NDRikG|?LK^Xnk}0D z{d1YU$FygsAG^l;Mc<z9eYEH1mfG%Bvl`ol+2oG?RQUGuXyvs_>07gc&;!4+_0{on z!O$GEK4i~SNQ(Jsb#(XV8E`9Pj^6%QzFS_!`*Ujf#p&Ez^e=0#FxYtHV+7xEyZsU8 zf~Qr!*`oqlJ)sXd&g=*1II}%oUz2ZpLgTeqH2*v2>F%CN+geNikMF7xp}+4@ZtXd6 zyw<FHaXM78X6Dl6Zu`?qSU+uGVqb+(Sgj7VH?z%#1W8fjqqjdcL$Z9$-bZ)Kj=;m5 zw`==H|FT+D@6W0J7pKoQ`cV`l*Hs`PnKb{tfnMypdB0x1LQgG43tt_-l@3iU*R<|k zvyXtJ7OSJXzpGz^W%+KA{IBodf>OBm&lriy{a^i4K0JMLXX~R2Oh@hZFN|GVV}HA4 zCq{Zny4qjs56wP%+&-Ftjx6~4<*Bsj`-AVJL8mvoVdjsITU}9Im;SvuC3D}D?>oig z?dBbvzOmje<;~~Q+aI<~TUVEe9)CMsz9wJKhdNp>bk9{t{6(&>=&!pC4-Mb0?VrQJ zNyNMIe%!~@<)AcPuA0^ROYHZbMRt`YJ9V#Plz{nRe{cNbhQ?oh;2v9hXc2f?<o(M0 zD7c%KSKO{k181L8zXiJV|F5X^ybG!v9tVW{@2jl~oV$kGC++?w^x*!q_7&^BKgm$@ zdAqiMc8BDiPq|&?zrxqTN|gKSDrVPZK+V_c)IXo{A@wP%Ro0T@8_(x2nLoe#VSN&K zaT`j-z<0Huw;dKN;d^rLZ-$hy+9LUPr^8FD_2GNA-iOo-PivKqOgFy%_4y^w<fu!t zHNTw)orU`{-Sphzy{j>b@TsfhwfS#B3d22)AI(akNhP$a{A@Bjsk{%_Q+t0CxZ-*G zJ?`jq?=AY?AsKJxgU+n=nsZHq|9tdp^cr(r*xwtq2fisAJ^S+I>28sHT~LqSKmOi| zitTT=!fNB1?T_C6nst|d($b$nb^Y!&JHZp)H79O77hfvj?UQwQEk^pQ4zVw@$%EvZ zO@SZ3)!zK1lpN<Dzb<f3wS6Y6l&zfnC^vsQ#KrGbyY;uHen^G7cZKSy!~FSYK>Juu zgU|J{0iWx2eN|n~-F%3-MROm${nZ4?k1^{j`0Wzl=5BtJdk<2^p1QwTD85duS^xCn zoNJ#ach%NCPnY_?_%L{x8%pW-eN|n~pE+;cQ_j46c{*Ao|93jH@xXSpx@0=Ma9Z27 z{bAtleW0-TcP9coZ?&dlPg?T)_$SNH`@BB_UKfN?Etp;Hzd9dUxBs*|dizfY#LatR zKhC?A2X}M(qqTpgfXi*o|MD?4l`75p$^SutoZcezQ#=&BE(pcJ)}i*_Y+%K;C#<-B z>UPw+b~W6&zeV%EGeh#?&-YaypT=*|kG^!;1S!3(E(5LWLTQc22mHOU^ENb9#CC0; z1X_2pT;G00#cMNo>5&(-M|Ss{x(PaGrx(pt+9$JlpZ)Z2I$x_hAVo;`<(`+DZ~aU_ zFG4D}zdF867Fq?EYu|hKTL;oc6Fa&)Z86;4?IQWF%OJsgEw-kzk}ZCj`Q;ZE53X}~ zaX+5(@9(8c*X?H89q0V9IHLLJ>->Fz^WGm&zP6fsQnKmE+B<vxUGF}VDDjN3=f8Bl zYvCWs!`5|1UjKccZG$v=--Z6YxZ&(KcB44`57#U{)J1&UW*Qxrva~|n>O-B&$Flsb zdro+L)GK~)cU47pXSmTv&I*6^{-=8XR(rl%-M#1J;fED}Ph9T1$F`AaZ~v`*yA><@ zW<9Ap|KZ*G#(lRZ_k@Pch}iS>`#IaJ`La8&@6%J6TpMOyo%d;SE7P}`d*Yt#N=<Bg zJ?-N8mp0o=oWJ#7d_7Gu`qUZ!7IA@f(Pnk`_Vqc(Z~yRP2j7Psc{i8+dc5%LHv0)v zAAQ}C_jAP~+q&&fUBkMrKi;*}_2W5JkfUwaMcXZ_cz-|TI@qNTCwK46ll<!W?3UD~ ze48Ejzs1U}i=K7WZuOqi?~G4gS{I%2|759m^S-=H&-i5*&wKmEPd_LpyEjkr%_-i0 z&wuP%`l|a|sm!lkt;fIb$=m7w)&2ThnEf{wR;=z6kJOCoe?9HDX#Qu}vf7DYhuvIR zVV%Ey&!kTO1J*NMPYaySv+p?D@5#~ol78#)U)Q~T)o%Awv)$)aym#mQtSE|E7k%x; z>uEyWMswyz|F-`ps4FSX2S15<PW^<iRMF$&0%9VOMkR_%Vzb>|9A|hWpB=`Wd@dn# zp|OJB<ulhzcvFp?qK&ivWrQ0Z{KF{0HIplI$FyTXle$H9r)|u5)s)tq;h531aIHw! zq#bva-;1BG-0}SByTk8_^?uu(KT}mX|NY+YKleSK_k7OzeY^7_BVYD1Hr_A#f8h03 z?mcnSo(eR3UQVCm{yaa%&@<-S#wVerpGwc{*tBU~%7y9|t=}1?+t<{WCH@tzD*u}@ zmAUy|bn>UG`*SWVINc^YWAXp6xF0Ng|LUD_D1OYk|0-LZetKQ{*$H(EGwY5^|Jd8~ zGk2!mU#H7|>P{VaKlM!h&d1YDPVxV^JNeJ-eSZEz%dCF>iaDtN)PKL#ulEa+Un~qR zTsB=fpmzV7^5Dr|S502uU2VRf@7nHTDQo|wI&(dCxcgJ@^yXCY6Sa;~Pj4QXd|WMj z+Em5!Qj>mOJo$RPkNtwl%dWnB?Af#UxRSumrN^CS{&c(H@L<|c?WcBpXLaBGdceS) zw=k+9@ONdT{esLo-5pCO{>-)W|JAze=hg?)R?oP<u6SvD#;kbmyq&Xt&VBYnwf7h2 zpGEVR6md^FWqGXb5sS)!(`Q$w?mf-Cy{7-^&A#F%`xJD0qxa1}BfR}e>I1Q|-wcB9 zetWy*asKa#&6{~i>3d;DUg7oaPo`zxV9U3PzQzwWeBrIujo*zg>6@Hg&$>P4^dG;{ zKTenbL=~L2c~*Wh(rDk3K>Nn~i|z!qFIVY*^DU@jeU&Z~_w`d%)7kT8o)$_xBr-F7 z$<o5pUr*W;p1#9#C+K4KMV+(K?s=U5@5JU+ZVr9-_`;n>>+(<PUf=lZ;!jVgU!Ss{ zd7p9|VrjtIqY(wmdLW!T2ma*!ZTaFY^;P(X?kU}h%jXQg39BnG#?9LtcWt*#!ReZ5 z>0eEa&U<)2p5_X&^AXP-qdUgyZk?ZNlYc#3ultVBzrbC0Hf1&6Ilge`(b)TwqVtlz zE`C-5wK$dWvvvNYFWkPLvk&TW&%EDvc?l$t7v5_yy!H`hZ|RP1n_u6AE2sb95<GDw z{Wdt5@0|FwrgYC8agcu}rOexr>dKZ^DbIA}ta6pBx&G_V%R#>GnArW}@3i&#lYU#6 zY~S%Zy67~2<ll@RE7r(gFxfA5XVZ+Ix&J$$_HQ@XAKC}8e&^Jmb8Y>OO*eU^{vmow z^pxCpxBaKwp8CfmD|(~DnwJy4>ha$xGCsZeQ*i3MiPMhhmaBX|qRXH5V483j>yi$! zUH0M|CuwVz#B6#}>d&Sj{QvutCdqfL5)IL-wx6h#nH7EKtM1NJ&DHX`%TN4b1bf~- zsZLvVKiE-4(x0tYM;#NN_3V06blsUh+d@3|gW^42KJVjOb;)g&p7SOxoqy)0+Ur=k z9j$iO2WObMJ(JALyi-(e<bUVVw8rRr{j<wISNNEp*PnWM^OH#bV@$TY)!#?HiF0S( zo^wwRmh{Aa$+0a?ngR`rBK6PF)<Mt&pL!<0cqueoPS3o*Zt{}&ODpCd$Pdh0=^S=) zalZY;^3L@FjXJeucTR7*_p~uOFTHYGO3NAJOP6*$O$VifXFPW%9k**qFEf!--riE5 zm0(_TZ&Sf^csh8O^fmce0W=-7?t6YHV->h;(B1KL`I-0k5}|ouO+wvrt2)ocbxiy1 z?g;(n++=>I@OED0`%edS?Gr1veYM!B4@!K`KnWn7El={H<h{mu*S~%}t+c(R{*d1G zIh)<z!P30%nmQfr>0g<_0sAhs&YIm565>wxm6LqRpgHaQ%=@g&sWo6LU3aqP-?IGm zP58Ol$5ch8$X{<R)q=hIF0s<AtO4TP>mct&OYV5;a-K)>No8#Oew`xkAL?(4yl>X+ z>~}8i-n~zC`<?Tzj`x+zEiWqk@cyaXl{pm$*RyW_)AVy~;UBpxBA}!=>F3<-@yEU| zSoUM<1F>K8#1=o!eK~zg`RBzCuD3_m{N}Vdy12(-nqBojhF_-}-uK!RoUYY5pZ0!o zrJK~#nZLgm)z3a7yj{k6@r3r1`?sEd?^pHyZQ1Mir+2M(m-zMF5&9Ro`_87c1(5KU zmr3~ey{P`=tK%)^DSsQlWn8@Be%|%okO29_@cH&WcW7F;dM1DBV{le@eOPzjUzM7x z7FJ<rE~T^cPX*<JO<hkTPv=3Rp?z}YqiGi<cPzd1`6KVQJ?qxTi|thXBV4vK^_&4b zC~Qu=a{s$}Q=J2-VDskweEVA$G^J(FxbFomhHQ@fId@C-F}wtL`TNfH`AhEWo=bbV z`L){#P=U8_{?CMxw^J(JqNKKOQT=>GSN{9~UFE{hd2;O~pZ^3|+Ja)?ZPDo+UT~`~ zq_3A)tA7fb=k_PpY0E%7ylL9cwY840Ja_(&S^jiLqI2EJ>iJZr_IGFT>>ryz3I5w9 zLvRYHJNzl<l^LYC6$Yh%<;Df4HO})`Ui-XjUtQ#rX&?M=Je`&sS|s28Osu@tJ1_G1 zEBBwDq2A`M4eK%dZ21zB$^RYrW0pG+R5R-Cc-nvF{k`<1;Ow@ZfBTPb!Zx2PrU;(6 zl&(Hc3zXxRPJMc_i4S7&Wst?<Mg^zYQ)U?Lx6=DOb7^VPlW8B$-+nr6>EkDms%_ex zPwHXz%WQRDvxBRZHR*NJZB;>4Z&U$y?Y7>FJ3XN>%?qvuGOzeQ*sif%!(RQ<TlJ1a zn^PPqFE?8sKMe}=%9f{*$0rxXPndR0_q^KYBf489ceI}SEa_aUr`4DZ%5x|5w$G`b z1xaT2Cq>I8e@#A_4E6Qt`7`g!_Ip8s{FBA!=*K_6^{dz~9l7LtY?5G4=LhB;^qsRf z-@Y(UI9^O?!qW44>nDN|+9!?En^%2>R5oi*+B`ikb555_e*T-8ipQ(tu3y&&RW_Wv z?->2?fyeij&A&E&O@tOQsmh<N4}OHyHM3{jZ!0z02QF_viG03&PwVd_x4$fPwL6Y( zpWm`x%Kdm(<gYib!6~2$-R9({oNG~#5Vr@V@YNREHTpAqjOuq}roAp$K5dHJPSrn; z%XX&Tjf6+{Pwv|*lI15sOPhI#b+4~`Ks_G*Z2MYQXpr+g+kOdN&z<^y5SG7E`n^qU z{%i&(wHM`~2D8FX*E&W?ZGYqStTQ_A*_}mCB(v<UbsSgjc6c&PxqJTkt0xlw%>D0u zek!b{<NkX_BKeyZ%=6rzZ{N~^MEdFCGx@ELAxUpudR=z-sUKj^cY_-<N%~foKc?!t zB^+i2+item=63aba8Z{Es$h!5pNpyH$%j1SH;*mcA?y{>e@E!w!Np-s(Q1CvVV0l1 zANe;U6XyFD>31ZaLaWxB$Nrq#;||Sf{|^2!TRWl7vwHh{k#GGdsa<-%1}L>Z&DS}v zc3<~%&lZ!so1hZTW<q21s+1XzRx9^_e9p7r_*1>@Z~jbzr?|L;uZv&%LB0Ld^;!8W zs8?U4f08);Zo)@!lAAVt#{F}*;o0m+T~iK6xy|F%U@vdmY%{xjHpI*IM*ep`d9dZJ z<P*O)DMGWz`^WJ&MW;WlKMAkL)mO_0=TC)3bX;29^gBw>aNa-TzHB$N*|_k&;(--~ zf4~7<yJM-8dH?YQ!HT!D!4{Tnu*r^B`Ocb|dB;fMXF=zVr!#r(6nSlUG3}Vs#OOoz z>C>b2cDcYSvh|yOZG7Mc^Wyz8@2^Qi+f6T1>eMZu1&Qgi?GLLUd1^iX_9Jyd`~Kbi zEeozX*Ke>{y~hvSXfRrGKvzHY!8HC>{^I#@h9LjFE;{|83Z94lv|sJ-%ZAkw|Bd!1 zL)$5v+JCN{ul*R3q1GGk&kgs6wNpC(s?_}b{b?%Lb1%ws46~$D!3`x**1Vgice?)k z-&yd!;>}DBm%NuVEy|wj-B9|m_YN;FJohZTUwU}OE+=TKxN`E(xwSi?l|;Vb{<YJg z75Aq8pKA+XVamEwtpA~s!KWy&7xOpRWUre3yJ?F_p5?{^y3P7F3xZjGYbO5LU?ji% zuadydqxyO7MN@xQdOxm#`*qLRSI5`npN7^CUv^hY=$1koB6|-1nPv?M*`?h-=l;}1 z3fZPNyybT)Ca)>4d@$|*Mw{1rTp&Ta>wxZJqXO?2cGB-MZ><rrn)l-7!h36v?O%A! zKbqa}z;oSn9eBgkd;b!#1e16jXd(S2y>9nDMNm3Qdphkuv-_St&V5KTal8|zrivcd z*M8H)wMOI3s+u*NYdd}_E$Uv<q^Kjsb@aHNXp^em47J293p7`=W*cXkJ=F>8<GI>k z<$L3b&bP!fCR==eIQp^l8d}z#Ik&_z#j@M?+&7uB^Ka+uf4_UrhC9#qeE)cF=IKM9 z@9#bT{^yS8<q3O|^{($f&hY)Q?z_lo+WPjXKmNyO|9ka(_sfIIb9{f_T541B<@MHg z*QLJi`P3BCw{L!2|Gd^Jk9&<x-#bHRO*eX!c&~o<{mYYIWZ(JxD=zMl&m-H`LzfP} z=Cir6?0xv&*?W)K=4-$CtM%A*+m8EFp0<6ltGXv{S$O_c-qr6tM!V7{yI+^9<=mJ4 zeCNmFyC;sQ+FG`He$RPualX#qqD^H7zW+9>uk^dd)c4WK^ZN@4`>+c$z9*bobo6t^ zqQm_!zkgIQ4|_b1*>-P#VcoYY9f!`n54-VsfpJ!$|EH{-E97I%U;jE7DU{#KnBV$f zEqmO#Nfr8L4`g*JZil`2`&6g%`l5VEr3&lm*>R^%sGm7)*ZDU3+P6EVf3`jmzBBRA z|H@}!vF|rs*v=#LJ?F7e?Rkyv*U?#bExzi8FL@EoCR!JLKiGK6FR}l}duvbk<^HOD zvpfIbPu<_sF1!4lu`%R2|G7yOy1%AfW_=$nZK|K{xks$_bil#i%h}GJjucw&df&+B zgWCab$)7Eizu!a)-Fv1wUnR$fvAzGzg|B+twWn7;npdiG={@(UN57`=?<jl~z0UjM z_9@~8_V>H>V_!x8nQ!$?S9{*I_sREbxzFX_+#b^P>*6j4n61Vv?;C&4n!Z^ju6ti< z%Y(J7AkXXmnr6(J|GBrSUQ>C#!TZiHx~lVktgpA8e-UhBLE-tf^OwK(Y}&E!X^^>J z?deM*wcf4&bNQ-{1>Jx2zhYzl%6}8<<bS`5E~q=bFLlWZdHt*400w!+>q8$i)DEqK z)z4-2?w_OjG3tx%)u!*_n@#mop9nv*ow#r56XE|~^RN1s>^<#tzi8Tybl%NhY!~aS z%inpZH~9W0E7$u*H~yQk+a|Bf|5(50#`ZVAXTmLfDidhGD(wX*M%TOEFIx8?cURQs zqG?C6FK+J<+w(R&6KcI-({`o`6c3v2zXJB)%}4WW4P*D~gCa5w6r^S+_NhMHzgX%~ z{p{v>8?S8tVITJ<TJFx``^WeF7MpW_Q@*77tK*+em;QYKPAn@0_t@|7f!cW?UE#+? z+fXQPkN<~r?BHZ{JN<spCr<g@Kd(1emx8=$6n1CxIqxsOn>Ov(m%2@Q`M#&8H{~1N zzboXB$^L6QvvK^D_vd%Md#bkM`3p_k_sqX!d>QTDAIZHK_(SZwcF~%W<RgX`XDGjV zy5jSZ#@`Ohoqrqb-thT({|+7Vj0-;(*_FjCd99p&!gBHS;)UvdKYy<K*tDIi!qWMk z<=#EM^D6F^?Ct;biL<HP`|9Z>v;REbW9b~XkNtbaqp81t*B!iFw{Rc-l%qdCv6=hr ztI=uI_kX&U^Go)N*W6!jBu(F%R&Q3*DHFEOrSHUBsh_8&>lfCon`~F>IsfKk_uDM7 z@|Mni-tln?71qbI<IYXEerdWe>-%+HZ@te=nEt-8RbO9Z&)Vm&|1Q-zH@*9=#m`SG zMfTbB>PIh#caD!=a9nKnnzi+3+Vm$LJ~jP>;Lh03FTLl?f4#&%-cRW3#>_SA`sXNu zb`}Wjd7EsapME0yrS~r#IeR|7i~FANRQ{BU`0**};BAAb_xh=Y`(mCQJ2~Cn_u=Ww zmBvherGEWlvfXwg_3dwOg!^at$3OZLqh9;VNa*Xvbzaxq&rP^|Y5HW={MQ<1PfLD^ z`t?cZVD%E8-;<1=JgrW62)f;;Y{|X&Q;%wPUh18!Ki~i1>4h7oYR)-$;kx)a&!rJ3 zvu}P#<+E5*(<w9Yw$uE5M}F?~`}IY&cG+}!(W$%ao*7%{d&m2U?%_Rbns3J(=N%uX zR<Zj3@90au=I_hxnB(@bi|yMJ^P!CQ>TmDJIr{Gl9zQwltXX5(BQF2q`i1M_FE$?4 z%l<U`<_FcHh@V21O53yTYCAs{&;9c9v{j%yKhL$V6OEs2`SR4{U^MrhIg0C->c8B) z>(7jD)4r*upE&*fz@h1vS@Yd@f}^)+uC#jmzKMsXKYCgj-SkW9*RLk4HOV?@M{gsH zuZJ7Y-(~*e8{;m!qP98H_U#G!Q1%oO{-;;7<Uf|aHGPhv{(WZd_&D8)+q=F`lKWgQ zzf9-c^zXY0Kc$v)#fQl)b`!0Z`Sq*oZ%tqO|A}`(zP=5cukOgF9k1m6r0n=|cq01w z-2HQXN$nFJOWj(_;16Yc)>c|Ng91vq;(B>jd{Nt;8h)J`(4`(FF5p<c^W@mc=~H=a zE$=S>(?0D-(bqa#=6^D`<elT=GoD|)UR4&Ja(McQ#HZd*I_^CC`9<~I`O-_v_0v0l zMf}%?C06Z<+xM<O!YiHop6+_MJ(lhtuKf)L+f#F*ce4I@?}w)aYeiQuY`Sn={D9!n zxI+&U5ur619$Hm_@~h*nLn9;R;O#H7o;~HM)UB<Y`{3?Zc6eS`FTO{#{yI3S<x3ww zIjwnVdiLYfmu7xEe6Xi&-@XJst)os^ZmDqh%l(46-(Kfc^7HsoXgK+QxW)+14X349 z-XEO4RUe$6#rEw9{2=#ZGdQ+C6`K3)o1<DgGoXLczqw`)Z+GWeeEBMRxA6;e#laal zKZGnHi8dORXrI;u%HQUH`RjyaQPi)Z#s_N|Ah}syaF6>pcmVwjJh=O>Xsx_P_vfeI z?^ygSTKFaP(0%>$uiXD?waN3=)*dZjQ}5oGS_98>xm#d)u4v(k{<ZsIp`>)MI$=K~ zly*jZ2zw9D(M6pPa{tW*XS$!Y=6?J3sJ806&s&o;?c#OL+FHrG-<lHM&Y8j+uhc$O zf1+~H?su1`Ti9RsoWCze;OoYRs?az#<GS}&KMUdoZ`Su)o^OE#(w`X**48_M<M_`; zY4!MZ-VaX)vaZazS$Xlo_33lx>e-xJ9lYtG_md5uREu2Ran$@0dj8z%<>l$};$Js@ zyAI6~e_9^ozPkWPL(@6$9V>%Ja=y@>(EXRdkzD`o*vaX$m+A|jiVQtep>cTOdi^QI zUYq+)y+kCUPIw}Ey2!5VEi6ZK9gOCOn4T}T=QUDJ@H}|?=Nxbp7xhc4$8THuA+>at zQ|OWV!oPlX{mAH(u6<^TFnuxHbpOs@H$K7&)O^7`p$EVPUeVGAcRwwG7Z=;P?tLqR zL@#&VoSL1=t@`Kf-mMCau^0IDtLwGNFZbPPd5E}O4~yGPo>z`<lYa$GJyRdNt#SKY z)V62Zz8Kw#^4!bt(%S6c?Ol-kb?5!Dlhe;H`|#BAuXf_h|1U3GpZ=5CqO&3Tc{)7( z$Nh$<f8AHfmoG!ZeoyR&ZT3qc<-|S33jR88c!7PM<6fKk*MC7`&rdt&&8hi0TV&so z_uM}PfBkZ@vyQpFPZbd~iSVGYcCB6WdmXf{0qv4_p8-iY^F{Z#?^{@VQu5O$+YKL{ zMjeda?F&gbv!&Jj_bAoQ{P6Si#fT|Pzl&eI=6<u|SKK*Yqzb_np0{Eb*@fAxd!208 z{CU%tr_WjP4{wK72<wFRT;<P>e`fpuT;ZJOzPB#_6}Yzi52_5V2mdJYxi7lv#pMgv z!@sbX$Z*ftV<f%L1yYcHy96spZ|b~y+~{5kDIa#meYnO1DIa*7%A;1pW4E09Uf=rH zza1jy=-)4T{N!}`5`E!UL6OKwQ*=8b_|@RW&wIhIg})C&ga1#{gSS;4km%hL{=sZp z2CSH_oc|#AcNZk<_DQS9^X0xdxl)YR<Hz?K8%5auaMac^%HQ2R?c0f1L^LGBqoH?^ zo!NG1658bYp)BVbBm=w`-}BlWZpY7t2f4SFe4oC_BA&14|KyZUPa|HQE)ShQud$Hx z@j{;Veft8=X@Yh_&4m{cvtPi9h(C)~^ncZb`D4<9+}kT4$tX`~PxLi-DYrB7!?nB1 zA$Gj|nmXmsbkj_(P{Dft7q7J+Ew;@2a`-zUl=^;sdHSEBJCLbco*zERH<y1x@KM?0 z@y#+x-Mv@2)-Doc`4hZj1;=$QAy<bb2~(7AWT|)Yg)N`8C{*&biEQr0TTXw1MY+wy zbR%4oUUo#iJ`%O6@0^5d_ttBkYxy4c%uSP7ZcuvVo&NpryKnzJdt2pr?7iLGztis3 zZu(uF|9$uU?c4Y7iJ7$eU&f~8`Nvltve<gw=Gtew(A()(l5hR4e4S_Xj8}HoqtCW$ z`(yqwmHyVeUa&^1#4ntE!Z}vOmnsJ>w;tcq*C%bd*L%I;*CL-+bC;e|-RtdqY4xs8 z+xz-vo9yk6{k7?#{_!Po^VqMqEeZerefg|=iQ%*V1@*8^u&Hp$t&v}Kd`rFIyGr(z zv#ul^(Y#@MGRytjAB#256Qk|2zJJ{M)O9nDR^jBGcJr6@EiQfX;M%{qV<ncBp%bk1 zf=ZV>KG9KH^2=&j+Y;wr2VSSl`1vU<xAx9Q+v3_MGp<Oc?)x)yS^269rwvz{pQ`y; z?EC(;_^h9kl7sZmH*0))>gD_X+v&4E9X2kgvAlJDdb-&}{o^a{Z!EiatG4EC&D$q8 zF8yqrsK2yt#iylLF0SNRyhk={-;}?ftt8X-#VlRhAFXG2-M!CqZs4=idzO{YfZ9^o zy{!CtW!k<cGp?Netk6|cxq4ao-=oiJ7DNQ>lX?F9H216%)03Yrx{~&^Og?^g?7Cft zPu{gO__XwjVCcRnR#sE16Q6nCv|m3t@!9FyTfP<^6@qzXPweI0f0=^yk1x5W@%gE~ z$==0cGZB7~opo>ZdGo(ZBLeo#dGY+T_LrKSIu)8yLNRyEw!XF7w{2&DFVB*S^9N2$ z4{{Ir8T9H!h+NxD{nY<^{Mu&fzrS+rxa;#-ua%E4Ij8aYY3!_fU+)`%qO;d1e%-Y% zWlPW2oHSfH{kfya&rf;2@89Il`WK|55ij@t`RUhRYceDIziqm*-Me&m|N7W<!N!kQ z+_6XUP$$Ae@ma4Qx3oU{b;59^@u`}fZkMaSn)~dPnOwE_%=B#2z1`1eAUtF{>)u3e zpL$KPDf-KQ{`_QS8z1#fzujze0PmyZTeUUKKQ4WVs;YU6<e@%<hw7KE?YDkD6Y8N< z$II5yFP_f0BAvQ#Px$5PvzmzL`I+tezHcWadgi=*e!A56$?3kh^-;~QTgv3`KiDNz z8$A2hUnE<;A#Ayx`TFtZ&1b$&Ob$w)^7E6O?|YAh)02bpr~Ld>=lecZ9mzNOCVQj( zOrJ9!ZTj@o#y&nTPbr@7^=%=u2j}y?f8_naeW9OebG?Mb&!ATwTJc`8b5FfC_`LMZ z{OczTK0mF>t=&_+Yo}e2&#NAz_`C~W-dUWy0!`8l%f4?siR7DT-}haa%l3<KpPJ77 zx8`ThJpJ?gKb=~zH2eL6Q)a8Ozkl3%acP#tltc5`-1beeT6Ai9ko)o?gEKXnzn_;H zovHb`V4I!ZTO(MqZa3K*y=+#MrP^1wnfm5gRq^vPo_Y6q?zQ1xbYePxc9poVAvnd^ zoIgMP_LrK>$lkW-SGSk$x_kV(-u0C;3#ZJNNAl7UgqPHEYv)w;z`P`8x>tMBvba9a zy@Ah8yPNFYeQY)&9lST$D{SQpP6zs*K0n<*>%{c4Yl82cer9DFH@BqaR{4*&m&@62 z?z3Mp^V`#%b&+A$_xWdSes=tX=Q4Q_W&5(d2Ai(w*540o*Sh%J>zh^kzR9O@&oMr4 zC_Z5MK&F7N<)y<z^)#>k$Jv~F^Y$g#ou9GnNZ>uWb)U+dF4{zgemQ^b?-T{SU-MVq zTK>;{<v;#lp56a<*8LX_`Tu3Fcj9;Zlke-hUe)KY{C)rayM6Fi|MRb-O#Zz~d-*#w z{^Gp&Jjrak{ZD4hQIFksj@9e=!?mARMyuPNSZVu=_vMXcFJ;xf6#2aRyUJ?%v#&u* zr@vdjTRN=&cj4>2u<O59Ei1n$aXG%vb8md`B9Hf5z4Y%^mzdw_Px_i>y4N}G@|Sh? zXF#doJIhsxm$6H~ToMvrbgyrJR#mwgI7{(=__{8*>g7H?o4vBm+p??Dx%Y}JK05Q8 z>8sCLPfd^4dD_0Yw`fgz?A;_O8;(yVEBa<Fth(1c>*>DS=a=s+zALu;e9xu4ZvIfi zTe*e1<}bS|w*0%p#k}sz_iHk@AAM<>cPsZ}ZAed9^q<XIZo75=S&A;cD<;1%Y0K?L zzgJ1T-DcsNc`LW@>!&jfw{omj&ik%#usKnV?PcD!zGLpQ&&s=0#|3AV$o%!YlxBVI z+I*XN&(v~#ro4Guv}b+F-%YYB*FTqjS@@)mtE6Yn_ug}p|9%PIxg&9Yl$_%JrEIU1 zSM<HgJiE9!<Lwpm$~`jn^4`xsnLe{xWHZ0!+2b!W{zcf&m%n}Mxy9z2k2B`1X+D2f zmhZ~HSyx??m(^U0*3Y@VI<Rib-+3?ZT|d3P_ty5_#WU+ldH+<DpWpoQLD|f|wKBHb zGmolWZ@v3=@y(ChZk1olpMCu7tDGx*TjSsVT((xeiqBGDo8EneWmiP%)4X)=tHgcy zDK(=~_{=`$XZP4A|Nr`vGeXu%^t<ivrjN`Ak0>S-+02;5Qg!*jD}KK<bEn_4*n8~N z<Aglzh?gE$Up@ZaywdsLmx~GiD)!gOMOfW_9&{m^O)aeH<?cV9tTyZt)Ujm~i)Wpj z-*~S&q4d{DQ`w)E2_JO~%H?M4lkkaQ3|r6aZQk_r_JK3D39keV{;5R#<c_G3JhPW` zS^1BTlmC1=5u9ZulziY-_<>6y2K8JsX0oe^H{TQ5@F#Xd0q=~xaz1NW9<M%l%4)+8 zgBjH_KK~_s_OOKgXPb79@$-L1<NwT)ozt&;sVdy?C)MC5!?DS4oh(h6d(N|KcOQHt zWUzVq{eMyW_b~e8vF%-c;8SrzqV$YfZlAsE$Lv|O=QkXExcu6er+f^T+nYKUA9$6r z!9@1Ve7-cXrjtA`V!5X6=NBtV_?Bi+$}X{Ae2oo<m^^E-fAdT6gGb6X{PN%M#csxZ z&a@=s>|K+U9r8sY<e0_Onr`YDAlY9oIU|lwEstTf_Q6Y82KDkYZnCJ&XV|+o;iu|` z4{S4P#eG5#{&26Wk@<68?ckk@o3kE!`ZEfvH(%YE@J~x7yiV%rr|yJA>lwAuK7XZq z-ZN=$yt%ckTlv5p4Fg*-A0MXGu?d-MGv@QBonxFV-gNJ2LZxNI4#qR<n1aijKmI;= zN^QdrmKnFXmYq&`^giKh?1m85&+?5k`z{yE{m#6%`QWFD4Fx<iYz2MJvOP|3oLYSF zQINrQ9-nx2wLF&9+nbhdO{im@ag#@FKGWXk2S1r@_#if;mfxqA<Cs0G_TlFT{xcuA zvoPV8hC!iC#9Y2<e(ayQ5BjkA#Bo)HH$Ih#*dcl59^<litdIE{r@9|}bUERjtHEAb zpFO-`_u0Lz57xZ-GV9$L4g*WBFUOjmb|x(3K68#C`18RZmI<kx5qo&f=(1lnZ|Dq7 zC^e1P#eXKB$=JW)<k|({Y^U=ZTFVdSi2BsB9J79Q?MwKhgpUda=5jvr%xZott2ZCG zG&A8}jKO9RA3f&1uMd3U+EAc9BbIxaS;NP(2~YbIK3W=VKf7MO>;Cy9nKQC1)A)W? z`Ii6lFff+XncG|ON@xx9Px<DVd-m7WG(5f}-`u(P`PEx1>lk%<**?2B%zS*{iRS`y zb{%~N?)z+;?=uF6H+__EnmYTyqqhmqrWt4bRlVMDuWG{|j))4OGj`m|;us(QXVA7j z@Q6L(U9!R6@?F>RlIk~DNrlw28_hdZsHyhuPx97q!(Qj!CYyU4s}5Q0canR4>e<{W zpBI<ceXyDQdB%JHIrH~^zWg)vzJB@qrr&HJf*(Y1m(PFnE?7f+_ivH%`A(k~?0*-$ zLibkA`qRO-@3%4^<*%}xTXy^BmvYuyxrJ3`4!d>zzI$)E{pj6a<+mUI30BrWJoU$` zan_%#$#n~^eSY?t`MB+=#)!AE+0|Dj+)ZHL$XxWd>*~F!H~%zf{J&h+R^K)I|JiDD ziT){{mtK^pvYs!s_4%W7jbT<7Zh!55zxhRR$d;4)#lL?0&boHT{Bw=ZWF~xGyK{5d ze~r4k30v;B#N2;+Kd@}SdbygVz_$6%;v1#nnQy<g|Fh?U*z?lMV$Unnx_4h+e&_k@ zFE=x1tB0K5U1wuo_W45XxxVL4^%u?_P7uHQ+_t$kGj#3j_JikkRZ6_^UY&K<hNtY> z*~1C(QK7G&C2rW9C&%{t(yAzP{^q%*pABwI54#FdxMp_y!F^j+ffT0Swc+`;G<0j8 z9Gf*rhyL1CAcc|U{1h175*Ypd^O`I5d(R&Z(#e?o)$n8I^@z1s=NVu9pZ!8}h5M(! zvp@ZPu<@2T-}gB}t82N}#=WbIc|Y-H_Fm<)Gi2sVntCq|x%jQx-|$wc?6%~yVS%fb zH}8+OdSTOb@!tKv*DfoUmaO8s9ibVfpZEHBn1Ai-+Kc56E+2DQ`M-YC{;$6-mQHL+ z+qZxJJ&Uh_7s`LiuPD38F7N!Gcfz-{+lzNvxxL-??bs@bw`aGN@37i-uXNe_?02uP zz4$MC;YaS{g&!|f<a~7d#eVtsq3YMg%JG@+Os)A}$!=HwmOMMZ_uqfE_2%2MGe1XO z`)(IM+qSKK-_P0Cu0Q9ly?Q?H#A}V(cdrXe?6zwDepohhTb<>(H?IqW+Sl$4lfNGS z_+i<Mz`pih)AnuDTjnc&d}gh!->VH<ufKYnVe|Hdcl`DF_vY_UUpGJSZ1i>gutj0( z`Ol?4H-64xk@&CV^Z75$-si;24lVh7bkMSTYhM2St-UjK!`*ZL?bmH>H@zr;=q7W{ zne$lo`2D%In>v++gC21>H|<CgzP`#sjonk`<St>?W#<+|xKCX*OTb0b`{Iu9*uYyo zR;yk`gt)HMyS1xpZP?tkD;GQcc=6u+|IhS$-%tMDV?6op$#r+1fBpIFyWRKCfA0PN zedf&UGaZ$N=j)H`$*RAk@pZyFqf@cf`xVdGi`yTp_^|xW-^bthx7YuA-!bDO*W+*J z=k2Y3wUyh=@cY&ehp!gzyTB;UuE!T6Q}WktWnk*P_<w(*RC1nftKA)E{UR;*jDO75 z*~>4#Ztt%&-CNiDRL9`i*D2Nu=S1$_WqY-8hWUauD^tT}?h%t-(%xalE9~1Na@MSC zo3BXjOto3FG;bBmSW&(w<9FtwwZ*Qp^~HSSRHgPuZb@F4@vQFRm&c2I-!AG5ciEiZ zwJp^(dRoV_SIeF}yKCTaB|3adZSuuyfs4*2yG)+!`nbBYOh#jtWsphjqKwOui#jV8 z-Sl?7y-qYYQeoCE?=24%1K)dI{Px^6I$k)p&fVna#*9DO7yJGN7k@s_Bj&qa|JIh7 z8A;I>-&kDS7V8@QP1JX(i*%phS3kjA|L$#Z3b$%nGd{6h{2{wY_Ip>FoS?70?yWCP z8IO1`zWKc<cYfElBV}jLT5s*jI4lsDKO^J!v_)%s7o8P$oqXBlv9YT)pXgZ`^;vel zTQb*WJW9IwX6m9`^UiHLBEEO^Z++OY;=10gf15I1&t7yk^5PSrixqeCcK$3s;%fZ5 zW0|>Fu9WI53FTRLUAN@=U!2D58tmR>Hb>*tT*X^;^D<s9U3B((mzsFTvG+o6D*{Y5 zdzk#KvD;rJ-r2n)qe}MTwy$O9pKj05nsv)<ORl}9znpF0sq=!qTRWE7PpR^a?^1j9 z{lwMN>(p+Ug=|S}$;isS*tT)ey^xFF_PR#%i{;vRnN)7d_~Uc2@3X7%^v-2^Gv`UK ze<&6BKJ4N*Yu9Lbf!sPLlb>@k{)Ara+wNj4-?ePNNbaiqQ(tFC#F|)#m^}M<H{;=j zDL?luzVUip<o*kb=j2W~Z}56&$%nslKQFeiH#v94;@WxjbLT((DXDY)z4T+4PxssD zM{n&edfsrK@w=s~9s7>+nxA$as`V?JAH2i<%eV8IyV!5dn{FI`aaY~b&m~FO=l9=L z-Bz?uT47sJU7XUkPgYX|qbu#F2u54ZFB6KctOv951fzd?X((^|G&RsI=jrMNJvUB; zyGm}H%I_k%aq98FYr=e=Keu|np3Jn<YMFf1*<~*~FWWtJ*!e#E_Y}*#jkf0)i~n~$ zjSKajuNJ@m#FrXj@&8M!zn8AbJm$U8bc(e9(O<<+&oz2k1-$#WbpB?yXX>eT|8>6C zt$cDT=KkLEjK=r0_Bz$(8JO5l*tvgpeSgr)pI^TH3*R#T;C@!EckAB%Y~827W$NpF zncCMaHc#FCJow$uDfU*mg@<a6#>bzJmD%-ti4=G2LOs62u_fnUAFf>)vab7ZL3*rA z+wY*2G2-nH&+W3{`Mxx0ryk$oxut~?@4S~5#mcl<zkXzJXS&zZT^2m$*N!Ic(4Tq= zB)O*ha6!D*RFGt(c>BYBAzIguChpj*$49=w+DhiHey@?SfAxEV4!8B0KcDK&ZGPx$ zovr7WJ6-F&&7er<``U>5T?KNb$F}O9Ph6+Js$WrK%iZrf%Ks{FylU$eH0^g3e7vcr z<m-;W&xX(UhaUM8Ar_h^eSF&ajeqxjvOZ%IqI&gf{i^+ekAFNrDmmrT-`6Wje}x}> zpR}IwjNz7Zvn&*semfp<SK!7k={BRFtop-&=C7<i?|wJewyQRN?(6Mq+h0Ge%6WS9 znf#rjUF(mS@qM1UA?}6w#;xfxLeZ5wKf8ph{^fI6Stt;?{>Inj9`~)!W4?B*x<2{X z#@OXa`{y6XmMOh)E&ti{|5dUwrAN2(yA`D0*Dopi_o}T@)_1;jbpP9%%Psr9t<I7T zKOJfveSS{++WlVFU$6R_VZZ9`PPx9^U&}rRZ9VIcB>A|iYO(&@yY;8?@0))=|E??A zeBB$DsrTgPoIjUd+bf{nRKM#7_pgPT&%3PzL!NtI;P<tRztXwDD!!>M<J8YZek@mi z3Vm@7J||wPwBp?K1@g0s_N@?hEZxWQ_rjFVu5&q7eO7(ZzHE;EE0=(|`i}M{Piq3z zn_ks$(!|J>N&BY!f3LkYJ@C5Si-I#h*xYqKoZXVT|Ng^2#s7Z3*ATZqSpV|XyZH~y z7dE{surK`Hzq_7`Z^vKdiuRDI{{hdN%O7r9|9Ra!3xPdWuTE{c&tClXh_rZktHj6o zmhpe`M9%%*rN2D+@s4LM=ezwq_!gP<+{??|wK-(|ta;w6lj9#=D9$RIa%H{y?mOn3 zm*<%a{EVM_GU)ti9?9AH`hMjxc52i8Y)sc)$lrCr?SKBqoBTy*nd=SSZ>zEUZr$hp zMe5TYxeD)k;Wka-Y1buxp7|{Q>$UKu+5267UH*1Z$a7=w+L-5uKCNhe+}^u3*DG^< z>ESKLZ~wl&{B_2v&*q;^*Z#|x^~(NAef_-udyGG)+t==`ow9$ASXJ$xd9N!&cE+9G z@b9ZscJ<r-SNh4f?#KPUVx{vvet+ue)ziKm-=*_B-RYggo!A+C?-EbEnAE)Ab?c%P zjqGz5^1c1KziJNW)&OhffNeq%mMx(Z-PxKd`lK=%^B>fB%-g!q*-^>ebjz*VLOpEO zjVdv7SpAs7lgsP*WV(Ipc-Q|o;&F_#T+{oj?wVs&EVuK-{c;{6iOf^@^(P9h5cmCi z>634v={AjtYXjCRwp=`MTkZU}3&(7%9ga6G>HlakU&u-Llg~GoHRm}VCOldCNrq`I zZy&pQ!(|7lGmN^G&zz$_-)XZr^Q4mFmqrES9`04EUhQGIA=Sg^X&~prH2L7W1)Oh! zLtmd|(44^5-(Y@l`2%i&?yFT>7s$WhXKS4Jpu&JXhG89J`H`$Wd<EX6z2602gf~a9 zJ$4Yw35tFk8xj5XZhZLL+I#D7&5nzIyVi2St=p+jXPBGHeKw1?eHObTZ)*7`1D3o? zpXKD#qtD#@y#4&%_b1<Jyq|rhH~mP%)uPC^zxQqv^S_nm)w`s_{9{$-eH*u1={kR( ztbX2FEq`Bj=BnQ-v{wH<Kl9naJs)g0OMS26ebH_oet7S0u^TdveGb`LbKmKhR($cF z*9EqDj(7PF`owf^TP2mRU}GomvwGdPXV*ijonI&2JMnAA_S>sM<LdwTZ}#sBtrT9h zdQbJId>-|<=kfdPUMDL)UvaAD&{sFvHTtDLc00y++D5SEUU&V+v4Q#82I(2yvkp(R z=X%rq=k>(xES21!@0#~deSOg)VBP%(YgO;^PoM9e)t%1v`EP0Mzg-`<AFojP{4@T^ z=byK0Y=nN+%sXv7Gwa1B_q642uf?(7<k9-;>-cS9_{8;Pzh>9FC+fL3OBJ;AA1<up zSix%SAe%6k`G8}?&+6vdgG^txvzOE#s5*0>dxPD>pCVUoidr$B+LmH>&b{Gig4u<g zn|zfoeNA9XxLxURdxPBZ#GADX7<HJ|+~<q?@=@rV`{H-6FYrxZ$Zy<!@V5f%rU%L& z41cibu<hxKZ_sDdTisr8dTnd*gBvo%oL`DRNJjA3vHoXP4lv^{$eVLHr$Fn$9<j!s z4}`B|x9~A?9}xLqHiJ>Dxj*oq;17X6;x!DL7VxId$!Gt;f2lCTI)h2`0@I`hcd3*E zzgK+EztHeG?E;t31KTHix$iONH*Y_%|G?}A^B>4R;Jv{3k1vkBo_T#!_<`&PsUL)X zXjd@q;rPe&k7pk1`9}N3=Z@ADY&NX(*y>s88MGVK4=R0N`C<D*wnD~+?bZS12Z<jv zDmZL7D&+6-*KyY|%Q5U{``%c6VDE#x0`VWB5gc`#Mi0avlohb=5Wd4!$Ct;-eZcoY zT7mKp$sLR_{80x>ABYv`?qG{y)nopA(Ep(51Fr(p9WsAdVz{CXxIRcK;QV2@Lm-CX z9J@W!^Tx`Ck7arm(q~vR3&dtH*KwR<T;43-V0>U^0>ckE3(+}Der)QEmk)3*ketKO z$9|vjKKt>8<bxL<XcVaY(3`>A$L!wVd{9w=m5=#88+&8(K^_C{8g3a5K8E(@+5>e7 z@dm<gIKMIZCe$0qzTuseV7|fnhUgncwdT18MK*BH;8JVYn4l!Vf2?ut0SN=nHyq0t z_boDYGH17LczducLF5Kk4)ZqF*^O%tdTda=!DP~K@xkl_re6>9Q~Q`^EA3Uh!IHyc z#&GzcTLOy&n@|J4<B!=j?;Fn_tZevw{BA?Fb$sLw`CRrghWU+OFXq`yS?|-|?0q0L zp}fG<LhKHM8Dn+x!G?V4JofJl|Lk6bJuv*hCc!(8adlJq0S5#2IjrYcpEr9xP%;pW z;j7qd8YUs%Gd1c!MdF$(49A!rw|qQw<6=eP9D_6o_4ek&4ac}2w-^SqODOk<AL~8F zmE6AZ;EY6{j6DW^681f!Affg8kDE6hs@)`4a6g72jrrZu9>rta$*TlkiXXh4AQ!>& ztoio=jtLBJAB1c$er0@3jq#Yo<KDs<EK>PB_Q#|j_ia2mBYBO%xkoRQd3PMwW0F;1 z-Elw7LHfbL8_7IIYLeMK-p3S^Cx1L1k>X>NCwaIh_?SfU1jAE5r1{L4dXBRs*cjPK ze(zcSl_A-v`{SeqtR{_NA9QXA?08;npjhyQd&wD=H#{=`Oy0-J6`uYaq#66M{#x=0 zi3jsMVt@S!oBw>;ZyE907TG%eL#Hgw_>1KxX;;gi@BX^@t8eK4vwuGLtG7m3=@-Xr zj$Qec%eH-frv1aEvD0@?Td!T5yKm9cZ@2HeU#ZM{W6W)OX6KVjKhwXj5siw=n5Ul} za$50g_tLG~zU5!jpE5iBw7LA|=}x;0Hl14<@XX%$_}A$l@5s9+mi~R3c01_OpSQ{9 zrq;TD6*Vi<{F^O%Z+qb58GonGzE&9WGtQHLv;Num#8<oZ&lvvwJ=4;^{??u4JM6w* zj_?2b`*NCf@t>rZD}IV*?_PB3`f-Pt&GmP!%9zw^_;a=W7v+SxRC1;6soC}|b4sjy z;JNdRPi6k?&|Mzu`l{$*s$5{T$IRHT9k2e??os#A&^cCpXwR2j;<M+kou?cBeSXky z-EH?@TGTF`ch>&0zj5p;e_O?GT88cW_WgVMHKg|Sx^Jhg^ZfI#N5)tG`t|G7pEI@B zd}iCdC^dgQGjnrnzSrz*UGul!59O>*Jrizne*GT4BiH7w-+UzO-1EdEVdu_s9tk_Q z|Get9BD;$!+di$Uk?-C=t-mI$;n4v@7R}pxO!hJJ)^J8!Khxg#%G*Eu$k!;V2u}51 zc57NzHELb-U&S1%J?#dM?d^5Urx%^dy<T4V_kq&S@`OFpcRkWDtM7Bod99x+yC>g! zWqLIK?Xs&?mTnt=w>`dj?Q~^Hn(b3N{)aV{KOUbjGhZ3`Blx;t=={HKQ?v8eID4%< zUnOIo<?sHnNd8Uyb0M?(KH05xafi>z$n4hc5BjYgc7Ff6qG#c2;@_^m@_WOTs$1)` zZfs4Nd2IgLIiJ<HeM;J=^S;K?y!?wCd(OwtrM>&##qht^y!|77`S;&@)py<Xs@l!G z?f2W)yXtqo7JcVXzW4sib3a~juUEhOGQVQU&Y0)0`6dhYIn3uE(@z)n^8Jyo(62L| zB?TYYi1nT<b39DX^Ljt4{ewJ(v~-0DyI;qO9(0rIJ;O3BCC%;osq1E?(RX!yAI^K( z`A*JO<`45U9nLTo!8Z|`9<YDlzp*jpI8(%?N53~|ZPxZLUH0sh@%0lS?WbSasB3?= z?zfp)xoMXgN89-YjgHLrro4BGI9zt^D+}GptE7MZZ~1}8Papl~*;D>=$F0i|v40rf zU)}Qd()|VT(e=&$`93auE!b?&^UvVN;V|aIH5;$8?&tlO*ufv$FYzbwL;FGbZBi1? zy4ULc$nD^Fu6a>)<N-fO`G%_p4B~T259T}9NIY`BuFO{dWB*J3SZUt-?7V-LNj=!J z{q^VDGx#NgWB%4N$mxs!cm7bZ^M`!n@zY2DOYC|5>yMt)qsj-N_V(_s;_J3=eY~r` zr1tHhLfPk2^EY2#R~KtC@9DnN?Ph;B+ShY1=vhyA{&@GB-|K!Y&~w{yue9#j-@E5; zf7q-ar}uNc68rJDp|45{OZR`i_j=OwxBGJMY_Olc=g3FrCy71P)BUga$J|KS9mN-4 zyY2Pk*JhFJ*F#@#miztvXvv2AKM#L1ofiA!=63(OTOZnMZhSATTX*Z;?N_Bw|M`B{ zyEgXjwb0`aLtnov`?`O3j_15@_Tuw@RPOwdfBE$8N2=Q%e!hIM;dK4I@c+LJpFW&X z^!2~p+VAyN_iQ~r1z&H--m`c8$LtN)^LYP6yq+t6dH+BAGwSxs>dLEcImntAWF%ZT z0E(_=Ha1=!DG5}8nDuP;w*NWauzlly-?{7ge#w5h-D>~}c7q?z;mpnUC0h-Tv>%jb ztACM|@S%O3S=$eTABP|C$MW+0yKwcGfIM5h#Gi$$8xP9wx+U@GFet`KOhH<u-$&QH zNRr>jFI6#{?cdAQ3P0w?vmVTj`QP=re?MQ-{$pR~@38x?#_><=di}#+k8UgcsE(2U zUnvmt;8%If{V>N5=Xcb-zwWr<&{zE(b#{)?JO1&8f6?Li$928_fz=GQgZCf&%8zN^ ztnkA+=700+^UoYV@b74P?)X9Ey8WYHKU@VucEqiJz1ctgYnI8*Iag2Xho8>;np0<0 zX&KaWc6N8=hI>Be(kEZlxp5{w|CQ>g%~>030{vGToSEcTxM`L7^0}X${@hhpXg8lV zee&7Nt+V{a4*q<4v!>PhqFX@jH1WolW;?c5m{xA)yUcX<*{&%YL$)l{IJH_s_w<7g zd+uGC>^dzZ&`gfK|Jm%tKf6!P+PhRUbEWHPrAtQLVrgq4Ziyal(w(*_ZDH24Z*N_X z&1zL(if5~dbw~^h3DgT{bM;KhxUO?t#jQzVk_5Ms%Bka>3ddBqI=wumc;EE)n9|?s zqN;N0xYHsbp{L2wi!^jr>3F`mw|Ij};FozVDqOW{hMkMPt0->dkkbjje>UB~#4FVx zwkEvQv3B3R8;isQOto8<O_|HJa3zn`S+3}Y085ulYrdG7$Ar~RPH|OcT6zBPs=BhD ze_B~p6IoY$dXrNX=9{Lk&AP4hf=U1C!q<B$r#MbIcCuThJX75N(uS_B-tCz)!aCEI z?ohwFMuSP)c&Wu%ZCjs368Cc4JcW8!Z^~8jTC|DFv`wQkxJUi4_adz;7K>PNTQ)vS z-QmyNc}d1J`0VLLOSp`Ky^c+l+z>d;$%k3h`(oF@Nsh7;4^DboVA0HaZH-bhtJrF# zX4S>wQaS}OE{u1p<%$$$1syq<=H(zJsblGzy5Y$LGqZ>;i*-rOOHU*UHZMI9csW5+ zKq;wt>46Vjywi$$X0dr&>aDtwpy|9NbHfyaoSY3)Bm_GT1}zJmDxstLC^2cnlnu^F z8>UFGNwoF)yQ-G7eO&IX`tEYXqr#5gCetc-q!U+|nm#U=6C#ndi=}p%l($S$$Z{!f znM2cqdR6(lH+Y#&vyfW6WaE**8R09BH3bDOW#d+M&D!8naP3M$V}JO{gF>!>Qzdl* zTXrOFaQSfXOhV^^^EutFtirm2bGDz_7V)WYYVJmd=9^;NP6=rn91KI#HXM0jYaa2) zVs%loYTNYZY~DRHCQ9oR&I{Rj(VJ)PQ#S9Om5SyONiI+CBzPWsaX!KGf$h$NlN_2` z4<7mvD51lt;*^lHc4pskQKdzC2en!cPCDipDxsqpZ5R=BW_RnsNlBZud8Ub22nO6X zGp^uQTB+As*ebBfdqaHYhLjKUE+w2?aPU&XNdqPW@z=ivwit5G7OFCcFv<|WyzZIe zqJ(`{ReR0~7_ZfQrjd8?z%IqK@UyM~oQCUXDLr1o*m6?TwV5MWp^Gi(oI%%u`}bxq z?`W7|d3!~_L`Tw1zf_)`GZep^5i{yqoO9k|OVkuazw&Ut&Zu66nA<M*`c~u$OR@;= z$yIt3+a{4GET~}IQZ&0GW47X=XqR<vMl5BsJFa@P{m2vExzB0F_Rc9B7w@SR^|@XE zF*n{<3sUl7G@B@tsJ5YV3Wso$?-G|8;=5e<*aUJrgO2D5<aSz^IBZe%nyP4+9+7*f zcQH?JyRVAh83nV67KRgVxI8*ilO$-Fn>r&bN2xHWY-8sY8#T$<9%qx+ZS0)F5!~my z<eA~;8!lcgoF~+Rl+HMVtg_nFImJVVFPKL_pw*?rLV>H(;Af+TikGHfn$GIQQ-l&9 zZR(uD@p-!M5|<gra+FjL<)jH~3aXjT_UJU&9_g}m-4T&=VNJm_E0Dm$n=Y-v9#b^u zI4NyqI;xX#-*3(go?NBEB)=^kGu}CDQSC}vn)>GMu29FNZib;;S__j-ZCTOTl{CYO zb*k4<5v>(mYjwrNmQ4{0&f1<QqwRn2iq?t{on;Y@OVbRma%s(F4PLh($joPNlh;iH zR_;|!X{Xszrtk)D3N;Vk&E|8q=~S7aYx&BvE)6rQjApN0RTaB;j!xE_-sLyg!zZ6j z6OD<BITL<0^6#!$YxQnj`g}Fzmgc055&N7>>#XmFTt1m3^&xLtkr{8;>C>MhkM5qO zdvZ-(<oRc-mlYIRMV_C%{*~R<%s|@}N@kX)Py3qgzO!fLvgP+##V227`90Hj>#RBZ zXXJa{Ia!{u<85VY??heQ+`gl3m(y1KIQxCYXWMr*{#U;5x_RsR{qx#Wr81sJoZFBl zE$nvZ=!;I<Be!f#ZqG0{=F>ZK!`yD+Yg=j=FIz20RJ~-%msTt^IpOoFb<0kFKAW{O z!Xfu~yzQ;^ayAxxVqbsmIkZmQ?Ea(&Po}pYSO4zE*EIS3Jew8Y<mYC{N#(yzE-Wgk z397C9Qekg#@4r*1m1RxEhaV?9f7SiJcXIFfeO8t$`u;z8@!<0}Jq^G5!#^^jx*q)f z?cdk;?(Y8jkkVC2Q_KE5F=ll(UBR&W-G!smUp)PH@ZaO#><1S&FINu@Uc1!f?J|j* zzoG@t%!|!4JN<cU+|7ieEnA&dpPpfMVpb3D9j0|cHDwh+j+V#S-LL)=ufJs`qQtcA zk@B0Z_XVbZ*?y%)yGg&VApYymgUY^#Uu|65K2`bl(zaE{bp@@PbUy|N8ycH@cbUN_ z_WAj2_ODtyB7CE@+|mypYp5x*i@vJ={Q3N=v%l8XMYEoNeO1^(*6jJ(ySIai`zIc_ z!J?Kcn6z@`e#t8l30boWnRK*Gb$O>rJf6&3mACt4Rbmrs@uV&dQ8mkLMVgDQJnw%O z?RT2hvoDa*>VkaO<)cNvVoN>Jk9@71-SuM9>?bS|m#^BoEc{t@H^lr))#F9-@$*Vw z)fIQR>FJptpS-#IXpG@Lx%$;rceu+8o$bxP+>@@{xpDgJik&N`zfP_)tW>NNtYl2J zbJ*D*`D4b?G&!a9)-!GQ-8ryHHrf2i<IBH(@~wI*)_&sCy61PJ?%S-JcfI>;jp_WY z`#wqR+xb;gcKJrh!+eWQ{!28Lcp7nan`+h0h;wG9rw&}KI)1aPTt4sb*}%l?IQQ1I zowB0a(#k?^%(@lmIQyvL)7uLBcpu(o*vEVSnsoW&`&Li#{#{cq|J)mGw@$A>uQ4HX z{{9{NkJ$K#XDymNJM?N#=h-Z#p9=({mmizIL+RYa123jbIPhYc(`u2(r8l%x!!);g zMSj>76_fYq)tgVHfwq?QCYH0$uj2E)zHGbl-l9GIe?Gp+*tahx=hjd2hlw0)PTFo= zI&m43Ix0J-_IWCaoe7wslGJ##G-FZ+>o<Xgo=Re@&k85Iq_7#AcpjP5$vfFaN4O+^ zQb(%Tq9v2%REw4@^i-O5;$E9tXT-EKVy|s@jEpj_qzF!&m>BpxaY9pK>fCn6Nv*DP z+YfHyxjVy0X_J=DD;u7$+K68>W0b_I_JpgqZwO0tx3X?=R`p1})M*jg)a7Gm*t3D* z$WDjV6)Bku#3l#w%*=ff89w>L!C%4#K7N9WCS?aK^_e?+jnapW@y%MPlTJMmZRA(* zO%iNKkeM9GqEN)E_2z1z$*!QArITY=m=+k_bQM`BGda#>qHpHsh5Q})LOqFn9a2dP zuT({~yh-kO8f2`sKzoNqQNi7<T*CVlZv9?3Z3TPynb@Mn)S%0LJPjIMXJz@5xpZci z$nvy1%_%>6Csp*(p6+xxg;$Q^#ouET+?U@z*tAa|J;w0TEQMP$l4o$c>}*vonmD1m zP>5mC0shZT8sC;#-WK89DZ$L|Qf+>y$DkuA`PAVFPAX55o^fzboX|Z}S;xgm@0n+W zqEL~czYa)<JIhn$$&6`ifgVSMXChP@x;csnDXKJRl-*KQ72@1ELw#DS#|cAozC{}Z zAsQ>gLONPvdJcnYi}?z-ejjMDJmjSEL}*u)qEOMv8A6>cGMm3C+)`F`tYEnKZCA_g z3r;FeCK-ZEYl*%LQYoX?nBhGofsY~3qosps(c4lRe};?O0-Ij4y4-f-H2S5$)hQtB zC?aI23bN|Zg}{y$ne+M%TaF9*>pF@EJ+$F)Q4}om1l3fc4H_y=H`oF_T4r!9n$U4` z1yixR`4QEcdkYTmBnfLy^f>Wxil~dCpxGG(uFfPc6`>-|XAT0bE+?`ZG*pt(b_v|H zm>PLVCI4|s+Ae{eJ5-jr%&64?DKskN{G_44)p@0H)rrHZ7Ej!L6P10ADsXk~$T?oP z>aMj|x&oK9Vm|Yt2^tGsl$hJuIXy+4dB&Q-Qr<Z@zv<R;_$5gqEB6^qywP3W); zp7XEkwx*!p#W!Y}f_#^AlvJIzE%SC!70O+&{gcC6Q9e>)^$Df<krJyHD#%Aks8133 zIXN%>)k&s++?5WhEGqln?8>@xa(Qx)soaythOc6l2&`t-dALGvqYcB<Ym@v>ta#ig zbect)`D;MeRi<lA2DzH@lROKVxK~Z-J>4IqBfX)frL-_4|9jhH$)McDiHXaiHmqe^ zm37ceIxJ)r>uuqUQOcn@Dh{pP4<<55GnegL!nOOUOy|-F?*vu$ztfTo8CSjXJ#kDT zrt2!pgGm~y!M$DwLuLo*aVdleN7PKxSaK+8R)}Kgp_98sUUOC`r;2iO<%nglZd}AL zHR0$arVv)26H|?zO-~AJ+{M*ALFcKl%F>9igmx#Mq*z9+Qe`o*1wzdckqxKr9l695 za&`8Gh*ddyV&W3ET+LH@8Mhqylw_7K*SI=v|Ic}|&n1Md*B6^?enWHh;<l{H!fkWT zuI*j6)%)43`1iFtgY-5EWrkh$J^AdVX!YUl*?04f^a)pQzkT7>v?;d}Z(hFpR;cBk zZqeqJf;HyvZcOR4SmS0j&G<%?d9CgH?MtN(UlabWzRdK^X_qx&8yQ|N*tT`it&34N z-ZMnLIF}duu>bdxCH`K&m#p!3@{2dif6gA#U#t3UqSN|Knl5wYR_gOV@?9SmFZU+8 zYU{603a7qgeSLbc&h^@>!%jzDhQ2y|IGAangUc+rmHPenqKX$PiNERbiTHIQ>{suX z(o5l<@yfNWU%p&?y=OfyOFZL-_1*il1PY$U+A0cUq|Tiuz_DRdpWprhO9k;qJcbd^ zJEE)FoHV9|Rs|`soVNN~Ruz=wt^WR%XXn{DYc5aTB!9jtR&h#K+J?oGr_2+$ar&gy z-)YrO3Eb-MUvV~`oxSGrW|#GeZyq1<y>Z0T%bz3Xe#+c=0ylP?w6ay)kUF`v$|+&9 z+N&?K4qo#Od&$}8JA2J#VW!)rk;~Ot%(6E5`E%r41ZmhidqcFadE#m{O<Tnco?va& zYOlYv96084?ImZU?#vaJS2yv_T60O5DVq_bIjsUjZc7FkF|p;i%I$tX+m#zsg?W>$ z!DjG+&G@YfGGm+fwU;X;Z?Dbo>px<6=emvTDkYg~-y$pyS2r^6+G}>Zx7Up)dE3hT zoZ1P;O|)0ZsP5G{vd&Vjo7br5!h)Ntqh$^>q`luVtGZZRO6G;-#78>kk3SB|TpwHW zh;ey^&bRd*_RVSQSBhqBEO+IS`ub)slhK6=uN(Ka2U*#b-nx4_=_2>6z08ZEe*Vea zF8A@HVo1C1p%coPZyu`3#l3oJdaL+h;r&;RuNYU=2wf}s@?7w+vg<RqnZG0AE^>dL zn|k_CaM0#&iCm?3e9f06#9H+)EWcQ}Wq0?z(17i-wli)!cFo}n>PnmN=%e69N!KJ6 z|2O7+*D{ay)HDWP{Aw`y>(-U3v9~MFK4<&zdrejEa)w)SAr*=0)-%(#ynmLZuzRP} zxx97aAuD8azVE$tysS8S_4^c9OCxjpeNGSSe}2opy`Agx_HM1(nrDXEJLPt3ZM(gp z>+Q8gNxQ$UxRK|pwJmyj*V`?6JL?kaV(KF5Lh^)#r`|sHWLK}xy5px7UO)BV(Lc2d zZwmxB*@mgzUhK2U_Lj=+#eSP?f2rK&zQcc}|1|&U{!_`R3Ev_lWBR8a{2F2TDM~VC z{?wD-UQEjAH#+$(V{%Tv)yZ!!Cg*IQdhjdr=f|H8e_H%0LUM&o7~A#a)B@YEzP({= z*V9us+3r$7vmrON;O~JyJN|6=15&L8HXme-@cO9-zedi?>DN2?O=EISzuC!eD<<dk z+np?nmW;7Cu-CKKvDfOK+IR|VKHK%=r&qF53u-|=@ZMy5O6B%q-%Yl!RBkW!-*h*O z?K;963qHZTaWgw5<J9JFOxG8C6xepLAW?U(eU<tiy=222?z8#(zbSoU`Xq5JJA8d) zZP-zjx7(y=$gQ6AI!s|_9*9!hnI}8xb(qplzuOHjk3MOA;`)U3$u=#9o$lH*-W}vx zR=p-Es4QC4r$6*$srH1J?QADYS5Ju9&Udm@dt%J;(1Tx+J~@3-`ovTeE!uD=jP-JE zsKMQ^qbhH<X~C`Go%A{kVa@6$6l>OUExWxcVb|I&&+ECN2DV|U*Vj4}-IY?gzSgPe zu9eF5wJt?-!&onOpX5H-eS-T$ZYV=hw5ZSa(1Rt>rk^88bl2J1FxJb-p+$GORIaae zEV`?ua(%6H(OoZ<>zSbjduQyKu%}}W$QKG=^Fh{d&ksHLC1PsKcBYf1*%M;6bDb=G zJt1bh(8<y$Q6GIZeHDEreTD6zj3>bUX1$zy^-?-X-Y^N<YjgNfMz!2tH_0;LmUo`p zR$a6`6xQ<Y=2oQ--;e*A5H0wlIl22lyhJq1za=-6KA0a7YqXcz#<57o^T|XPIV^-; z;t`=ror%ZyMyq^^Q0iCx)ONv6+-3C%wG{46jG8+|VovEE*(T@c@c-;$_O!xvoM{4C zO_CL}64+*|&0t){nHG@QB<V3LfvsO{2IFGRxsFM;DhnqbzI#h1w3_{nuYgL?j@c^| zCmz1*!rLkHPA$vhNr8Ew^OT2qWvn}9D|kHF=)zYnyxrr;C%($&HTtYmi@XdqpXz`6 zzIOSV58d2*{8Tx^zIF$HT{T0zJDvOQ2A+C>2@gN6{l?DmC%2$Yefuq?`UjI9F4X<| zndQ$SW_^d8`Fe-*!$0&H|IRenlPPTSJJVoazmcGQ$&vN59EAOwSAKgFpZ0LN!HVf@ zi!VRDSz{aJa<bAkHqTAG;_#;zp?mFQbM@Zsvze>=Zr<7Fi`Ci7&wsqec<F~w-_<|w zYMQUx_@6Uh{58wUY3tkU`S!Ix4@)1-mim`e;=kYHSMZ*ws+QGU?zh9e`d7Sp{i2)c zin#Kg;8j(j_3^#i@8@kR-Q(x}^+cTBb?wxSi!%8dbz*&Taxy*hu2oz=|N7$TUr$rE z^&AWQlxgv+RjcRLrJPMOdp<l{D5ZZftZawP`i0BBcI3a?*_bA8cc;EWAoTmY|Bu+D zo_Dvc^L}_t{$uyjs^Yn8ANj`qmfmsq<(qcNUXGU)HLO)8a^gQO?UDY=HY@Mmwz^v0 z>z{wdG{4(-V6LssI(OZ5dhhI_&)thjwtsE@{B-x%*<Uku+3a2+{(17#hkOsOyneCX zzdrnR$o|j&e*L<ub#;&1f2q)&i@&bhx-NUx%(L?!9&Ne&vy|_ZRaAJe?W*<M8u!@B zg_phlbGKRAFmCzZ*#_~y`}VjQUtjv_-0N?%+OB?j__d_c$~mI;pN&kenmC8d^u^0( z$j$ege!OGr`DyDzS&q$L6i~mY>GO-vRaQv?tM$|_zgN@Wpm)gH<m0-ftZ~tidt*NI zN5tqCy_T&rH(2?owa)y4*8N$f%xCL9K2h1%nD$=2ex?ZfL*6Ys2QHp9nSIA+^G#lp z-ycq1W<Scib@qLS-Td#~%inR>{QG-f-@aXno0pn5h*w8$x%K-_mi@uCx3}j#F4o>r z`kK%FN73(d=k0!cQ2zRqx#r4re)}IAetx>hE<a&uK7Zcg%(#r_V)|yw>~h#$uO=Mc z6*O02NAtA;M*h6)4=c(<Z2ql3yvs>exr#fo^D@(J<^wynJ&IZBS3Nz;nX}X0y{!BC zNzHpvJHyw1S9@MP-{$8Nef!F;*VA{@wZ49*Uj5b5GHYt3+5Ib*ZB2FOod1`RUu<>% zaJRunrTu%uzb0+`p0lrgc76T6f6e~&x9@5^zPqzn`v2X$f5-p7zSLKLG9)}(LG0@C gkIlC;mgjo?ZEnh1@^oMSe-`hGKmVEDn7?@q0E<loumAu6 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..af15eb23bc7edc6e95a1fa7be709f3f3bc3d5d17 GIT binary patch literal 301307 zcmb=mWB`K-#@M^1JND*G{a=^^1wDZ|IXP<trKO8EY=i*G;|C;;N-|4JOG_8mU4I|> z{`byx(*JMnJD0xpciil=58o7j`+l>!?%&Pc|Nr;DwOMZaa9efb4%_uRY8LLOKft+h z;vSDVbNq|`AAMvv)#m8Y+N0mRRpu9Vtp4q}@3H7^{i(m6{hK7c)xxg7;@H=nNAI3i zi9e?nXOQalwdmyX&0cm7CjI&)I_bRl6r1X$5o_i5b$!)W-}yP~u65ao<<~vtRRrBP zfAr_jqi0*6hzm|%FWfG^R8Cr4`1$(jdk*M+i#_?+e8WG>NdD^QLcjB;>^Y*zXHoZU zwu*o5O8$+9tE}_REB^eHwlZE%Q7*c$T=Qe!W$*6Z?H>0Y^O;Ydx~F>elUF~c=L@fX zJb%yJf9-RAwX}Wt`{ezEe_xm%ean5sGkvYaaXY;aztT>6$2{h0-*N2s>?QjS8vfpT z<B06L`&wUZ{XRD2+C2KG8)W}b=KPJL-1BzYU(J8|PyM;|Nlxx77Iy1*9K2Y0M3?Wp zZruL^A9ru4IDEGCi1j*s4YPk5U$3$rIURpwv3A_Y0>h7Ozq`B2@5H*i`P2K)`_y0a z|9ao_mA`##W0ooSmlHA9|F->-___X%?T@~y$@-`I&T{^(;FFzi=RFir&$%%x>3_*1 zzT3;izE_wWWj^<@Bi82O&yXPd$3prQwrihgulv~Myyx*>lc4-LQO|NWb|&W}3g6!G z{GWxz(aWVNd*5=N>ArrvTYQgAU**R?5gV(S`|bxHmHReN>D$KNDTNJl)jQ`(d)NQr z+1R}}=*`0qFO%fi{e_RupYpHicGvBBw?A}GTE2YBXYE7JRvuw3E9hju^H`GK!hC7{ zVbOaV)u-zp{+oZ({)k*$VN>tr6IGgd1-;dG9{ku6WdD%o{0*zQPo7OrsTYr~IKmiL z(9wO_yS^_wCgDHNq;iWxw)Z}Coi+CQ+ZO$%Ci0o|hHhc+SIKi8v)oRfwC9lAx{odH zdmeF4PoGwoJn64=&Z7r9lYUzqW4u?`raLeH$&SOiVdveg=B#|KA7MK`vQTll?%{u3 zXSOG?Pydvvd`P#i@WlD=LaXP07d87?Y5zc`|HiYQhx@L6=6qbAqCEY%uw2;nX!+kZ z_1+t+?501QyXWAw%BL0EcN{h@lT*2Gz%94o>wcs29(#K)e?D2Is8`T3_p^6gg8cM~ zW48A`G-$j3G7mj(V|`9u=ku=ksXw*eB<fGLIjH-3sl}d#HSv#G%x^sS`MM?7=CR!D z_{o2-o_FVdE<WiibIe15YMc7Ap|*M(Y6V}uFKDXH`S7Rg=&PUa+QN&Tzc!j*weNM( z@u^>vV;-^W7N5T75aYU!P3|&9d(`(NJ(k|I|KMk4Ve=cuU;T7$c-%hkR|})jp3U)n zuf0#y=$>2ge#2LTm+>2_>h?ZrdhY&B=X39kgD;n+{N-5v{IOwQ#lP63uYXn@GCsea zZOuIYUW*6cXUeX=pWl;Tv`0_<cXh;HyC;tuZH{uED=4gdnO{)A_>=M4sw$i0uYY$Y zeElm~=l!hk$4+ZmnfmDS)0-#1f3fpx?A^l$Z+`!B=jXn6FCQdbEPl_vYkKo)`B#-6 zl<(d?c((tm&9Bb9$IEx^Zk{~<<<70I<oM3jt$Th>zr>$ieE*f=@8L;bYmOg&_0zBE z>Q}9!uc|_mOZR4N*cIm+@psRhjlU{0|3!TZx9`_kQzyr|<JljcjrFXS@x?L^ner<R zzWTQ|;;#K~^G*AA)$@gY*FW^?-_eM>`-N8j4o@uo&$i~>{f?`DZ*SaHe=I*$uJpgn zns@X2um1JkSm|mhCz)5+d|cb}-lH$49!X9Q7u3J;_2@OV&n@Aiw=0e+nyu5UY>|$6 zAUEA&-?S^=Ee<npTc`W8Eq2di!QJ~O{}g-kP-((Hmg^Qr|COq&FKm(C^N@4)^{Kxk zx8L})_l<o5xBB(TzZjld9QpQD_58+mZkvaE*UcyVlKX8gvi`=7i4})=%l;{R_PufR zX75tFW2$}|s)bK#%RG?MuQ*~oub?*grTIUN-~Bg^ygaM&y|9=0&Hb29Jnk09rSmpc za<6<}&@FEBKvaF=mG=5cKdaVp|33J3{#ToS-Fd~=@5;~l6IxXIKX}c%`rfNwR~~%z zOXQF5uiAb4lD_`kmiYDWvp=z~u701~ef4{A&()vP5=!@lM$FAO4SRljMUmWk&!;wW z$Lv$;zy8fi`ug`(^4AKs2-|s*VfW8lhTT7}YxnWvF?G9p5w-i*N7U}W{eQ~OD?cA3 zl-jvO#PTPtS;wv#cD<Qv_3MKTSHC74c~!L`xzyI+pX%oouN&L1e!X-2Rn@$tQrq(z zcFi-7h+QAOX5DpTyN^}<{(J8IpMPfYy6a|P*Ix^){%m>ZRgK<;U2;<*V)?7qtW#$W zyFQtB_3Mj$SHGSxeIlN@bzl3{Undp)`73*`e%)~JRh5P8ujkJDD}`$7xmW*fc9xU- z^k;&2`yT5je--0Dc3!WpdGz()5~KO2-y7@6750eFdGP4rr{Dgq*)hrg1t;}e91^~_ zq0s2&{hB3LX74y^Cs)ug+1<0YjeFgB%d$Mp>#xP0Un#$FZfX5NxwwxlZzrFw(Jm|a zneno}pgCE_#_f~P@g0Y(<u-hcSSerFUT%~0Sj5Qxm&MBO1&y_DY~ntN{I)o3ocEzE zI>y%VSNfettnL35cb0n1R6l5D#&yy;=P}3k9S4N<3ctC$d|%kf{>IMp6EAzkaqcpI z)z5El9R50asr^A&zrrsfC$r}~Vw>$hwdN4#^V>bW(I<bV&)T%VSNG0i27ikqH`gw; zKd!2`p<4Q+waf!K{feX3_X=vGUzYpnelERn^x|8U{=zQdoO^MfxU6>^W0w2aqdw>O zrTjT=-_~#F+-vRe?y&%S#ZgJKcN$+U^9s7F?>zkB7qma2{dn<F=57AkpWog%`1SIW zkNpMh$uhRFpM;*j2~YfeZqxq;TboCm?=4boAD0;QN1pm6SGJ*_ea<7vbc_17FXlTA z>3*B1`LoA)&jZou<<l#B(mnU~O3OTwbGNA5c17Icu<EvX%9Z`GHV*{zD-K%g&C~w- zPBLYmRP~Mec~3a|3cAc=k~dHK#kRfT5c9mkPc<ve&ujdCe&f(LZWaCw?anq2W!CRF z@RWP${=>ZQ3O^{F43~M#`~Jqp6uZ|)gWf;lJ6>^w(=T4>tEgT<@7(ELc1iO76~~Uv zO!?0{d&jD!_mAuH75p$dX*}nFjI{prJx9&n74(bSJQPh|KcVjT8Kd}4**E)wK5?*H z9OKse*ry${-|H9qori+%>!;Nm*EBn)^m)emiFXe3ef!uDZ1b4sc`wAjaoSM-rcbTx zxsLGfUWkA1DIodxp2pvIHzEF=4D;{jvqASCi1}9>F!qaDX?|W0?B8f)|3*XoYj!eR zX0P*}hrHI$C;Z$~?)B~w=kbcC&jXuI?>=#Uz1Pz#*R|@WuQ7kb=5O&r#XRSx{V}zB z8~fLmd)GEt&v_{L+@iMs%Jv-xWXtYp|7@z=^GNdc^C>^g-aL3!drAJG=<yvj`=6-B z6|{Eqd)PgY>c8=S!4vDg4TXBo4$7HTul!!v9c=S}M_qo>FSh49j(%%boxibN+$Qn$ z9i#JIxiNJvznt$p;9!@Zy61qQ-p9V`oLZk(>~|jW_E#K#d3@<T+x|1*3H;XcC)gac z^ZVG7z2_lYc=H$k9Y>A%{s$L*-m|H`NjIk^=o7<jizBw@KDKbr`8)kf`Hn-j-|SR> zb}55BJ^AMufA78B(K3%2)h&MZTnV>0Zo180`)7x(%|lM<=hOe1=RC@qQYT+-ap2-} zmGcE{#W}TcpTw$n92SoI&=ww3>-a0z{n_gc&5QND<R0=Izws;or1zUgT>TXXxaA5z zs=mBm*xbu~!sg&~)$avOwK+bMmftug`L3{8{m!E|+Lu6WuN!~mPp;)YWy85`<H!Az z+HV{ad{@}09`oqU>Pz<@3-RChJL`!w--kZ&H*p@%Zf|UVz23XN$$HMC7t)iiTO8#z zOFyap=7A*tjXfLQ*e7#8zdz+4L%79}d)rmc7j|&(dBi7uf7&lO^NORk_X_^Vt}NG6 z`kZ>>=(Tdy{*B$jHjlXUcN{zVeM$Wh(|H^I*PiU{KJ`z~>|<MPj$V-b1F`gq1D1Yu z3SV{46}G>X_u7}hzrNzox1%ZhM6GxHnfS$h$3a8BI-SbS<d}!D{5O7eUg_U)*jjGm z!&5dd{g>W5%=&HPXXBH>a~|{DUj2mkTtWBR`QCPqMf7j{X?=3-XzDzc+c$nJeWJLn zu%$ZY0q1m!-&4PQ-*JSwtX}tL8}pvWth=XA_*wSm;V<(`^B?dnxA?X8iK$;<^I6|d zoYob`n9cMxf1kf`=;!+-_6J1yHh!-@SuFF2Y5k7F$K;pnJI)(d*l#ZLKk(ID?~^r> zWgEY$pG<!9h^2qWA?|gBAJbpT7xt>Z`R`iv_|m5O7T-OI^0RkeVc&67Qm&wH@_w({ z?&3R%zw;;Q-#Dy2Z$qu*%>1K#djIwQ3g#8|9Ip3>dn6Km<InOZ%y~a*vyL2$efoX1 z?C~2r)|}a#%)fih^T&eAD-KHP{ZsvIUU5wK+<*U1lHnBx<@6n*=baHwl3s6d<e29Z zc?b6$2U*`0c0Qi$RoicDlkhraQvRv(#}yCxc2A%D)9uYelZn4rcCVSfsw(C&tN9L# zop0<PN}a!9-}>a))71YQ(G`ao&HOdLD&`gTvBx}k5)yR(q0ILiW~%WU+s$nfpK}@A z?~09?7xgRo&I1<q<rDWDVm-e3*txq;fAm=_t2<<Ewoc>s?<=z_j@jKSXjol+;+JGz zVc+3uuX~SJ%5UtL{)Btm$FAy}c+Y3EH#Bdq_O5U7mP!78UsZo&X;h#1yAKWSHjloP z1nqy!Bpp7ja?WM%cZu@9D~@U2D`;D7>`~twedp1Ko=N8|4sx66oLsy5gpJv@!hUC) zhaBnYlm0PH-*M#KOx5>=4eWa!@V>7&{xx#R{jaI}_^K_eRzKnNE9^SE*)uMg-Mr#} z@Vt*bpErB&uMEAp|B%?XbBdJ>&N7cgpWoQ9bl(BqcLn{`GV_C9h0l4&8~uFJot?AJ zJWmquw>ZY_S5TPua(zK>_M7?cp9I}24oRD>)B8R9`P3@m?Kh4y^A!}?tvp}QSDZ6H z9+sWE!egv1<;Oce%ihr3%<UofP~v#San)^l8ec`B{&fQT_xhB|Io!zp?S}ey5yZcG zYCB7HXC6Oh_O7r)UFPALsSy7jMfR`ilKXqNow=UK?>>Es%|T7Sjg^`wv)?>m<F7bm zJg=}W^QAd6*uQ$pF#qmYb47f|LD_eO{mK3i|4yHLXJ;Rhe=8yWjdz6kSNr$u@F{Tr zszUr5ABp7OIM-iqU!SbuEh~874fF3hEx3QPApV_RIcGJpf3u<fodxmlI@O(D^Nsp@ zbMHJB@V7X0bL-Om2UPVoN_*UU%)no9Q2O4-zSplk_E$5{Tz^=uZ=H5!Q}CRm&sCGI z-#ExC_n{@-=JB6jm-6ogd|SVvb#MJ-n*)k`AG?Zk?l`>SzVm?Z`;Oxud6(85mAtn> z)azY~(fsaMn@1A*76*^^F4=#`blt}K<dg0)4>|L1?3nl_|DMY$-#bb2{1yjJ`YyFQ zY<X^Dt?|j|HxK#zD-LSM6;>9%+@7!V+4RQ2gSsmH1wF}o9t%ZJyb`|SFtgmpj?2+r zfBU#|?zlWF%v0UjUi;>;<noHclI!wx|MKP)b|1d&VfUCt|Hh8BPp<i<%1Pheaa?g; zLCfabp7o91F-hOQP5N$efI03%Tlt>HR@3Ufry0e!+sfQ^{KT@{;^;G3)%6>jrEMN? zp07CebMBJ+dv?BwPvovHp9c4@E8M@a5dW5%pPU<gqDHUmV@L9y2Q1>9U&41BGyV2n z?`May%|l*kkbj-w{<VerS0CBG`7gKUYl8i|A0EN)lwlEUGWD0N_>CP?&pf^pUc~?V z#&Ki6k8Q>=cm01!-+3g~{(XAQG0wOR9~n-rjXsUY!2DAn3A$MYDFZhzy}!2?oS<hy zGH|;GQU-4K+FuzBPSA2{umoMP1D2p4yL<fYGTxK;dg3JO?UR4Tym=&eyy76Io}B7m z(RGEre`BBtI#2)i?EY!61pP?@lA!IwkP@_=-><h3;0$~m?%#O|F#mqBUs89R)$E<Z zXN%S+a^E)gr_XuBo4%uJ(HHX_M|Jt;tNiQ{-jne7<fQ2GDU~@nkLB7c4hf!{r}URq zudws(axbI=z1(wuwK_OK&(i}Z=*?619OKLT(4cPfSn~P#33Z>(ZK`k8ePbK^iGlsk zUW+5#=L$V)8^iA;K91ZJ*Dt;2kz78g0c%}8@u$(7q}P^{j^8-SDOcDk9`pFo&P(=> zr2Ih**kiG&|2bw?9Jb?ouUzlX|Dnq`Cu~z(U-X?vjO7-U`>yC)9M|3!uduV$eCBzN z)OvPvi$ii>4d)efhQ~bo)Vk?kgS5?~2d<OeTO4y!`uyg`;cMMX?;V%*``BSTXP?U} z>6iy%*7{Rxj@iY1=vlk_w2kz(!cgz}j%b;OA5tfYTO3q1i_@#@&$W3VmtS$n`dpmi z-*>ht`-G}*)GmF($yd-N9`jh%d`I2XFaA3Y8~4TO?yU5`X@6AAEMB>?L00Aw<MWDR ztmmX(zAtF4ezQ01lW2RzVePVas-Gp-Pp%R-w>W0&SMaB5<@$oQ?3}%hp9FVT98xxW zr~6y~#*w4aOYa{y<=gOI?c`c^kN*eiugA#d*aw`S-F%n*Wo7k-?+Lc=`Mw$1zUSwY zTlD<#!HdpcYwRp4XUtpAp3Z;$^WO)L1grAwg33!b{ME3{=PN4)DVJaS{P4kt$zN)$ zEGpN4RQ6v6sr*=#XXgk~DPMI@=8agDot%t);Q8y#o7G?L{F!q1>%nuuU-nqvs9!aY zpS|7x^5>Tie!Q&OCo^YSm7J~f_nLxFKDP1v=jJVa{`=t3&0p`-^u2qP@Ovl7EIa@6 zyPN-doo{bG{QULLl7c@>w()#^@hhI+KKQfy%bkji?>;5GR;`MYf3qj#{O#twQRja* zH&1{0v!dWjk?nkbzqp0ZUmv`w{k6t^N5#~6v)5mXmCf1j1_}f>kipkq{VXW>VP!j? zuP?6t1b^TC1<y|(yeSP<K6##W{<XVuGFz+i_PCap7kmn{-F|ObwS`6X?s?YlFWAb) z><K=<xBO)s-#RN>{(Ebyt#?%R&vSo&HFu9cNO_&@cDn`D78bu3%(LG6?&X6=&Q<Sf zBfb|Ed=<3iw_8<hz2oPMdG7Mpb8DQ+%L|NN?EE@^S9|kj|5rOd$M0I+-0lBz=l9IJ zrw?9D|6=oF&AYb`(yn}dQM{}7{Ofmoe)|?Yzb0PF&z}B&?elZfLE|{_*NXEm?UjkC zbv@tw|KeWRJAc;CbC188TjL9IOsVbmeG98CEM|Yb^K;odHg_BO{EuzvIr}2#t=Hdi z^x*ag{&tJZrFO?n^)~z$J83QRP%gjX=(Fghb%%A!_MQEA<LJrTOYDwW`fd1Ic2fJz zLn;4?Bii!{e)7FE->3ZB{Kk=k)~eqNdzkk;=81kk>6c9Tj-$%&3T}D+ZQ|aO^!)Cm z+xMsbta<Z5(!Jua;ktXue^v7eTMvKtvU|YNf1_gl6YFgoKibY*eq3(ehW^dpz3aQY z=Olh-o@8!uKsW9~Px+n)znU-Q*Tz44xuJJ)+$VwM7Kfg-tGwUPTy66}^nAtPpY2QU zN2dJe+I{19#}h-o!lv+;#K((+;vcj1?>H{pXRr447=Oxtp4m5k?RcVDR@ml#=K<^X z9Y0#WoZoSb`y2boweqL_32yt?=A83y`4#saM_J_xIw$*k)%F+LB>dj5a(_d6xy{2L z?nd{!gk$Qwerex%AjLj^TFnu|c^~?E<xl^*mcHcP5!r7aJDBIxg+a=M#ZQdCeQZk4 z`RnrS{)XPw=RF~1!e>aCuutJ<SMHvNa@O`!|Ej-v^rrig{9_^Y8-MeW2B7|`zBI2> z`(1wH$i?ZZ-wV5#bNnWy-#BO~SJ0h)=iwjiOZy*it-tYG{^Z-xXWSc`!(|d5*Dtj@ z#;aG@uPsv_`igzdL&50y$#?$leDgkuzu)2@w_oAM(wFNCd!^shJAdMIuQ(=cR<HPb z_U0?mJB~8S6?9C__p0qHj!F0(J*oW0VcU5hy2AH7{B--$`#(X?vNv=$zxR-PETLX; zTy)z$?XRZqK6JR-Jp57}l>f);oBoE*x$nK-CCjr{9Fx3P(6`$4SM;4neC_rVY7TP7 zZT#qb@@@R-8nd#3er21766yAn{>g<`9Jtr7dcUBfd{5GM^G*9d3a|WL(5!vuvD9(< zslNo%D-K;duVP-O{d)I}Bg)@CHZjMnxitTw)bktvcRtbWD`+?0lkizQX#XRz+q2J@ zC$OvUpYn_2xy7+>>s8)wY-hK5$h*Gc_|x@E@Bf|q=6(V{`~HbG2Mzr`_GRb%4SOYh z=OJf*#j%%aU!T2C{m&GA<LBHbjNb}7(&s$p72ok|?-%_YN45F>EA0Hse$)OapV@zn z$`)Cf$Bfe}j)|W8ukx39-G`37_TKv*3rN>bsSKNw@K`@+{v)>Q6$g0z%2v)V=u6M} zA6oR;f7ASSU7Lpt>n)Bvy}!i%IImv8hw_uwGLPlnR~)@&zohQ4Y}r51=<v~(_Dk)K zvHETJtbbDb&10$a6-T7!6@0C~l>f)|TmHsQ=f_*r_awZ1%))-dqVtL5w!*&ZJC8Wa zEv#35(cf`IyUbs0=ibvbjAb91l=nQAxUN3^pHTUZLl-qw<O|x|bLIwplHGmdhjzdC zw+~IVF>}0r1;;$*`MvB3Z(l*TxlQutD?$4oh_TmCu4I#W^u#1+{$m;O8+L1-$bKv6 zSKsqUG=GQ9+%MMk&nptdmoJ}g19E3E8<IOq-CmmiQ~bUC#*u}pJNt!Y5+3_ZO22W; z^4-TC^*s;XoVk?$H~5?W#@@S|J>(uSxK|uxEjy?6RaLH_Ki%fRpPZogf4sk~-_X5x zv$x!10riTbqGsn5znbQK=n%JgcxYPH<NqoDrDor-SAU)O_1`((u=nq662Ja?WE}Qh zUryq=%|ojxAHRyOKJR~H!>>Oq&sBof-@AYJ=&QeXwQVjupC9t->T_L|h}wHjZC8J{ zKabd3|D#Da@ATx8RqAzi%yu6?OKzyL|0uZnyYP2Ey}z9C^EdvoX=h!1zIcP5;@4My zkLIqq7r*C#Z0$SErEzPYCvJ^vy87I5=?(k-Kfjkstv<gxYs0TSt2kGm-#u&NuRYqI zLpIeP`I-2Tm;Hv#^f~sRo~3)tgD-c2?muMue&gY1zwkGYdC%W?*u3$|^ToS%Jo+4% zyv9!7^q}JW{;0&Sl`Bon&gp;dji{}A)pGgwsgI_9y2sOxshxYTzOz4E=E0|blhP{= zTdtE+sO-M_e0P~e-GV3F+h&%Be!XGWd&WGGJ-zSFevauD$KJ83l>2G_Wqa;gy`kI+ zRKxqNe}4GjP025J>=xg6T%0d!^MGS^4PRbQcFZHzaEr&qMb>v7%eB|!z3b85lhA(t ztf|gS{ljWzaZ2((o;~JZuQ(|AEl%sJrCh=4B0Gic!Ip6wPCkFJZpX22GgJ17T3b9X zE>)gW`0vP#stI%aRr?BC%WWP!I2dIAi1q!AvnuB2bUydoIDE}n<$Ga!@Sev!*ZHUZ za=ZFi%$<L7P1x6pgZ~bzZa=5=S>(pCbIL0Gg<Z-yc0r$*+;<$2ez)<z#!C4QJ?3wo zOnP5&;9X>@-RFyws&^dayjRe*`nX4JZ~C1Fe>P46kJQN>x7l&@*v*uAA3jd9-f>9p z+dPHJj$)a_-}Wl{1x?;LB9p#b9AS?8*tA#O>u;BO&ivSCTj#6nY_66`e0*}!@f`<M z;|g0(FZcM{pl<W%&B{sdcN{-vnKJK3=A`N!2krRg=~aU2rR`Jp9F)x4_?72my3AwN z_cu1B&U-L3DE~2Q`;FRZPXy&Yc9^fbeb+M8_UVt9UA@Jx^Z5JDEq?y{;MvNrHa7F$ zy?yZOPgR`7uDjeX=JB1=U-A50Ye_$Qy7;xv|CDw$cfX9|Ul(KhT~9CU{Pfy2^YoWT z*xo<Ap=#gt2g3IAh3EhHIVYmF?tYVa-M#4P6aJRxJpR@6sYc<5Y+pf%;z{l~kA!B6 zPup{xPwqp5xy@tQ=i(FVzE3iWZ?%0B8}dnN_8tA_K|$;9JeWAYUAHe@(Y`-@>SNcZ zHShM6W=5_*X!h-g%rAlG7Kha4JI6oZm|k&Ex9sPfUwq#y4zkUU-v5Ye_VkHA!*U+Z zIluM$hnCtoA9j8-lq+ab{%ZMs$6-~zA2Gi{g*xB-?EMdU-rsmo{O0cIQ-1`%eP|NS zv5j8mulcT^xBSk7UzS1k4_VLes95)8nfmYk8;4$gR^cybca{mA^nAxL)^GFmE8Ds6 zBtK`Jw0@Obe#NnKPgC~s?%q+g@XPTXM>+Z8RVrJBW0D^qoz#EhnDD!eg_Sq!52>At zQ~GQ7uCU?pbgy|2*`8ahP|Yvww0^VC=M(4mien#7tKJXo>(xH7=cBetc|m)u%-*0+ z0^2PPJzNb*8GE8W$=$9vV0-UFOZl9=ZolqopW37PZNqJkxW{u|2NkHU3^!Z9X1BG) zzOH%F``&$f@M2X}UcB%3f`Y12+w%1btF0<_FPpdh`X$-;=r{W!*PZ8lSJ=2V?30lB zj-$__Ro)l$s_%IqnVvtj%6P(G@tlWmawoCxIIhTdU$1iAtI9uIIeWw8&bvL`5&HAB zihO9F`qce#MUSnF;(L7eB+IksPx!^4Z*lmYwCeef9ocX8dwya&e`CkGH}4DY>}sC; z`sL1I=RWo0w~xN6IIi`)LS5_mkFbcd(aEOy{Z}6wCVZ{PiMTsocJ=S%cBA|M%y#bQ zTX$ct??Y2^%pT`YLhE-NdTOmI|DnZw&R*|Nvfpp)n0Q9G9FpGe>4MYyciTzk7Ke4W z-P7J#nEdyn;l`?(nyH_EuxLI1cyfc)Kg+P=`$PZA?B`qkczZ(WpRhH3^J|4?`peq0 zuYN3^So$YvO<#QH)sL$;Sk*Cy9nU}V>c`s%S^LM!jQXwW<iei!_h0=v`O%EWb5~Z~ zdGMh2Q^l5wgU`;U?4Nmjt+mCzo%5u(U$`qL<65;({?47C^M|8f#%=svH?#b(a#^14 zSL?FEzl<yU3)_WdY6CubT`jg<yYV;Q&V79rOY07$>Hj^Jn^Mm{yW)V|wtKp-S$7<i zoVW6P;XlR5{YT@}|H{gJXwrTa9{NA=<@S8-&$(}&KX_!jDXzu&Rq~!kLh0|P{Nj>+ zFT{UJe&S!ZI}c>b-%qW2TxR6|!ER%F^{ZN$`jBhhg-7Zn;|iLMUq$EC`us|_DXbTt zW6)n(J@fd|d&iXd3eWu%NSypQ@ImV@A#wTXf2(62Gya}Gq2}<_-%l&v-1ymWqc?S5 zM-_j*#X-^I#z*;HU%l~X%bV-ac?Ub?ug_#t{>wz)__yW><F|qi^*N74#dpX+=N&Ae z^DH|TpGmKcdlh@<p+vvM!I$Do_8nqfxAC*<N%uF8InUqNG5O8@MB(uNx_|BR3L6gV zd(L~v^8Ch+O;2>U6}DIJdBC~eV)l~z`!=5mPh#I4Khfq8W8cRn?>&#XuK!p5%lz&` z$KwA}K@%<Sls?ahpNcfm5<mGLTlkKn_pYnXFYFNClk|F>QU6EYmD>xOo$oy6IKCM& z(XvkyX`*GH>fd*F&s84!zj9;y-+b?R4>*=r95yxEul$ua?_&$Q&EqG#gYwlj)%WS< z)cb#8sJ1vFeC}fxcT8RUFY7xG<k-8PX!m_=D}Qq*_Sxn-g|B+=3VPh<JowTc6#tOv z`i-9xpD2I(*pi-e$NAg+4V|myz4!M=%RKm?J}KSepru)zR%LhYoriMz6^FFX)v5jc zW}CWC$ofXCYJWjP@}37m?{^&fdVXpB0a>}iFWe`~=OjIjGwT28ebV+#f;_*)QR8z3 z|FmD`7qmvd`5W~~vc2M<_O^GrpC$HBtdcgjIAYsZ@F#kuenFdePVS`dH;yvPeQXoo z^Y~HurT71Kp9xRq|Gj^@%|SuGk8RmG{~TXQ-+9EzUvccD{L*`S`rph?=DuA&$>x9@ z-^Z5XJ&%~C*H8P$XTIb3!FZMU!XEQI$?8*ou8D<5ar{z96r01Mcs)3Z>!;VrZ?`z` z&|c+yK~r(gpWshow|5-2jr-6NKIgCZueZNX)~J?k_+3BA-Qs{@TtR1f%)?t2Cpo!! zb{tmj^HciS7o2k^{+Xe_*3Nd>H;);YR~(Z(=dbpccU?jE-pSr}j|KEAj$UI<k$Wr> zl>eBmeaCUZK7Y-xtmg_k*9LpuOBUy^I3gYQp^rQG*Io8gHKyM-)MuR(mU$?WzvI}m z%q9B{>dyPnkUnQl*sHVbC;wS)+fZwDlKIU;0e_3*+Ij_*RWIKcG*`>aar`8DyyCER znVin&$n+^y;^h{{l>G{_JZoFIW0IfyOzOXJTzKBbi6{R_n-!L(z5HI-%`7v|^%IY} z#qnd2s`(pxt?xW$^{+U3^X8KKbsgYTojx5YRX?BbkFmVs$V6R`e{DjZ8J^cf^6z<7 zh<}T{?H)_%->~n0a_w-+Jhs~w7VDoV<`uRa{_Gj|fN#2m)&3`-B}u;SpLne+jx(3Z z>wP|Z<M2<`CHA0RR-xm`>^+azri)LiIV3%=ur%@HS@#qFthW`^3Z7)ni3yQ;#P<Bg zo*7SA^9tK_!#~NDR~-FzRP}yA|6KP|HP=*C%M06+Z62{KpFZgqm-voj=a#C<Z|qmM zNq!u->3^GVOsw0l+B=UJ+NV#oIm~%(<3rDTNx!`w@qMp2c=@VId|_GX#<{0!QWeTJ zRLd5&G)sd<K84<!Puz3ptM5_fcX^s}g(cb_`!3(^?sY$Hlcw`+L$UZq&~))nxk>33 z`<L%H7^;=Gu{{1`&tdjXP{uYi>i<}9GWX5{f$#m(Yz}=)KEitLpL0yoYJu-JYFAYp zP`>x!lh4WW7#r_5c45z=<CMM?d<-gV%AS+7f7+g7f_Wd>)N}Uwyh^{5__=V?{|?<b z`(j=h+uZSb)#Q{n^{;x@)%)+6*ZixypU{2#gJ;nCe{u4OU%&t2v%Yi8e_GYo@84Ng z@82ID@%Qh(W9t9@{?okl{^M7%)xY>w|6;wqCvHR1*DA-KA(87JyuNq&$gB6i?atY& zoZlb3CQkmuhN{{FRlB~-uGxEB^e^)_JDu0Lr}o%wNd8*o7<;NpG@gH+Vp#ou{*AwW z*Y{n0&CVLOUf$yTl)BmL`)~Z{IAfgnwW{Hx&dTuh>N6tt&b#oaZQqNVLF=z|Z;V=h zBx|qN)z7~gzRSEU|M{!uY87|b>&Ww0pKsncYyENA+LNEZ{0v%iZS}@k>jS@@5f8ca z{_|JS)j!SFTq~Zp{`~VK)B7Flzcz`#`1~|t?e!$n_~ox=>Q^oN(q2=0Jj?E}>!j6R zZPr}-ym40kG2z!D`q!RoZ;V=hJZrDxRpa<iLNEJ&?)n*2Ys<a*E7O{5+@ZFw*H$Fn zU3KmH>WxwPN3!;MUfmq`&FH27&s~3uYHit9e^pv@tvl5A_1lW%yU#>_Rq2MkJ{z%i z`--Y-+HsnHE#u4Mm#&9&Y^qkSO1J%d^~>k4eluS?_Ft{i4tt%uYTnmX*H2Wb#($Tt z-N&%{tI?Wkr$cRD-&{Ynu6sTIjf8!ItG|}5xn>-9{NI}E4`%K9@O9Ga>&D7!;?C=A zsIuL1<ki=J@4T1ZfB4F|`nq}gnz;KW_cgx0`Wncsf9CqbY5RWo2Ca{K@3Wz5@8(0V zzFv}Aeck)7x%d9#*TvVw{g2sL_4jh#(zv~KuM)ogdf8C@>(X|Qy;Zg*hhKfYz_$9j zbM%@x{<$B2uvOM=dhn(9(Yv*q>JD7ryZz-ne!hEpJCElcUHj_${e|bH6HN2luI{l6 z`@C&O@aL7k%unt)YMol!y<YnLrMq%DrIV!ZsNGpr_xbLxJz`;>*&@zLCz<B=Wt+-> zyRY-v?ft~B;@{@-ox8vKIrqFBU+*n{ZXEXfaMRU2*M2XJJDZ(sn%}`~Dqj{g^ZL(* zFXd})%gEFPoVUJHko~3VUXp2k`_(<%VV~DUoXxKHxaNJe=0;8TncWYY_PseAv_7Za zrM%HNZ@Kfl`jA&w_ppY2E{iyOJK6O8sv^_*r#^l#jI@9B`rz@>_3ZBRC)hmL_Au;g zd$V1B3s~tikkbEai)`3!9>20rt!-Z)J^#vG`8R*2KM~t5xqa3Be^cz%H(%W&820&C z#M$UozqX&;BPv($DKoM@`To-fpNy;aJ#4-GU~|>Jq*A+_h_kPIg4RDP-8jqq*t6TI z_gJ>y_&w(f|C-yfGWBui+3yr)*E*iBUh_<L!>sbdS@&dDSKie>RW*ASfB5?eKYRaA z+p}oB#f`s{=7sNgP-gk$>GYM)?>`TTIBT6?`o81p9_x8mYbLLmzCZZc+=4~des(=M z_50w-{~mIMw+mOldi?+DbKecKwjav6C$+lL-Dbtlf=_xgxBpzV=KaUg=h?fCH}75V z{jMOoVD+!N`7b_KMVy_TV4B}`b&qM@)f$U8Dfe=wedWDcUhvn~)_kApy&qLAq5u1? z{>-k4esy(^T-fJd5oc#V@;ckTxBT>;gXJlEJ8RpTFTa2FvxPlx?)#TNJFe~#4*M*1 ze|nYa`p&C+?9N@Sxlz}DCR_K?{S~!*-|81U=UunsE??ze=au1~%_7cPCz`(Rxw_|B z{}TJa&xw!s8oh5a{xwP7d+(;7!gnS8cF6Ko{tABf^#146h`-hQ*jCq{zn=8<{m(R+ zRX;y;?Vf+~7i0YQu)CiR9z3u5eaG4zp@0ABtAu}Mi8wns@$2{BGOPFB-ws+gby_i= zf9GHCoAyukpD+H<rJNJ<W{dhCN3CPLdK-V+RoZCVJbHM+UhY@<o`C#6Ue7e|>A$Xw zc>6wiYkgPtE8{oy4!>qQ*H^!oU+D6;$vo$8)U&|fw<F%lCw*?-6yFjZBlGLDbA3Pi zEA}~GHr*?Gai9P1yZn@WbE^1n%TM~pvifK6nrG`by4N<V+kh5!)&GdTdH>NKDgJZj zw&nH9tL=9D**oud{e``9G5-V4H`{k!{T|$L^?PvN)t^xbrTfYv=Jwl$J%8MF_2;(4 z(tVco)Baxl`6Q{-?r6kZea^7w-mO=E1|*l-^+ed-6AtU&{!#p9yzM--u>R%!SHG$p zcvUq?_S2sU;9$6>_xrZYbiwtnY7RYZfBMJdpVrsk?nl+u*{glqXxYJh=aJ9X`nXRq zU+W$3Jo=jbh;MqlxPC?45$$vJ3fumxeO`GzUuI3*{?3qp-Yfe<|7jO?{<iPdmig~$ z^Wd9`y6wYXR*ya`c_eGTTv)n(dS%n)ZreSd-rudAQ~%+O`kLvFJ}iF3bACtQ*ZGt7 z9DTO@sBxLSa$G_G+WHeV51*@S|JdU^r{41u$Lx49@rb?qLX*D!3adZS?!52ex7C~O z_5EGndAI&lO;#=c>aUZX=gEEgHNm{S#_GvuMf3kl-{&W8tuHun*m<7(r$-aY+xOT% zd98S#Z|CRwUp0DRpO3cNSU$O`xSs!K#fjz4c@od99@>QV_jg|XXSZ#?UVnN+^qj=c zyFoo>-LTi?5o`B9_*;Ex|D#X8lYXlh#s6a7*jz4?xV5h5>aVAY>-l~boH*{hPrm5! z5~Kd8`-ijY|4&%nZe#uAtYSX@&zcj{o%81U)c?s@^NgFnvg8DJ%zWo{>8jsy3&8{6 zb0+2AIBY#{Lv_*2{G)Pu=T-l5<`r}`7kkG&mN2h4F8r?2&SrI0_&ojD%P0Ib&Pjaz zXcGI4ql$8ceRI7%Y8%<_B>tW>>HCi3+VeiNZT9xuTkJJ+{Smz~ecj5QwVw<26tru{ z1jHvlH@ajGSsJzyyfkdrnlHaAjxy@mo;2R`Kt_D}<UL1C-)*R`JSi^oP&EIBS?azI zTtV+2h`Qg{vFM3mUO{Jd%)=jELG};$`Ym>CdSZQULt)m;<GZ?^$er7;z9{~aNVUab z;k=N#(3Sb2ed&81vWACGu6k`^Q+MOo)vK!fh3(2RvCf~E(svxWW~z!bg1mLfecQEX zwkPn5U!P`k(A00Eyhq$)4)cn`!hGwMzZ%DFthBm$f5*BfVtEA()iRHMR0Yj{%p-nd z*S;sRWrh9jdmgdo@AzT<vfNMq^Vu6mfAXsI7q$w&xf}2aw9H*w?&FECNrzrmKCMcc z)A(5URFzuZhW>Jy2fy5c=0BYDwdl<}|7-b$?zQcUrM>?*8ShDYtv2a)`jnqBZypG` zR~$6d%hUR+x~{PG?`%)I2ORwshpo->^}e>Le%^B9Fyr^@$GQDJ_8G^_kMHwuw!ZV| z#Kf9o%)fOlcD9~Lp6mJS<cjSZOBrACZ|qjKdBh~XCOk=f(%0%aj~Q=opHg#J?%v0i zyT+$$w7(TpYQOaVaL7hePfz3X^&Q7}zv-*|Y*Ds(%sV@M;$P`+n+poEZ`vO)<=a>< zeX=;_Ayf7Cse2BqzS~%BeKI^JF5=nUg6_GSPt`D&6&4Au>@VyS&WR8C#Pxf}F=M%p zZR#<xKEHZ5pZv%AdDe}cd(XUoEW>`IPxXAk=_*UU*vS1y<-W?!`_L4==g}v=P5Wxg zX6h%}vP+9k|EiqxSmOJRBf{$n$~a%j7j(M6i3|E9%YMV6{mkYB{`WVIe_gu7{;2J_ zf||^e(sLe*-JU+N=9paEhZf!FXOSCwPoMUx?~s;xcwjPYaa^n)d~w{<rT1*rpNoIn zP|SK#_|0RH^E-}7=M|K?zMNmsoh}pSS@bz(j{S{8$EK?CZ|HTt^H|1z$AO!nOZJ0& z{n~rqBZmBngXeap?vvfUW8bPT$9Ej&>|3W+*%};^{Q1?S{u>8{<u(+`-K;;Rc5c1m zU%Pb$4STb_<~<a6UU5{{Y@O;?*}M-;)q5WOxD}K?Km6J04b5vEK5^`}IHs)ku}M27 z#{Cz2%wxgd*QeGT7c@Jk{yFEy;b&i$+&ix8_p!lvPE7nOuy_Bf{p_*b^MEt@`Si-L zoX4NoF3CS)bGO*B@Cj>QVQ2ZBWbG-x7|SaT3*Rd&Q(jpvJ9GUZxo>$ol}*lb9*JJR zv7_^f`Hq9a?>_cCp6#`_lJT5;PU7n{Sk@MgdGP4jrTY)1zTep2`b4|$L!0@Vn1E-- z$}7tYyOV7mu&8gJ@{8;Fj$_}}s>p9_SGP%g>@>&z#*wFMm)<|l%U4h!ebQLwvCR7& zN3Z2B-FH|v?n6iToO^z+-g2L=VJ+KGTzyjc&0~r4JB~=ND-iYm-w<u{=mX!R_ZA0_ zxu(cH?weG7<CxvM!Y=hW58wD*y8l3E`;EPupBVFfXcEtf4S9BRWAE$T9`zm8G7n#@ zn<Q>=RMqUgT4lei%>%~#ieuL2gkP5Ht9`zD<M6+`s{RFsKkpS`FQ4+f-qf$4x!2m$ z?qSE*v^|NJr~X}AeWFh6T|tAo%%eB+g62OK62Gx``V(2cf`0Kmk7V<A?A!juT73FX zt2d8c+*gIH?R7_5+q?WyevH#M(AwVLUhuWO>hQI_|AO{E;8}m8s^Q5u)l@ri>xv_S zaRoiy>|XVq*)fU#9VhWy98$jbq3<la_ufLynahvLm08Hw+B^_BZ*lnN+^2tXL8B3O zT|d3uv?>1Z>uo!iSKIH{F=yWF>DOarbK)IAlib>`D$6(2tJ=!*@vUF;{PMw%p<ik& zExvxOv9{R1YMylX<yg6#*x2*0o9AY~sI1;l?hBeMShp0k9AGPGIRNLE8e5Cqee>S4 zi(kK5{JCn^>gKnvUso1y_?=&MPxj4yhx6^t&C;)aRuzc7`dL>{t!&$$zvlLy&UgPF zd|6hNci-oGNkL_??f3kJ)wVnOzS!(p`tI+8Z(&t(_oKE~O5go^@MiAUJ3HrsX2-u( zy*mopwP5|CvcMg*Yhmsen_Zjp9_xX2E$n`^v()_V;e(Njo}as0>d!9z{X+5kdAp`J zzpj2+S&@JD_rbUOUsu-1-%VS!+umaD`gym%U$&K-b3gw4UG^9A`1RyhJpb2RvYy?X z|JrAb%egi#pgHjX+wF4T`TQO8touRp`8Gl4_qv1T^NVcx<-qg#J@efAujbnLfs|L+ zZlAZH+Q#BPzim19-OmS~3V*#*Kk41q2ft2M$=SJnuPgW+V%tA|+3lKL@BTg5a;5mW z<gVw<tJ7aqe$WB=XY*H^s=mC>J9qtVzWn^<&e)f7{O9JcfBufU<UIRy{VT=)U3YD7 zo-O{m@{7>jW8S6Z?CM+RMeAR-m6@|Q=KS377xVb^;#NMtx4L9K`}OtLK7TOX^}YGF z+xf@WUzx=(-)(PEyLaC0{0p{nbM^<Gzia+-9>3oGHP7o-Ti0|)?EUZGa`pFrk%+(5 z=Y>|+zrQK}>EVQYiznY3W!K-RUHvBiPH0{Hhk|Bdn-9Oex+eS7&0op?_w2&@LzZ8S zx5+F0eRliHU)?p|_&2!McBs#h|JChW-yZ&|I>s*KTRi{Y+Y9Y0t^4_p=UVQlYM;mc z{>on2nEl;<=6rhZF1<$Xz30rww#lV?&iehj`f<w!t9gueA1fXT_lt)eUwq(IMM=cm zeA}?+x2sNUcb3~5{p|FN+ZFwF^}cJ~t?$2D#~AiIwcW=4$y>$ud_Rj$TzAgfAO7sM z<$YP1`@Z|+mF7H@i0*u%T2|QVp0mgQ+I&mBrw5<?=C_QyQnh2p?l<NSr222{ZwIZI z{>T_ve^@l^eR*v1*ShP<VeivT62I2D9b-?m{aWXt7WTgV$zx%8|C&dSJFouMeHyX% zeo*y`_k4f3!`?sNlJvFCuT1~*%<oekKYSqOUU9(C@7>YIo!l{r&+ko|EkEgNaG!VS zhOZBu`)Yq>+dLM`uQ+sd|LMA8?kRi4W?Ss(e_|T;-v3_0*MCoh!`{oAuCBUgf9lVQ zHS6!ypE~sF?{cO!a_0qBA2&Y!>hD^vHTUkXvi|bD{h#RS@BeRYsQRz}JlQDz(BIOH z6Hoo^-`FdB=P}p$9mjswF1`P2$C~+tF|V56`pXo>T)dy~zHZaK7H6BsqO+UVq~DqU zx3F1y%{~8pM_>JYlD_6%fA+ywe|N0;pHh2o|LJ4u`{s*>^{2O7eH@wawJuRL?7jFk z`CskM|J$zqp7=Imul&s4o-@}!|5s&E*C5l+l5TPI8nf#9jqTDliNE)!{GIdolFXZ$ zR}&sj{U<h^f70KyI}cdd`Ja9Ky=9Gfvh@2MM_>K@Z@uQ;|N4XK`|4%wKK^}er~G>L z_x;5is{Zfxcq%^qx$wqQg`HP_uMdsb`~OzK3wi#()?x48r`3c#3w;0nnPz&CP0gK$ zBK;PJUpg<@cThEN<L`iza|6F=Tj;$#`0hEMCEttpADaHlznvE?sB8`1gUL|A7<;Gm z$M3kx{~sS5+{R-TmZL45Gjrn^<MTG3&l$g;kvebT!De>-IGc(OkB&C8>&w|xG%y}) z{=ZKC`{%Da*U9~RSXJ|0x%}MRFLV6w=N=D_JNo<o|LAqqH}n7fZ~1=Rczs;zN9MT4 zXTR#!9q|2s_T4=}_4OwHul~D>|9n<I<LdwZv#-OA|G%H*yyw4daa*{3!o5ez_Z~hm z*WGtq`Q6-q>dW_kmzurbZ_WPx!|{g4EuL2izqx;4-TnjFF^~ARR~%uFxqIPP`})+n zwUZyeDD{(h|FZtb$KIShocSMm()ZNu?R`G|On-FE5y`KwKQyJ=#2mkte(<UNtizLg z_y3D7Zn55TbX9s^=y}_7{}25#zj6MI`ttt|zI?x*@HxN!$}RRA-Df!8?YI~6Hb3We z;3J{%ic;Zj`GTJQiUSv=bN|V{E-I1Pf3oxO%ztwqnQ#4>cIOf6a`VM?`Om5fTlUV5 z-gEfi>Nk~dJ~Hb6s7Q{Mf3Is6S0-HCviJ4u<U0>!R?lM%vz4CnZ~NE$k1g!W|G)Uc zfA3V)(`)sui|dV-R~-GC_dziHM@x3hokQ22ca-lqd{I|dZvWY5_c!~^eVkJ8$YN=I zzxtkp^_xEK-5&j)&HYD5@|?S;ZhhaeyiR@2{#&nJ?>&6X`tO{z{r%nZ&5u`n4SvQV zU%1d>{raVkb{u{9cFljr?-eD#{Qdr4wbtGLXxg><-sV$#0_VIwE3$uUY<;iup2N29 zKD1QF+&}h9{e9Bjt$lr$%dHD%{!^cG=i0H)_mbZlzj@4h++v69=f});4_{pk+t0W@ zKKa}I?8ovq?3wo@{`dKC=-<qwxARr6eU41DozK4J@8^!K^?rW;Z@%0wr)&RqzT&li zGat@-efQCyZ`<UoV;<VZ-9Pxq*6#ynzeVl*v-daUsUM&H-MVnkh57@(Z$0~Lf1v6y zL;kyrk1WqEj{cjgWA|XjuilCS2ibFL-p<!v^Vj(3Eqn8b-|4NP=cQK9b6@j!^Wj_e z>C68=`oeFY@-y>)&xUX3b>oWnTE6=r$G_v?)492|eii-fGWU-@n$5rYUzGXro9=Tg z?p>%qV$7$O{r>*dWAP8gmhU($y=U+2t@0m#o%;66WTkCf?UiHUw$~!Q-mv(1rt`XA z&GRGH>i4WavQB^Uy0)k0UEWW3i$jKX4?&IFuYIqNyRWnUzoS>*zwh(^%@xl7nbf~; z_$W2!p_qQffrGX)<>d=La!S`ftJM1VZtJ(In@Mxi`68ar6nt%CoH#c<Y<|k$8}G0E zaI)Qa&RFtV<+8G0@8|rJy;nHz<;HWy-0ybAy$_z|U#I`7Q(NZo*S)&)9<zv791-^0 z`7UYO$EP<7KW298f6@Q=&(18qoBv0H`S+!D7RQCZy-)x6$=IyU`>)oVedk_P9<Vwu zv+<m<@U_acV^-(a*k?a}{gY?otC@*&)3-%DpE>t({rfNPH=Z+AU-P-=yXEZqvtNJh zI1HM@KD~FXf8+NZM?U`5wR_BaJ+|)Nv&rJepNe-}-has0F5y3;*+0SU6^CT!ef-vV zZQrj&z5AEenSP7Q|7w#oH{EK@=QBN}^CCB#Gk%!#c=bHNFx%}B&u7ZKw%J_%%iQlj z$T<hB&i{zt^wWEt`GM8!H@~V|9MZIVD0F_uq227!|5?*x%D<-0_W!o8=pEPgFY60` z3A}3GzT@ycXI;C;qH!Mtug9nEU3s5RzOcO%5?<FTkNuw2yZp0HOXzut)$=T`RW3VV zb>779|FxI)33Jok)_nH)P<s0Lk+16tF5dXHWXCaS8M`x&MEweT)!)<}K6ZZ--`3>& zyI+~h_iz`!vAuKb{zJC%A05?mY!BVi-*MocYtEks6MNeIujKc4Pqv+Jd+lH4p<DKQ zyKhz8vaen9*ZA0~^rq1BOxgc+Hhw#Q?PYzzul|VN&s(<EuhUEW*&6d+>U%}A`<#cb z0=L<j=6&E(@87ngzEIjeJ+`c`+1sLU&c*tE@jH(n7@Ga#l;2S9DZT!<-MQ%O{l`te zW*7WmTm76f`@hVFZ~p&-%=Udd&yfA!N94K96s>jN**APU&z1e(#^P_k-+#{R|8q8e zJHIvmXJ|}*$MycLug@3sY_B+Az2|}LJ^ORN*r#v%$bBvKeMPCHdLRFn{14AQUg3XU zap0e@&b#<)$D$uIl<zpm`8E4v2m6}8#Yb-0H=n-w<CcAR#P9U3uQhoa=7FqQv+>*c z#h3RN|2iM>`+3vW`nKuY|FEqyKlD^Mw{}}ad$Ek|$w$?D4)V_X_$oT*&!o~!nf3dx zb|(KnX;#-AZS#PyzoL4>H^&1N6|Ld^i8gxz_A}qxe{tS<!R-HYHhepObvww$>k+@7 zv#p*d8D^Wk=5KNP*7`EP|My<*Pk1~3o~Vxe+xdchJMKx$FX&T`dGKrHHapNt`pe5B zYieC*uTP4NI{t9Gb>W_+{~4?Ow|{iob4b|k(be)B73zDA9W`F_pHY6reZl;DDPKX> zNoN0#iSPXS`0r<d?EhyryxMtm)pNe=|96t#&fk0a|C2A@_g#K={ol*vxAP6x?Yzg* zetyeq(83v;M`G3IllB%gAD((PK3i7SJ-qDE50C%K=lab4wQ$=!esEJPKK|%2?!u1h znj@B9UvK!Iw)%O`)_T2&-{}>h((%93TejBciKg#ABYM1g&EL%jZ`u3%{Xh8fef-&H z*Z)Z-yq(V}xAUGz`1y@rH9yLQTh!@&JuGSWh}AuO`_J+<r!N-%iS?6VKfn2-b<F|e zcORQRKac+XVR!BxF87MROvffy)~`D*8@FMeetz!bmp{8UJlZIHes0CXBhu>ktUfXx ze`5WJk^TCHnzTKKc8lNox^%};={aRF_V<eCzWjcpqUgQF-?;Alm-`Ri>(1H3wZ5RY z|3`=aj>AX0*ZzMt%k1B*=bDFQ*KIs!Y`x~Q4=neE?cI}ovqt<?XX(7ojpvLl!~P$7 zc|T!pdeoZFXBJpox7PY6wEN$K-#YVI)_>>-ezSMV*F(JT3Rjf=tdsV)v%J?5WB=E9 z&fYV}))zLGTU6{kYAy3f?z_crM(O$mb<r{Vj>hSicYXfZu=i1o-Gbv*=jUuVXMB(U z){j-~{h{Y2UfWDgn48YEy#D2v{Eg>~+1GqN)8W3Z{LoeHoZ2w^l%H=uN*%ZTdRX$@ zhbDiEN4qx5Z~OS<VBt4qG5)yB$N3)_-xu_Mx2T`G^?hNhf5qRdW4pKY?q6JI*>^AN z>z(Ae=~8PxpW%G{w}J7s&Ex+ode>L6MSS(yaL!osTII5mU*UfLnXgqo>nNQ!v*66v za}@_(pZGMpu%mqa#;+$oFy?=JwpC2N;7n<L{h4Ev-H+dv-(KPOU*`Av%^$y2^h)1( zC@lA(;q>+B|9{kT{!IBLT5*W?TII5%R_A#j6@~DhCB5sb)@^t-Gih$RS;X_1r(VWe z|Lu=>K9ldYjqzjI>$@MkNVzWmPvkmi8L95K`v<BXiuGGO-1T|>wvSIv7XC99d;cQ- z!CUFvKWx)?99?Z5S#v;8?jxu8#@_dP&(1pzT90$fo<HLEb5QLa@%#C!^xT@O#l4~D zd9VGOnfP}8*30$Jzr5e@?Yvy}|CkR)zE;_%|GbjF?Q3U2bMl-$t-l`X>TUQQ*6nZc zbXWKM?cjQedky<v@0ugJb`P2L?xlV1-EmBqkG*^P{*1@luW#G09&YjUa~6Bel6~%T zc*Fj4idP&vcw2YhKh;mq?~5yj*&5H8a9*r`$6@JXzV$bcO-^6)M|{nn!$)qlKM{T? zm;bS&IA(A2U$)nEIU7FNKWwdG-&4PPZT=r^vwHuyxsU&Z>#qC5z2=Yf9`*TR$KP-H z!?x$3^1Q+i*=GAb#V0<U|A_f#-iK$h)BZE6&-#8n*QWBwsro~w>c2`~yH_v%ojLC# zTe-#I+vU-}rL_OchW(R0cXIpjf7ZJHKbYtKQ?8ViN#CDZ_;ml#Q}ylMpKd<BTCKBR z&izM={|)clny2rRpUOWr{i(L&FmIoP`JY^Af4jt|_6bkl|L@y6|AhL1uhqK$S<Eet zp3L|tr2U^u`#-bXiSHe!%WwW#UpM`$>iT1azp9T^{=J#-H2z^vP1c?V%6#|If0q4d zfCj<+?LW((NI!gWciMlx^`__KBdT{!{`$VU=HdPC5udB;Gya;^w}|%7t$25YTm7EJ z$7`MZ7Ei0(Y#t^g|EzewFmA^|O}j_D=k8^{wchjG>azW&k5BFu{yHwE|6>2agBg!Q zEsmJJ`zYAI<M7qrYyLm;&#AHHm%R2j<ng@U$?c!N7yp02^}XURW4C_9*H8bhJlh@M zzejz(#PRpr|9rE!vZFrj?^8ML|H5Ja?#++>J)@?^=7Gd{i-WuQqyDjm{ZkG5r+aV0 zd&ct?Ke%V_S6sjMvC8HhpZqs|(ic^q@AZ*CvW|VvQTI2sHy#Ox{WA{x_j>=9pMUvp zRJHFoY8rP@|A%(AzWl~d?>Bs!e|27sSj~}#@wY3~Wgb3Kp7vi%`@f9#f7^E_wjaFB zf9q>See&b?Gq#t>{9Su=YJJbt`o8Q>ngte-b-aEB-R?FCPwkVQ%Kw>rHh$83uJv{) zKd0YVKL7joYjJrSKiMZdwSUxFqqgV&il_T;-Kt>Ub8L2hWKHwb`nIX{y~>~NJ`|o; zcy!NG`x`Z%ep#hGl}~y)|KXgPZ8!e!c)I;?_MYRi?>2t2Pkj3R@8Yxe6W8~@=D+>x zd4=Npn;-wpKleEwlv*2W*kb<8fBOFa=CAi3GPuv*P|-BCzH4fIr}U?_1)l^@*B@d1 z{Oo_y=aUEb&wIZA&?)<=C)1nNV-nv_o|fM$U328r{==v0k4gU2t2h{$_tW=@t<}2! zXCM9gevR+-{ph)mo?O=cr+K>kAnUh(caL$Wb-PFWJRb4$d6xanD)Ao;{uQe${~ta! zeeZvh*Pl<?U8~eMHp~9tto;R#mI~(=`rEP3sh{$jIlSV~>;A}^ukq93i|=;V6+2$D z*g3DV`KtZlSMiT~zFF^iaPH-O>%ZbMG54Q+{&)M6<pYlFe-hdMWWI0FFQ{XdUSIfY zyWjt-|8LYVm)^Ga>;C-X(T>0OKmT~PEA6WF;Z^0mTklz~`TB0dwav$)&a=ixtbcv8 zM*JFgwr$dyuO~LX+s^d5E_+VJ>mz?(->y-tl-YRi{fiCv-p{x??|JS)TfY2+$Guf@ zg<mtP?Y2k!d=~NZUE!I(cOEcSn{WA8ekR(wFYn0L4|VQ6U+X;jzCQ_m@WXgoe6#tE zqsDR@KFM$V#P2n`{@Uk`a{2AgHy^S4yGJ^@dgsxq{m&Y{)}3APZT{98^*fKQ`sVE6 zTmSJ}@wGZ=)JK21`|!(uo&S>IXQJ<2c{cgFx$*12a|B-hox?Nz$>yW0&qvo;%RIET zdl>h&a{t?wsr$=|XV-i0v-@V3_!F7{#IDtU_It-}^H_M^iSz?s#n<eu_kMl<0fTz{ zmWrmW|1`t?Eq(MPTC>kR#vniLW=&Cn_U3#2QHguyr#|YbF{?Nz$|rVu`!RM{3Q+$P zTkz2{`v042)9$<6Tm1N!nb`H;sn%=0pSHR)*}wm@e&jyxbsNf~rSt!$!cxHwwfju% z^EdtRuQ+XU^KDMe&E0z=-!K0e@qYPH3$^(y{5uX9>wSEuJ*~cb>VHP<|AOjItRD(4 zFW>No?US9QUUv8Ezx%je|J}!&ck+41<@Hf@!uK9YR>yDt@vF~l-zWZ!pU!`9+84cN ze(qoEJs0<sZ~FK|^7Y>Xtgrtb_;Buwzn!4nBVD_PGUD;u|9pRS{<Z(&e^s`J*gwgB zcy@VOeU13+{p#z_71o7!zups=FxOma&DZRW*YXP<Ef(f~ckJur_gt&%UmY>`+jGeB zdT{c8<FZ=!J;!9<eduA|^Wf9wYxethKb=0|`<op%EDQH6{@=9t{LPAowrgs;lx-gB zezQCOXUD<rGry1Dvz=ML<+tJ?)9>y*hw~$B!mDE*2%3YsU#|>LJTZRshI?9mk^brD zF7x(2esoXl{E6*Hxbsp!Sw7gCUav3xk<q`RSg?EjyX42(|4qaGNykjcZ)?_%`sY5! zD6c49+JD}Ld;jNcy!Suu{`p(ap9m-YUo<Vg?RrJG^`670>iehG_d9>GeJJN%J$t|M z`o)jm{?%RgJ-K+z_vG&t3iH{fi*NqpU(wDk6MN^;Kjxg8r}7C;=RcTO6C9(v?VoO+ z={x3Jo5}-K`zwxA?YF3yu+{%$daL@Jr1wJ8-m{tSICN_Nu~Yv$zCXGB@Q3%b|Gy5J z{cqe8b$oVvf$g67If;Ac$2?a4`KF-E^Yr$^tnUg7G`sa9epd5u{W+z+#r%o%W6Af0 zCoEnc`*qs(+V8vSYrgN^+kSCgP0gLhp!Jhe^M8s*{G1-~bNh||o7R43+-^~^<Lvzj z*Y6d6;^^MKM}FR;Dc|>d?@^!6b$t4^KYSI<{T0Wc#kl*eKe9jDDt?RZo+q{bg}BYB zTc_REe1C1d=KJgPH}P%18Mj;1n0(&f^Oq?<KJQ-A)A`9y_a9gNX_oar^z{Aep5Fb* z_ihzlDYD%YFQ2@3{`|+2YPh!6w?4i8fMffQ7WS>rZ48s1%G+Ff)_<bB)qe*lM<0)@ z-g(rDUv|SeXXR_Zd?P-umplJ;@_i}oeT9!^3a_90<6LKX#iOdKnEJD?Ha}pi_TNx5 zX??WKA<4dvP0=xhu@{Bc?yo5T^<WN~=lqe7FX*d|d2na<9dqt`54g5h)CzVV_uuyM z>-YIAuix9V&f8({74yB!erv@3__71P{%#VCU!fkhH;jF@z1n<Jxq?>aUqAg5Z9g!+ ze(&tQW<S4g#Qx)^$$#ap|618|{5@l9#QyhF5+Tir^iOvm-*wHc6^}8_|7tSZpKpHN zwfpOp*6feZ-B`C?<M_|jxqrX!IK+GH{rx!`KFM$R^nHHf=j~6VAACugR^Mp*OSf-! zdQ|=2+SNyY{l3<q|7RZez7N%U=QsWPW!ois?S0^qh@bfzKCS;SttR_T?43{Z3r_Ai zdhyxh@bhNzKYmN^`|#a-&HeBb8|va09{aWLAK&ZWzhuMy*@pe=e)QzE?eR~Rk96mq ztS;J9y>9FE`_I4K_`l<>ylvda+NZ+TzMtRwJhHxa{>|gR?23D));CS9U-<7y^s4`= zIe*#b7{CAa^T}$P_=LF0`3=@{5^C+ukN&F5>-<`Kp6m7RdF*Tc^hf;k-=TJ2vVHoN zKj!*d{<wXTlL?=D^y~MdQm@~4yRUh#Ulwsce$K{y{M!?1<@IVN_OAb<yynk#3&r~~ z{X34&JXX80S6x4%{{NS_q{6>{jSu~*3-9^*cb&}Z-<qt~z6VRK`4he75Br`8?>oLv z-}Kc#F6-x<6PwFp_MCa7S<kP#@t@tTq}uzB8ot(c3%>sRTlm`Z@8SB}KTiEGrTw4R zZbE*W`M#(1M>HR+tyhS*Nu1lBw&wGNUH0?skIb?!eiW^~ulP~5`n|_<YW#2P-}3bR z-b>HoljX}Tj)~Tv_rCJ4?c4XgPRnXve#tNN`OPf%;l-EvbN;OkkE}C(d%dDu`JY`E zq<Im2>wQ5~)PG3bx@YO$?^&mh@80;ef5Wr>jnB@1?E1!P_vqNm`&NJ1YZm?O=$?J! z&%x|-ueTqXWq;K4t+~aXm0#D-`M33Q{luO5r?20tk>!goe|NC(@2}4lHCErBRQzxI zT=DBz@%f1Jmls*gFXy?R^0supTgBPGn~(02FJAMzu)lP_X~g&2oUiMoHoQ~+f7WdO zyXg$C>pVBSYZrN4r?K%>Y~t154WZ|i!)(>pT;DAD`fJKxvD5w^1+?c`eq1a3zTo_> z>G@kfe)&0t>-A?%_BHQs%WT}2Z@kie{`2`)<=5YT_M}_?NjH03{k9!@diL%stypZs zChb4(<HYmpbu1o#)_Qn*?cTb2g+ssoHtJsc{<Ub$``2$bUfX@})c=;RwR;&~|E~IH zeQv$|fmibrYUA~f{@NGUS-!@-b@Az2zhnx!`YR3zf74HYn||lfpSjcG&+j_h*IjN| zc;{mM!P}>A|9H52ZEdIWo?l|!`GqaTHHWzOeW+ag{YHhjT|UTkiPyiYEU$h4%C+YG zYtx9YIU8RYCe+5~ANjQ}?nB&Z_rKv0e}mV&f1SHw-}>-}ov+sx{$0Dx&i&2<K6S>g zhoko-yuYmz*E8*YXK9Sg^!LS&DqQQ|{}r=;#4*31r(63*jpe$+#>3iCcYZhYihuek zBLDicw&At!Uxn7Ze=Qnu|GM_Zee17lo%`*}e)Q`<iEH1#s;znddaYf?&z1AC*Hq<g z*tdRqVr~5TW1QD_A69+`ngjn|7CoO;e&ct=)9SzZx~Eqp#O~#fj#(#DEx)nCv0k1% zeACAynMW+&cN|dGEBre5+Wq-CHMRFN4*c2^*z~n_ugL4)RjSv%f7M#^{<Z9eSA|Db z9S4Pi<m=y6ulu9wUdbnYJ-=b!`t3)5?YqbKe&;>gzVNcYw)Y+g&)fLjaJKypqt~tc zI}RzoepNr`>yfR=|NraUyKH~pXK2nJmT-%suUALyIbyi(LqoIwjUT^iu5rEo9I1Kj z-_C=#?6ueY-F)npeSgH)7aLv~CcmA}eeIuQ-K9^#|2bCw7t8*CX5+W>w)1x0V|%|Y z?RD`XUAcm)(pTR#XWJiV{I>t}Bhz^i*AupV`*g1GLuU8-m-`RN`h9FL-|;7J_WDEC zF^@BHKVD7Gsi~cp*ZZ|{7qs(gdhOrN!?*0M*HnFp_!_a{oB#XGYuNX<%O`&A-}vi! z#P9URt@UOWPphJB9x_&6-|$tUu(|nsq@8Ts!?)ife#_2_ynglh+P!<q_xx%)>iui| z$5++4dwBZ_+WdDM6TbKG*Q{&xe_f^TKmXjSc<njA@tVKI-COJ5ZTNQH_S(Oj+UM#j zgJi0^&#wP_`S>mS<TX`l5npvSd^<0c{XeJh@K?ElBHdT*#x@UG*Y8XDxprUw*F)}m zj&r}>^>5SG>C(qvuaEfS@?Svwe*WY19mk?&+QjZZWO@Iw<M8@hKYs1WX!%-sQTN)v znaOYG!^V#yzDjI(^{^g3fE4y$WOaS#*7~rB-_IHKW8MqCuRm}t{Sdp&<Fouh``1U; zvGNsua2CtoaJ;nj{)TTwuPwf;`*zm+mh&(79mk_>9?68;=X`$qQO?}rpJ2DU_{NW4 zf8LUK{due9wSSQ-Z1;;_PIx<C_u4<pgsaI%R=F1h&W`{6oGbgk&&FT*8-9U?412fM z%YAHn{q{po`kVOM*OCwF^697j{AzLNXMfahvEMP_C574g@-ptzH&nR(msqbXt$*B5 z??Z#M&113mb!UDZpT6be*MA{RU+eN4x6V_&R<+IV&x0fG>UNeNuP)80>)n4$s=hh; z)7gSwkEhl5Zm($HJAXq(d-#sy?lQm4>K)?dK7Mk^PiDS}oL=3fXVC?{drwFF|FB#~ z?jg(ZiX*nyo?rHlnD75xFZ=OJo5#s>)05VG_Gv1er@7(U?jup}#a`PKAE>fVfXtyh z)v=$Oel6npOy0O1_jumd&#HRFeDC4e^8x-b59HW49-Vyp_8;EY*R1{aAMJcR;h*}Q z<oD5Q|8~^I{9SWa{4wZ2f?_fIhurg@9~ZYfFMs2Q)p?eP=QCMe+e}WHo9=bga(_tI zW7r_loPV1y*Z<9(HvgQl;<ZY(!_w=@yVLg^{&)U%)tkcZ>N}5x&($BiwLE;o&**D! zpHvjPs`qLC;;;DIZ8q=!+iP~m8089@(&s$7s~`EF@%3+U<!j&do8E8uSUN8<ac+9h zn$Kt0UfTpG+Rit<_PO$i)%lW$=QD4<+;8#suy$mf)p?hQ=QDNlcHWa&zTfBX2Ql*- z-a2)MvTYvl<?oyPHSsRn@tdbQA2<F})~i4IjC)<q+wzLm#qnD!x`Ou{6qeh#Z@p1s zZG38}sC4}EnWoo%GfJ=d?9)~{Z{@~UI}fi)hYl8YU%tP4)ziiQ1g}-99kV+B<kR|_ zRU$hM^4dKTOW&XOmObW?;QG45k8WN)(OxPoo4@|ukwTaMobx}t+P&s)%k>@qd}rr3 zOV4@uWV?=CV(tEnW54Py{@Q)=`LS=6r@(`_-_GlT^8Kpka;xQF<Mdn4uK#Bj_Fp3V zzt4tm=b6%D@;k-vJW|;D)#3rO+(%CT9Y1oj_wQ|cdVOQw<i~%i=UA+JY5({`dCs1% z-=luBv{xLFjQb$=zW(~J^AY!tM{U^GFB*6G*!6#siErmeulZ|y{FeRquFdggU5|x9 zIpXd7e_M0vt{NX$mEN?qep$rt^iKYm{8sb*?tcsV`fvQN?e@1gV*IY~UoEt@dSCvi zV#)ue)%F{H9=dSrePPRE`z=4j@(cRX_dMXfKmRwQ^!r2KpKthfUIJ21GG+hI+3@OO z($(Yxx9r{5{5AgJ2&<|77B_FL54&;yM%9%aN8Rr{<jenm;@0^chq&YZZ#>#9zv++P zn#YfJ{7F>rOa4`DpY)N@z2cbRy}zAjr#}`GxA+^}U44Dq$1k<c@~?llZLE>4-s=kr zFvz@y*lIcUHB~*(iH*7|pMw9JhW&>Ywp$;?y!ZQPzxnn14_x1Wbcov|=x?eyWxxHO zs$4|)`{OJ4*U41d=Y5p@TPyRowO9PHh<e2#)^A47j`M!2KXmN+cW353zq@Mdj1T_$ zp5C>!ei~@9Z)?3Aw2InVe=g$p^Iz(^_Fwro{z{Md{ah^j|DBb#*LNSk8Gozl%Z>x; zGJmEX-8+BNPvtj{h1Y#JvFn$8?%SugHvTsjoBu-o!LRkX`@q9*_XF(bJmk{1=*ayu z?bq6ZU!ki(*5yIwQNWWMkKRn5`>5jBEqn1be~te{p7#IR1DYAQWnaAJZ?XRWwQJp* z`G2%j+dTO5e$C$h#b))r%rgJ>9?iDdxbEQj=<3Sn7C%_K)xX3)1fAI>z32X|8d19k zQu+T5K3W~W<>S}7yHc<J?&7^xl@{?j()#0E;rDYY-X9THfA@FN)5RZ^=S<G;`TIKJ zpJm)*rt%#Jnez&N_Q(9!zg=Ve`jSPQx}VJZ7xy2%n4hzUae2iNVZOSf$3Fj?`LnP& zde7fgw*Kr}pZ~tAe62F=kk$D$bytsFuT1MLop<vA_tzs)@1<VbY(8vtz63IvGB<rs z{N|dHd7U37zV0pjrhi3Pe8+)<`=j<86wLd`xxW7Hv(*vPuND4~_LK4dV*kKu`%kw! z4>;BTyZx=ZeC+fGDg7S};aA>weEs_uG&(N%+Gg`VT~Njscx^K|@oI5*=y};|m1+H@ z^In2y`lsLeV|D&V#PgXF?Emv#Pkg|vSJ3Z0=YRXw{K8&-i$DI|?fY{-Ute?jVBr^Q zKN<Be_KyVpD-Igp`#=5c_J6U`?;D)={LJr`-&mKw@bIs_tswgn=B6LIzxiY7yv_~h zjHB07J&S-3*q?l9Z}Io<{p~+X=W%X0XUutS=RJ|%|C6uBKQdic(9U0R#63pzn*A^4 zXV<MP`~F|(d~EyITIT=yUh~JiaUXgP_x3)IpZ>G3+j`A?=NB96_!AFWov)}*dHm8w zIB_nxu2^UBe7aNN9q1g#gD>)P{~eT{d|vnMeP``8pMAQl*OfPKzrOkFih|DUJ-hZD z?YB54D_8JG-R%Fo(pNI-^{<Y6^!YFMJ}=?3?vA7P=12bj(sqSEzp!VobmX4nocs9; z5B>Vf_5OUW&D;5Q*ZxT+zn#x{?O$YqEojF5X3|^GjC(Ppc8ZUvyJZiaF<Zam9*=xs zuYW~*_oZvg4_n`P{Nk9HztQadiFd1xzl^skoO9`ai~5_tQ@4K4OM3i!$3a88M=a^) zTfeFA2{_OA`n%nq{o6int!In)4KKGs&vUJwhcdhWPx`d~@AQtX^=UgERBgA|F*Ei* zUwcJ=^_|C@^9yQ=U(f%y7CODZzxdIPh5s8SM+fZRzx|se--jk)nLCqyeq`j|RJWe> z_4ml8wY}^A7Po?n$meX?|JQ7I6`6eXbH~>DvWVZ$-+YOm^Y5WO$al)1wpH~y^W&AN zuQwhS&HL~xOw9fv3xCCt*{^T>VZWAJFz4@L;p5zY-S_O@dRF|8@Y|1E`FYNN3;N7& z>|cA-{pe5I*`9@U@@ZesgXT{`?ZSh%?2Xs_-5fUOZ_#oKP@@er!7=CGz5Nk(yX2MD zd}mGqmFwB-wjYqq`_K>_bMM@>^aIs4kA8d;JO6KLO~m$#AI-`iRXG3WJYVrO|JuF% z&At1N>E3(5mtGMa{hRak_x7}{%jdnW-~MrHeOtuu^tP?_c^ki-m%a9R=kZnU{afqj zf!d??IdxyZZ~S!}65?Vzo>Y17*|j*fzFWEGfV#~iN&kv+_1F9V`k!8(d{^!G&w9(k zJD2K@y_~!9etq<BUA~Rw;@#;Lt=oTeq_4T39krouz2+Zz*!1GYZ|7w|Q<+y6A6#{u z6Ec6if5XqK;BM60`I^`MMLrU~9{upqx@~ssF^`q`-lu)!TwZZZ_}u^NpI%39zgbuq ztKPSF_w66tc?A`=+4V;R&y`)P>*k*G_<>wc``5a;<+alO@2|T?yp~K}n~s=w@T)0# zbdXnP{)C$F9mh50KC*>>-|~9(2f6DO`^2yJ`>$~>b)N12EwAJqSN@Cm$CC0J>+_}e zAJ&~$*e<?jZ~xZy-n0Gpmv1}#Ywu>h*EW}v=cbFT`P@^UEbae%CP(}V^?d>UYd-rl zmd?wZ^Kb3t`U6(ydm^6Ce4sYX|K!(oA71S%`>Waafo*<4YkJJ%yMNd0FAtWkKW%lT z@9%7I=(S(A{Tf_-C;9z9vG@;M)#lqj_E)rj{asMK=6?HY=t_ukZfXDLlJc)@gtgE8 zR1K67k9a;)=(WvdtH1ny|6%Jlwr~047xP|9oPWdDn-8SYEov4V&7Si}cHV~gn?EdH zZz`6#bE-4>y`$MbIrAOI-D?irY+k#!JX?DG0XsgqLlqJGpI=Y-JMTW{Ya8Q)x#@py zBbtRjeKyWJF9d3)%}wX?`@i^d{UNLKXCj`@{F<w~f5L0t!hU0$N4o3evR-dK%zN+g zl8qGw*4L(&-n5Rpd$03x&p+O{51j1$n?JJG9QY@jvqz5IqJGEL{0(*gHyr)-_b}sY z8{?$8=}oslbwg|EJkO1<b{<@n-U@1_oilb{UjO{de9!`vYn5ty7C#Ly+$$Joe`sBO zck-OajPE}-N$;s&@-_bM$z%GF(~o`pb<R&l{>A-AXBV%KKlicYuY0u34(`{z;X4lN zUV9%Zx1nx*(UDvB>TCWMmxfEn|9&o&{r^tFRpY~}(t9CYCCHG+n!lHK&wjf2ukj(~ z>(Y-u8E&()y!X)QZ*;eLMRT;x<F9vf_jQ$K%C=jp_x(M7>j(RtM}p-Qhi)H_{C(9n zXOGbOkFP%Vv^&@B|J?EQ_dHM&EcxyH*VVUv+_HCG^Vc|d&flWd7WWb%eWmhW^XL4# zw>PH_Qm{Vmc<uXvQ@rAk>bm&}uP;9mlP~z?(%t@j+t2K4Pajz9>K8s9{Y!r1cNOXU zL)tcvmE-PTKW6<<K-_=ZKle5FlizNr>o0{i752>UUH{kk&@KDz5$DtUzSg|k`0YGf z_J148zwFER?@!+=^!v9*!`6DWuHwBbAF=QLC#1gPkg{FEd(AcCc?Bi)v+IxVl94^m zUjSN4z?bg7^<#R>16Fqb%@sc!r1g&ozAJ41`g^PGwfEQcB7QIbt|09XUPf>%;x}lK z8`J7}yx0DLYKG>^^(DX9`M1?<sb9C@+j)`xnD=bq{@cH<1m(awwy%dJ-+gF$+<xOv z^|h77b8N13K7KIMtgfHG;-Kv}sn_%EPv3IiamX-EUXuUy_cs5C{pN3M&m6l9Sp;$I z-^_%!^I5}ez1LK219dF3|I5t%H~Dh?*Migjkm!9d<Mr7O4cRu2W$)SEzP9`@`<=&U zi*@GN9D0@?vHf1*FAw#;;$QPO{Ev}7Up-|jWVDRgui&T6>-s~#YM(K_{yy`s`|TgM z?3vg6H9mODK6uUF%^`FCZVHl--|+3c^0j}KmVeWi|Nmt;ZT`3Opa%bkzSnmjz4%%7 z*Luz)+j#~3f5kV%?Kqm5{dxPE+b2Ih+vq1#{zd-7w^p-#|1GlPA2F=A>V_;fV&wm0 zce~^C>J9R?^J>-|xc|Pe%C)%byZ(mr&AA1CoQhl3pRF$Zt91H(hxDC?PmYSsPp#w6 zKd8(%KjG)f`j)Hp-Qu^NSJWH-uHC!$^8UhK^FK6xW4?Fi&adD8HuLQd%(6dd`8N2? z{X@T|`~5%l(*7}Xsph<t&nI82&;R{oht-_phnLR?eb2G_Ui6%dy&JAo_ivrYd#!5T zht{u$#IL<xX}15G@`0#+me+M&8{aJ#+J2{B^x9vGr2fis|II(m?(|pNJeFJEU$%c< zLHpk2(f=P8>%M#Zrt+CY|9Nxu9giwCKK!fuwr7gPz1h)o3ir!@XmXd?b7WpSr~HRk zlGEb*uUpiw+<O1Pgx|Ws)iKHcr<K{iE9kb4F^ucKuD|)?>hxQGEMGsfe|^XL_`#1h zbtQA`f7|S<I=9n$P1U!EuQ3~5y|lgl3%sl=8Qkj9+3@OS!qv@3S3Pg=zLtJu_4M0S ztmik^DD63<KIai<e!*X^YtrAZEI(G6`qlc8Sh>aCsYlcA7~E@`eD9dO&HYceH}`$y zK6lsBFRnsvzRdgGpDTVXDn1XkNOIqeTVRW}M|?fA@zu`btJ0f8&kKjyvahN7rLP!& zP5r<}?cBXWdk$*OEA0Fm9%z5(5%al~=L6s7=YE}4(3NiU@T<4({=Sb+duq3yHGed( z^lW_EThQ{kPoICh5`F$ocKYASn!jGfKTc}ber7d1;yx#F?RT;4z2y}<m+iHCclBS? zGr9Kj8?N6Lez)<v*6jZuG-uyGeln+T<G1N7+4Y(m>ZPOq3vJ(VRM_spuWi@rj_}Sa zD6;NeAD8<xwW3#i&%uLl*VeZ2?>J_A@8KW5Yj$<Lz54^EU;OxP>+_FKN}tb@HD7Pv zpYQkl+r5H!&5z&oie3M__h{9=@`&@_<zD}Mw{hNk;cK7Y?mc<z`scUFw)YLM{hgL@ zH=ot+)1E07)xEa%x_TcN+1Ee)c}Q_yL4SDuwm(^~HXlpO{d((xO!|%ei;rg87~SIw zU%&b1<?T0q2)-^VnzQ$-jh)51du4L{ZN>e4ueV3Xe7%!+b@Sm>>HVSSSy#`yXPskn z)%eh=^q$c3&~>af8|-xT;`3jdK9H3wEZJ1?!SA&CQEi)tBJEewo2z3I|NC9x-~OXx zulbD{*}Q_Edb8_KTUEZdD}Q^V{(R-12Nl2XeXcN=_q!wXJm2bh#$mSNYpUAbZ~ho^ zo@4bqShM}==7X!AbLy`-zu;T`mam`h=YN(jXfV&;RMAvCC+YuLG5tdSTCJ`7H(!0< z5M6WRWvq_fL(|7+cN~7puCrhEwOH+kJMPCnwx4^v`0?HL^N&xupR-N8T6}EP^QukX zR;71@p63Bu^=<<=3H5`M(BA%Ae^ze~u&+5{X!npsJb&vq)^&xQhqp)WIcoXUGU5Na zu=Rq=D-H?A)t>p}Es@{rZu6k8^lsgqTc;;K{`3Fb<Adtw?po^ERm#QJo|3t9|D1hx z%>n5(U(ap0w)>d%z4JF8zF1`z*IqjB)y8wd+;O$H&iUWV`MJg7kd^<@i03P1ewT0l zs((e^yyB4Vy7zf++3!3MRJZPCpTDifXwM;Tzrq6k?EMGXV;;&~x7fdYYrkFc<MUTi z3u5ZO*~rzuyEFOm-{<EazZ5^W*CKC!h1`9eG~4@<*FMK4+upZc^L+Q=s(saKo>w2N z+P7E#W=+*T<u$)`kL|i&RQaItD0AM2p6ET*ySK_0bi2>lclgo4>ALf0{aRB{p}#u3 zt9Z}Be|vS~9_l{6dZT)I@BEsh$M_@L?|po>`uWGnk3VHU|M=ncb2*vt`x|yZTI<NM zdhhD~pZuzz<nvr?^*qZk+wT_1wNiT?2=m=@{riFOxA?}N)iMwM++AbNx6*&rw;f0R z)vl1w`^XsHQ-0+2_ZvTsy5|1tDUFl~7q{-O7Pl{dZL#}E<(>UccJfDjwMn{Kd}!74 zp3w7Omx7Y$kyYt!q33nOY|BC2zN?#$tG+HiwEFw4s>*$5<rC%S7xbvlc~F(Ix6r@V zYtH@audajY_A2}C{vC;XIMv^8{y5t^y3X{qSlqKa?8h&ro`2l@_*c>Mk3T*=mn-}# zdHcVbOwF}Dzr?cFe~n9C`<*Sj{_KWt*Kc*cpHtEP_x#No+k1~$#pkEj{|h^P{CAV( zA<Ng&$@TfK=ROt@uQ<f}?VbPMf@b!bBg*IG65ci+_@w!Pi=BT<MVIrQgSK%WCYI*! zJ9})hdAP;)@}tG_GWq-e+Q`)$-)VjP=+twMSHCxT{WC7v_P+F*=hla-_GPbmUfuq+ zraa<&dH>fM{fO_gw{NN`+3#9${<Dzwzq;zWqCE#$=M^^p<&XF+w!Pwj@K?#gzY4Ev zQ%^5Hu06+oM%ClKk7gCW9cQ24-}QBV<oCIcuZEs~Tz&lH)pL(GKYsS=`NuE2&#VHi zxD*AgxU7=f`06Gk_cVo`|FAo^4icgAk@IEJ<u`r3^_a=r;>U)g{uW1=-)-E#?PvBY z;RhcS!`6e>ZaeG7J(~DYE2j3yv;OsokJs;fcdqc4?emZK3P0|B{_(5n`P!nGxYLhT zftGSJhuQW=e4Vr5m1XkP;=i?K|9;d||F=X$Y(+xtw;gkG_EzmVtS(b~<<Y(GxBqB< z``D5!6Eq(*!%^q_x1c-vP3@Uy)(_a8E51Ix>rCHid5eDizS;6N{rPn-?%d;_zkBM> z>bH!m=Yc1$s@ByVITmbtJ>n~@9U6LGHq6#~&j!1_x9aa)%Rk8eCU*Ag-}c?^7RL?0 z*(ZLK`F>@2!0-0RzfyM|i7n^f{-fb~kNG3M_w`pkt=!UgacA`Lhy8Pp`}eJux9@ki zFMP*1eU87|oBE6Av=916Rj>WdmAyCEX3g8b-Mo716W47x7j1g2@^#1Fqt9M{;5#4j zK3yl`eR_+1>d&WZ@>~A8->Awj>?*c-X!}jh^KU`3|Bnv#Iku;6{kG5jEN*e&;9}i* z4+NI)IBsmW;oa}~8$UiVvHSjL$KRWuE4~#!|5pF+j{EVS`g4vq$D6$VTGjfsW_iT< z?`*GsuG=v0z0~WUc1gDPWv_j<O}?AYeeJK<ze!KG|57=x4PBfuf77?>n8$4Gn~(Ze zEV669W#3lyf799fj~Slpem%zdcxlCvxTL@8*Y=jl{5e%w^W5U!)z3ekRQ%6={_)B6 zv#Zj(LeC4Wo+lb+i<(vQZ~Ot5zdrxAto<*>U(uev=lJgP5x?cO|7f{<KI+bI-`V?@ z+1c^QXMgP~>=S-tf9?_MJ)^kJz2|TKxR`(QhxXdZk5z2@%lkgxx9eYD_x#S@$B)W& z<^D|AyKmZ$hUhit@5^nltG9l1ck|mlCJ$d&KD+w<<`2p1+mCVId+^|M*<VS!2U6=J z_shO3c>d-6+<)A18Snq@dm3za@0DQUS^4C%^B>E;<(9EM2wIkY?WO&LDc_Xm6?|Y{ zV}E`3p;`NnX}*5-f8o~Y{e7R$R@QuVfBtU6tGmfps}DxyzwckW|C;rosPhupw#7Ax zdqEAisD80*Teh#y>!hyE|E>8$__X`?$6T-LbUw~|&GG-rt?zfv3!baL@$S3swF=8? z71f}1dcxQK+9%xo|8Ksb{a4<Cf2_Oj3&%VZS-(HyqfoW~mfvd+@!CPwcFSklKYrtB zcJJ4DUHh~0NoVIjZ2A^0V{`M@`#Jxrm)G|@-<n_0zjwdI{QJje*&kDWE4<bI#-G+R zzoz>A7ki&sf8b;An*Wgb=QE#fU#RO%-*Yf(Kaci*so(yodkea)m)F1l(*OU`v+pJM zEzkXbWwY<s>*DWyr}ta-x7SyLW|PaGe|+)&Y}LG^HP1DV?~3PM^Ly*TUGmXueit6t zb>D5xZ`~WW{_L6`7V$l}t8{-(f$LxGHNO`g+a=!@@%7z?SGLJliw~|!|G__PejLBj zn(NKF`#w}P>+iW|@$pz^_4SP(Yu75TtFV3j;g|cHuerx&<sY1-|Hob0|09R?zS2iK zh3_ZF<~Nt_RhRi(W%hva_y3g7=PeHGZoTe)=aI<r_)R|o-|k%ZWPW7*9ZSD|M;}H1 zT7KiV(faKbt=ct5*lP~C$J8ACwfuk9<Kt`ov+?hk^z%<;ulKjz%GavOB3@fQp7m2q zrta!9{^Hs82L<Imik0vA_oU*tNp<Zl>%Y>=|8q{?BLCsl%WL=lZ~y$0dCgB@nTvKu z)nlywYA^rK=)cAO(Jx7}|4+WmpZo82{q{fC*4%zk@m>D;$0zpZZLRP9pMEs-J=^Mg z>TABrZMb&(fBx(BUwJlMGd?JOjXOR<f6dnw8?HTWD7BZ}_-;8T|DAs0YkznAls~;* zA%DHW`8((B?#14Y%THQ!eKO1IuS>wI_2&LK*xBz_Q}k%{|E+&Y_GYhnefL4@bH&5I z*Wdgc{1`MdbzS!!=;DU|ZATx!zV(Os^)*Ysb<dA{ob}K4-G@crZtULoRe$g4`p@za zg7+RuxQlQ6A-8<TL1DYZ_lvI89pL?zpYU0>uwip|<Zrgs_txI}T)U6)_1^};*MA!x zw3+RjH(&JH=gE&g{`~g-B=5D~jH1`}r)RCHKQB}0xNq*Q_&c9K$DiGK{K0M7{SM`r z*h9ba3s1lH@4KA-sj4gc&Vvt;V)hShAM;x5ThhB<JNIAE>zc>K=6%=AE&IRsot{1K z_~F@ef4795XMFwhYkuC_(DMwd=W&MF2Cu1_7V&k>hF6sbtm5T1o|`UwZ=;=YpI++g zt@~P^PJbleZ*h1YsLm~a<zM^lRe!aN+)DX(8_T_C|36V-cTO+&bLo!M*vqGGomM|S zJ9_SM@#AmTo_~BZ_uSjN*Va`1iul^I@zu<PtD6t3O79FkFSdFf`<kjgqvw}7U)Su~ zHTP+GLhZGRV#(<Byyptr{+^E7b1Zw$VcB;dTxzRg>~Fu?{y?sJ`}Ueo&m(LOF+V=K zW6zwk_S)xmO)uZ@kNMlH;_$x1*7J_DAOAY{+~bRL&my<2LH+2jG9P}_RPUAD_)7B5 z(P!8H?LTzue{fAwt=Wzpd)9`xX2(4K5Uo>pg!A2pj`Xj~?Ye*2Uw<Wf@9L-1g)M)d zN7i(UA3Oc=Uv%!juXoq%KVQ1NZ}IN=$NBp<->tl}|M<@SzU|+)?5OisI{wSH(>Baj zdQH{5f{!+<uQxP5pZ&M`=8skB4WZ{*s%!q69_7{hc;;6~h4_ss*@EtVi@#>w{uSNn zZ(<w2?%V!UJZQeacZ<XSKChI|`^dLmBEP@CqF7$qJ}s8*`0d~Gj=LZK`uE)9kN@sW zeth?@?z*aX8(-Z_zWTW()c-x>wfL`JHolTfzG{4MReB@1rqJbE=kfOgBj`NpbNlb! zS}&LJGqj@j`u)U@yzf@}w|y3m_?va-k*r^Q#z(&6J=Y&IhVyUwS$yqm{fC|9ea-E2 zj`#O{Zl8BN{48>>^bC$(>0<4hzxsc)G`C0F3CBJBWxckxOM1`2lcyeuo?GeP`}f?d z(j%JhKD4CIF}&9#UQ^NXbbGq&WA}Nxi|5$9vaz$gXaCD)p53!M(7wyst>L!HYpU`# zzM7eQwYWd@JlpDd>T9a_BEEi+&;FdhF6XuU14;E8`?<az*3~O)*WR;t)mHlz|GQVr z|Mi&Dzv9@}?zMk^6;6vkS)mvgd-j-o;rU(9`nP}k^kzrJp~{-CM?mGS`rPB!{moX- z11*UMTeb5rXhw6MZJ4cp#Mdu;X8T{gOulM-jP>>82M=Cti(}<0?5w`?NKn4uYwQ~H zyp`u$E>Dlx8|J_9Pu8Ae550Bw9jrcfy5P@Uv$_u<ulH|wSAG2T^0~*QkH5Tp{&Dx? zZ<5bHo;5xTZc$6Eo@c$Lsx0E`n~kq_CS1K-J?-rBDmO?5c`W-n_(4YQ>#Gk$wpSct z{<hBXZ$WeO;-`~?=8MiN=sG+-(EiS2E_uoI$8LVVQFC&0?w<*zFJ$xQ70cOM>dmi~ z<DU;&nDn)#d-XiiFi_j?4W#V{su`H1;~^7mkWHI*8|--R)vRB8ofEV}$yE2<{tM6I zA2XC&92EZQS@^eTbv)>RlRu(j_756A_U+g+?QDFBS^cqHI(@6f=N{iHK7V)VoV`zM z-tGT#hkXsGNoxsd(*8;{oA*jG>FVeIj7;x+8V;Dlx60m5z4AF~X8Xqn2fNwz<@Qv3 zfHNL}MH1Monp+*08JrQ=Q}F>LG{5v*?O*#hf9n$eUYq-7?(=>3z85@j__zN#uiuZ- zA16Bh3+a4#wfLDSpIyqjk8I@?^%F18|8YQ0Uq6fQ-}!Uv3pyTm-vr&%@{v*h>pyk> zsz*PU-g|$BSMP&Z`}|Em8T;p$Kd{>N+kF0$-*$=rrOMZBpO-$r==t8~uP(o{`PuWD zIWFd#iuykrX1=s%FE)Ssvt?_o|3|*_A3q&7JOA;C@-r^^4^pe2^Q}&Azgm36{hM&j zxqa!ig}1Nsm|GmYshSh_fHm&XgGq0skAKN5Xs?#3n^m{t;N<hSzq`y4e<=1o_1moa zgY)t~9{im2{@h{xU3s?R@kP%k>(9PweCXB8#IJLbzs4kfU9+KTTZC<Sgl&I>t^1mJ zAH(1LR-3*^{@x?jcLj~z-nT!S#y#Yn7kBJg^<Mw^aqsJo{c4Th`dixO;LY^hd5>B? z8{K*QAp6_D1NXGgPhP+K^Va7}%AYqs|0Vs-=I^pdTjMqJRKw1ThMm_9J1^#MmsD@Z zzGj~Lnt7M=Z~lEHnf&$4$2Xg{{b#-R*i^2dclAu$`ww~VJ^oT;#=kH3yZ9H*!XK8e zr#|3WUU9&CPH|kPyUp*ux$+;2x6ix$e%I%@&mUF4v$5Lo&PIQKe(_hGja6n5w%a3Y z`6F!E*UY=f|EA{aoFtGh&upv;tEj8I{a;G{BVT*?0{OfT9px536z$)OzWpi5XYX%+ z=K&LcMdxb$8=s|PisG6VYv26YEq}9CvRcwczy8g+{&}zG@A&*?`8xOWvkyLdbu;1X zn~ha+8>;;3(%!F5Z@FrG_?6}HS33{AicI{P^KsJOHy@sy+O}S~?_-Cx%>O(4-Z!LI zl!|`qmHs7SaXWgwRCq=6WBpq-{n_VU7f!nI^L6}<zpB;UbuX(PSN_s2zgMYO|MuMD z`#bI>gRE(Dua2`-kFX71Gfy(?ywK|BT&tgptxoU0T6`e;Tk(<2t8eXFwtwsU(*-^3 za~?m)`f^x$&$ZuQR(`d6SMW#m*F!$`{hNPBpW`m9E8U&nF8yuR-+S|>f1mffzTo-d z=g<1z+5DgU`oa0MuRI&8)WDW_gJX;hWXW^J)z2HQ8cV(Xz3okU*YoQeYR?un7R$t4 zekMBak?FmMvT`pjKYVriTK*APzJE#ggKLgHGrm(C*K|7j=3n=-H>-b!SMNW5@P5pC zo8$H6pj0pauI8KnW{^ePYvy^cnJ2ww9&gxrxz!+xp2U~!uX2m9HQtkMU%Y3}wzt`> z+A$9;wpMlr?>Wp~bLICJ$*=#y*M`f?d4FX8M(qzg?eUv`GWE|1fAGty?Ee>)Z*?cm z->sN8_sZOS+vn=V&mW$Q-9Arz`G(38)!*l5v*s1{UM+6ETHJqi^YK@b^DdnWKhGIg zd*$Ch^P?Y)-~8h#-*LqK+vY>BU*FodZO1{~bL-MSGwOd3WWSz%fBB~O-7ke7^F6ot zWA(S7`MSlUJyP2%<Zk`stbS>$@Avi`|Gd?gcYJ=bWgYwZ*Oza`*k-SpCmVL&HthU^ zFW>f6wMEzpubHPAc3u?}ed*2W<_qNSJ!+nJoLMg~<Gg$NhWF7AHRs(s@vQjHMfKyZ z`G2+UJTN`CFY&XipT+vawsCVWzSF-EZBe-Qn~mjU>wH`B^s?s{BV*ogs44^J*n^R8 zYroD({OYrzN-V;5dW5Zcgst?NdA9RXxBX|n_xR+^H`Q!14__VoR?}u)(RX?Fjha^O zUm+HMmVM1CY%8xg;6A7LUWfZ0+ugPCXXPdr@3>d#r(AAVvF`lKbDN8I+9iFJ*;sY# z`L^d*i`%XiH(uR*;8kSu*E<Pc??960^Nqisn{WN=|3PrM#U9DO1<l@j4r}k(vD0?{ z)!+9Q&A)e?S?+^i`2B6qmp|Y7UfWXt*hSqpf0*Ch$S$<2j<c(Zn|FV%d+_zS&p$D} ztEqC_Ty-qMws_4v?ltqW*UU2wJI}ZJx!mgX0>$0&w$5wjamH<$FXEq<`8(=WZOp6M zI}e!eJ-Rxl_HmmX^SOP=dlzxv{vKM<_4xg*n!fCFrwfa;t?P>ftLszVZ+-sK_3qDO z#bt)&c2#*v<#zjbM1t%Q4Lffdc3u{2Psdf`9W8g4&of>#k2CB%)B2m|h0f>Y{=W5q z$GqZzEnl9q{hou9y>ER_`O^8J!C0ogzi!82?K?$rt)Fk-s(rci&7T>zCG(z7FMD2n z{%Pu+%9_aQd!JuD^9)=lY^-{>p-OIJRbPbd<I^{PzOp?0YUhDhkqKYde4O?dbWQKJ z^|pEi{fBSgw%N1iuKiKrJ%=^rUQB-YD&eo!orj`&`|>~QzO!6^^r`Hde@{i<{F}44 zXI^-C$@7C#W6bA$*53X3gVwt35w_85<}rtzR}MR$ne=>Rde2qk;~;Bx9s@<$n-BB; zzWHdhy_Pk6>u+tDM?d<^{0q8*YYuqt^`9T~{{Mk0(;Ww*W&T}w#%@z|ui>@z&A+o( zf2@6@yKmR)wa;&w-l=@QbJsF!|8-A)HC!z|@JcfF_Pp@(nqlWH!_LdBPH(+h+<UdS z{p#k!uPh%a|K<$(J@4z>+<4<V4_MO6H&!-^S9CV--jpwMc{%95vLDL79<uqbOTI5$ z7}pZLXZp?Ty4dP{N7>JJzux)z!>@JG=a2H<`T3+R?Q745s<a5(=MlEy5w_ZE=IMfC zOF8Vk;Og`m<=^{G-hI)&y`s@N=E0+P-)efpE1H*c&wl^auC{9L|K!)=X=U}z>K4B{ z&j!yah-<5sxpU*5{Eh0Tl|{nk`@YS&b7e07yw|gLe*W?-?dzEhRnx$>Acx~i-8Xe# zdp1@*t0?2Y{h#UmM=Aat$FADG{mGaAL2mi?O_c@SyZ0~f?>Ia^YCY&g5$3o@b3S|7 zJi0mO?<Y_-6}=NwO<j9uv#a;@xzC@ro%#9xyBE0B(+xY%9Cn^@b$W@lb-e9zuosKh z%oCk=|Ms{2$K3ZEepvgWUE1c6EMH#!{mnbp+u9#~=m4vz=HG-?RKIWj?6$rEsi=y} z>%PR?zc@F&yvVld-kqDzetkyNRPT~-)Kp70)XILfllyAd_de@>`2piSyX=1-<E`bI z^Y>2G)g6bVW&R&}Rvl9u*FTy4#?RNgZ~k5St)~3C^!dxJ*6(eme=m6c(Khz|#;R`- zwuh^4etu<n@YT#DjIfN`JYRX9?S*fqd$-rdw<X&=kYVRvB!BP0)_cqS*M2W-e;j{_ zzuaO+|K0mVaUGx8Z`WGi*>L^MzHfW>e7D&@-&)?*yw&>r`}bBA4UyntAnd%z>gPhM z(>t$jKKN?q(N~oRURfr5?UBp4zdhAH*rvYYZFV2`oW~y)nyvpJvi>9A`wtHD`NH>a zs#AVf@Im4Cyhqo~=0BYGStv&D?Z3s(-t4W}u=oDs2a4;$=RI!U_4!`$){^I&+h<-i zJ_yR9F-f4}E@wm4H*niRoqzjpa0}|8?eCe7pB~PM*N%C}b)0`YN==o&Cj1Y_?|n?? z3o5oit0}><|6e9Tt0~)4plYhW?D@mwnC<h#m!AC|{&;@<qo-HTnueX{4LiSg-t+qU z+sR*f<g=fze$KKwz3c05&BMR`hCEXK9r^I9a&CO|od;s+{hNL^i2vwlcHjK|_|5M} zzjPM17t8#cR=49|woGAMZ?sMQp1JckOuzT}5$C%<4|Y~9vi6_%r0T<-x=m-VZa((v zW+JE*=L!3^?_W(DdNtL*Q2yQ{=64?&x-ZS^pO<uh`=MZ)+BIjN?^x{L*L^>7KbLt$ z@8<irYubg+y)Nhv_uu-P`<s~E*{`=gKY96X&(|26!*kuwm+U<ZvPEWN72Eku-$7Lf zdYD#xv$*}A@%_goo8C(nw5&dU`?KV|2bO;G6F!UTy$F8zf&bd-qmuU?GRha8t5lZz z`0eJmTGMKud5^1}Th^|#mbbZnzWDjg&$055vQ_-XXQZ-qV^!XUs&|mYDSqqkXZ2hE z)PHmY%h+|+?Kr4<@1ba%&CPlDU;VYe<p2ILBma+%)%G_(U*1|9f3niBFRbhzWBHq{ z6%%ds<!$xj-<(@KeaAbS>H4M5FHfI&)%e(}naN*c628W~uKf2G5teaz-&d!1Ts1!E z{cZB2lfgOh-ZGDc%6A-O-*a^J@=f`7E~Fp0Ie%^V7p~v?xcm!kpcU086YKh*+rO2o z*W3EXzdUC?Z*%z0&lih7XO-L4_aaqPbLTAw_XS=_?z#3Z_`GD;d6w1bjo06#cc%Mq zugxuJleT#v$9{f+{JjS!U%#m~`sGq_K(g<@ll`5CqIs6<5B)TLyYI`_vbr;JR_8zW zTHmkMyZ_+aWAk0_Y}emQDMsoGRLz^G9CrT4*|L3A=QdR7ftwcDds6MCZR)4J&F<&E z^LWFi${%98>$}t|+JkK_s2{!>zc#+pde5Pw_jC3gwSB&IN6ogo`)gL;oxged#m}#D z-`Qk<YO3wKKYx%#si|ICzqR@M8&+4X?!WavuDBPRI3L>nzWMlRaZdcf{Wt%L@)iDx znXPVdRMYOk(tFGOSAPGWw^u6WfiB<w#LvufmiY(1etz@s?EcTSZ*=RXgDR}ucProT zytV7|6Z;tX4OM&*wu}F7d49FH>uPZys9uar{2G(|^-ki~Hyf(@ew?Ul`hMg4n)&&^ zXFX(7x2SGB%f07-x6GaiwU1}mfo>E%Tz`q*yy8>b?|B`cmF_&+dnWGl_nV(}?JDB- z+@ISnUvRfdFRI+`=YmLEWpG2?GVJ`+dC%8x7Y#cv2I|5+7hL_k<ErsL{onge&Z?{E z7T<B0RWB~({Pl`f^NL?duqsRcwRqi$cljS!(hr{W`@`+iC4BC5L4Py<?cdDR>uvn) z9-X^BZ*u>x&j*YD-hXFvcP6Oo5t#()$K82V3F^o}yI1}2?v*N{do}&mXW4rXZ2jWX zK0kO|R_{1ppj~}q9Ot=$F7`b~ET2!UII{Ww!{?8m=h-fwU+~=e{L%lrKEL=MQ$BC{ z{4F~{m80~UdC_a;vD^BC>a8oFX3SAg$7g2p*EJvhJl*!+)b5ciU%aFJod<&N3qEN6 zp68l-{(M12(65JV;uQzHW9FWjcmKhp&r&jbFP)38x%KY!jnfY*e@cStt@>+o)8`l4 zR^6+)hup#1m+=1eeS3J2iiVx%TAkiK{Y`qi`ua_^vV}eFcOF*cgX^s-Q%Jov7g}%4 zht*rxZ~T0%e+$&b+V=$1#YzQrvGzYY_jvs-XcsGf^Yg34O;?SNg1W^z8>`lBsLI<2 z4$VDj_U<;-Yu{%7D*e5W=Xk{dOTIXF`#lG<_xjI|djFX3`0^$4?+Py5{;T`E)uMjy z-R*~YtIzIBJb$|S{O9~#pe(FgKCk|E=?B@(h>pNgP{L3RJCEGS`n9h1{<Ob7A8V3- z&w9-C+@hxWthUY3>^+CAZ7wW7e0AlT`yJLYHRty&=g74?Z2MewN6q59^;hQXoz4#G zV||ZZZ*$zf0My57e^>KO8M%*T>wlhYb$b8R&ka|LKPB&u2Pe>bo9A1`?K}Bx>mku~ z1%J|JZ?`yVU30*FumAkO_w#R69kt*19@NEJz7bk?>6ZQfvK(4>@xH5hZTWwuHGloP zU0?V7Xg#}nO8vji$FDz}w}%$E|EfS$SA8a^>RNAi>i>V?*WZKNzW!bb>0@n&_Ob4z zLHby{zyD3GjrW-^QEqYkVdl$r={?5;pI_Z^^mF`eNFS^2F{qEV*xJADb=8MG|K=iB zUUm8JAq6g|-4T-n9tNoRRQBtkuH6GZ{v8$Vw(@@u?#pjoUh&Is_W45h`7+|mH`j^g zeUM6DzWpbk`kd<z<<|ep_%8lN_s`_lTc4ktf4B1WPKmpfU&OClgFAA9tJ6P(SI66? zgKJ1ua7T_86np9Y>HpJy+rOx^`8m7xe1me#-`%$JAM(z7{3X^b{$Z1yDBt;ny-{-> z%F2BZd_KqgkzoG+y!+vsk3W%LS3d7@JvbNZm(OE;ZvRog#Oi;?)#4+sB<CNQ7Y-`e zS;NjVtxiYjv|f2PfB)@o_6ObPJSy<Ezw<!o{Rb}ne}_Rem@&Kt>$J7Eoe8PI{#`z2 z|DbQLnELkTPZvLbs(Gif#0%8Z3VBx}H4CW*Oa8iMBd9a^J;Js*`1apdl1X2EQb5&L zHA?mM9a??OToYa*^{siih27?}&v!iABcuL)^Jn$tTfe)1i>sbD@7&ycoAl-7py36c zcQtixo2#CITkhFw=E1|$VB_yA#ckmB)dSPtk&kZv&W)Fz^N@{y$MJ*gFWSv3iqwA3 z>#-A*D`<PX`2v4=MQilA)dlx%)xMnh7Shd1F9db7BJWn#n1Q-kH*?p`Ln~_S+UKqZ z_2szN%wr8ZFO`4uJllEujNi8&Gkv%Cqd&WS;$5U})|zYUkI2S7e3WB$|Ixh9Q89HV z-o?+m{O<hC(=RGZ^~&Yu+%A1=Y2R<nfBtn<_tniuLCsB@q_1=4oj(_RUSf56AE;{# zt+z7I)qR^)f8(3zocr%ULxlY|U_*p<wO)Jc%U)l7z?5HD!8cp}!--1fb@o}`^Ox1k z|5n9#J^K7+?p>en6_+ZO&x^V7)$y5qJ*eL51~qEnCGCc)b>QA4sP|u!Vt>%}ZS}SP zxsZD6_RT#9xc3}6c>IQW-^<Gn_`X~8yl9uU**T-u|45}^-p9DK^_4m2xBqxhSy)&u z7k8-i_0Anjtmns^T4O(7Fzh_f>gO_`0jBg;kQa9zepPwom1WY`9{t?==07d|eh||y zXy=ak({CI9SoGe5m+CqDuK)h>^6Nj#web~_yZ0Xyo-_CKGfh7W{lm6=dfD&!-|YQl zGjr$Xt<Rqny{q{U6Mt{+@^FLI>1|gx9|4V)?L7MGX3|#~aL3qhea83I;8wuq|6Bj+ zf3b;s%y@mrFZ=3!ho$!%f2p1;7jyER{tMwpAMRY!J}Bw;v0=K!^F2cS6=kW`_K!eS zR(Roa>+`OU&MjWO>z$4M`ZUZD!K-gkMg;HO{CE9FN4doxk-r7a?t2a&G<{PoHh<gm zbPF5)zXi?QHV;(Soy$I-UvRRLx6dy5x&FG$@2PK6KkV5v&Dy?d-TJrZHedPrqOw?a z_UiOz(6ADy7qWBR-u3g)f^zE3^L+kx*}ttGN%ZeH{PF9X-+UEq;q#O4?^x*HxcPG= zWJK`uZODk=r%LPk+S=XwQ}QqJ#^l@h=f5~-eg0|hoys{oq1BZ+XfOtMb@jXHZFZIL zZ)kP(4S7V+W~uzUf`Z`P`=9O+cpksyciE1_^*7JHsjPfoSyv1i8OeKi?sN1mzGrv` z1b0n^)K!1Hx2?C-E9g6{e|yiN;62Bz_Z()fwTg*7@T+&n;e%`6R(EmVs%fh}=Uwnq zboc)imeu<C$?v~g>{$DH>hm9E@AiC;xqEN!`F9DBR_xW{PS6P5=7X<pCV#a__&Vna zbU^Uz&GRvz*WcO~w&Rd!-~P1EV#_Pq`G2hV{?ho>U+&2DKl;Aavd(+>;gDJUgNdKH zV(Ra{n}6@*J^r6NrO$7+-u-#)^FMm;Z1${veeLt3JTw3N6ZZd)u!EX@@FA@7?Vlml z|F6GO693j|eVq7r&4*pvYjX>mqvzBfe#RX4K$&mf$$jGAY7J|X?mU!f7hfo!_d&{i zPWWT7^Y0U%tG`+Leb0{N*7g<a-aS1xxq7#p&HDGr#Yn~K?_0mY#p;HtW#BP7?=|yy z=hbxARdn<3ILxfKFZaCr_s!sez`Em*fxx4#YvWs`_Z+)<G-uyo&gZ2&j;}rh8VIbY zzn*=5GW(9t*NQ(nl*`$k_zImLcqM6b;~u!`0QCuwLi6#>^4$OIdyY?Tzww>N;?ULa zZ+`ORe~?+uK6`zE<9x36ji>{GwJX2_flFV{ef}j8lx^+r%uVkvsD%^-@|ovXKj&Hf zoN0A>BdC?W^WdwU$3Zd1BcJ;H{Qmsk^B*Yt75-rU^^k3PMSFO~N9XFg)!+5~?DzJw z+dNQSr<Zu%Uq1JKcu`!>V)>gt+3#$;{>bp#o|-RvbnaGui2zkkh3{&9Rp1y1{J?@d z5LlP<JL*+s%p*SY9}U|pz6yhe*m*2=FZtRv@5J|qntl4IpDou}>K{Mp|Mt(x$#4En z+<SfAa{sdD(&ulUn%h5b_VOK{e+Higb>!9{m#S;#9lZR;4mPG*akg$+h1rdLW)_Ee z<qG<n=U?Q{|M2AHH(SYCC7TC4$EPoofA@iF`<(EHf1a1c|KhQ>Pvf8a{HO8VpZAJ? z>Xgfcud6=4I{kJG`smdk8EbHxLOjBjd(Ax4dz<F-&ijAp+t%Z(?+Sl-&u%}z_4o3M zrgDp;%(X@_vB!Q*-EmkuCg$3+=sU%6-KXPk{yqKt=3mQdN!#`F-k)n0-;rnYT)*V` z!&_gWvjgD5yLRH-<?{?d19Z=sRzH^lH7GV8uKu?9`04oD`<ldWgZov|DE+GRYpV~k z-h1?+$jtu1#LsLo@^Am0_5NY^O>F;_uh%}mNd@KGy?5rSuP>;DjJjl1$03bhArGkb zzfrGPT6_N2_HFAW^9q_a--lLD?{C7Yr#&`*3!2r}Z+hQpp?^sC-sv}{^;>Q4XPuuX zzP|AJ!|d4Y^SZC^{Cx8D*;kT@U(al)ItOl?hk-{rt-&)%%3<d#lJA@UWQJEy7w4`2 z$d&)$i@(|Z*R{XCRQ>0=reD*1_x=OUbL%obi>S|;{!orRf6I6FZ*i6L_MDu%-c~)o z3^Zq@`_86zHQJmNXa?kS$JOFrX1n8Ulh@3%ocH(UxBUmI_Z(whS7-|DQ2kH(ykO&R z?q4kydnSGLDrgI~d7ygEa{UqId3*1k>;JJc`ut1lJ3r4AfAlMt`#T#n5O_a#9cW?< zxd%`+eeU{s!E5FfubF2VcAo3}|E%BfkCpE|{E+|UFt6N4KKA$9-benqJ8%BO52wBy z=GFVqFn!0aF5CSFRiB$${A!=O|HduIIN<-obLDNX|9^RI^Y<Ncw)yw6i@zcUuikI| z4j#Nhp8l&iSyxu^$^Z8C!1Ip<%Ps2m*WPD5|KU~q8)@?|ng!D$AobH~=!})noQFsL z-~IKAxvc)=y+zl)9{c>I`Q4t+G5@Ap_uD_If=sZ~-Iy1Co)a`ohhE!%nDtlY;~SN2 z>tDLR`E4ZgnDM!V#Y)@#2c+TCRyC%eX)F1ykZCI;=(N>#TmAR8=gZ&Ke7RF|X6|$O za@jxqcn1UR5+Q?u_B)}2fg&h_f#KW1gMs_M*&a2`fpn>CmO{Ez|I^=pxA?K-_0;D( zia}kf;CD6OGLgGf-~n9dw3RWmmI6;(*-U+#z4UwG((leFJ*xQ2RY&J<1^1|~Z-Vxy zu9W@%QVi`;)!qY*2F4dWe|WF-b>&Cb+5P5E=hr{_mG<X9tV;zNd_1fV>T-hySpU|Y z`eO6{&sIo(>hcZ9oRwcTq(8Mh`F{L1*qqfKGsK+LKT&J@ME$+bkA~m<d9e7aY5BaG zyI&tv-o1@HXC;j`XBBk*^5%n+FJI=*|HxQgap0)ro1ZUcf2-BDIeOXnR!yJvuO~Y^ zpjA~VysFB-`Iohtd;i<2x0Rn{%kNd`?R$N0@%x?kAj2&Yw$06Z!Hsj!L<?vn_c`0@ z^u^C#-h6!X$hZ0f)iyu(fjd-lPtH64f$e-@RT{Ley1q3|_uL0Q{vRF1=cGS&Y`5R= ze)Y}dPtMn^&%f-y^Yg*Ze;cjO*FE~xakco$oc;HX*>A-<Z}rv2_VB@X^XDIh^rk+n z0cEI<eCt0v%K7#u?0tNHU6nk4#R2!2*!%P5|2wm9{gXWs?&;e<^Y2JrfAsv@%AYSP ze{z@0{k>HBeCMC3*7N_}S~Jh^+Whk}po$Sz%z`RL$v?;5g`XFYe=+lc>Tl1FPh_^O zSM4k8v<A;yg&x^g=sce>-F!pb#f$sa9}1Saf8kkiOmSS_<l<XDC*R)`59(0;19zzQ zgF96G?`r<JpSjQf6r)G=L4CJBtcM!6dA{nrxu?HvJt&#?p`lu4&g{C1X7&1Q?@zuE ze#n?^u}|=CL3{X)r+c`bKi~NK(#3QAH=UnX{u3|X_dVv{)w##(i|<y){k{9_*Jsdt zHn_6^ow};O0iU{hQTeatTE*!)vx>U7+t=m9cN~BCGe_><<#+QRao&3<!vE!D!PLn8 zLgf{$-O{&fx~tD+7gpBouK%gO+df@?@$*mv+mHL*FWFdhzCKo57gR1c?+i-CWxErt z;#&Ls<xR+l;FWKpa}I%fR@|sPD`9BQDj3?c($Dyw?|1V1#_c~sKr1g6TEDjqUtae7 zWF~At@bazCuPiY;R-nO8yG`>Slzg*&&3$uU+Kz*q=k#(uGwFX2Vi!-mZ?Oz?^UUK9 zX<rWW&YN>@pZcRc9NR1OH(&q5^R1?vf1Y@H$@7OtN?-4^m~1_N&doLG-GQU8pgpTs zl~u>mH@=@8GX*vvsFwyA5R|%Iv;O<b%dh{=j^6)aO&MqoYj-Pb4r|U?=p0rVXbx-6 zVbC1biMh+4o8ahKolgbztoXm2`S|7$VldGA24pZ$KlAg0JCMP^?ORX>13%yRDQI8* z+R}Et_5Dh{bI;E${=EC0js9}8@bf~TE>Ceccu)y66?otrY$`D3{N>GuK6^v^R(njK zeJj@G2RZkPP2c!D-D1vVe)Am%nByM33j6klZTg(+4_<64`}fGHEdE#=+xgSnyFV{} z{!8hd&F&SiFMfWOWEPGXzY@Cr8?_-&HE*77+@|@m^UmbNyUT!TuYEfpwO0|O_A1MM z9r~Cnzo15X_WQ!Km9~C*`OnwuCw`y)Mt9ee*S*hQF1=IveCM7m*8TdAp><c>HLP{l ztI8_AinDcn6=ki)Eb4z|or6_hI~Ji<U(ulIYdUn*g({@_iiNDY2nVma=z3?ff70s* zr*L<&7Ce6`8GCeJ{^`196?Lh%ugi#A9Df*^6ZcRv?y>B>hmCf!>+;h7y4`uGu<^ZS zUjApvcb57GQ<J{So2I{i8v6FH9D8{2-cK^|cjtbew>o>r=bNTyU(HPTs<WX=4?IL? z7GYbxW*+;Rd6Tn21H})SVaqO7L6%+o$TG_>>?;4!&|fv*Zt=bS&0o9L=iU#0Ajwxe zTmQp}O4fDj^S=8BSKB{%o@aA@-Q#oH=Y4*?>+^@Ib<yX$U*E2&UOkyb{g2j%Ki8f~ zt$xl1p2P3IYP_d$?)rJ4#SqutFXwsvJ-D;~O?vC~>l+|Ft=P+up4Pgf`|>mHi(ghh zCVQ^1!u{7nw)KTaDoyh~{&6d-I}}%SzWdBvd7E(avgap#WBBJ?H{ZIm>RN=Y^_qFr zYvvt1`=<6Q587fD|8>6hdycZ-dB`Zf(pLWN9q@2ql`M2PuzV9_IIw&hY&bA45i}gQ zXDMhn@Xp-r^SYyVetvOnT{+ki<*@S)%)Wu=MbyAecf>-L^XsyI+dr_Kcjwx^^aFzH z)}^0MuV{X5v3K9u@Er^MTMzG!STB}d(av6T#PWG>#gW^$Z+^e}#&G9eP*;l&)YW?a z&Sv-G*U;H*_3AiKi4N@_fTsm*L91obE7Et@*D>Gz&mv#gby)fmzxj?M)_V?hOW*t) zy|+I6*UMU)2aNKCrRLRjRpP(*P5PW9lM0#3+OZlum-YVHxy8G8zq6UnU2eCxJrdlk z4Li>YZn;Z=7n}88HQw>u#Ny#}@Sydc6no8icW!*!dc;t#uwA<5K=htnoS?yWwmEnI zrl{A2m#lx;`9JERW}mp({KvCC7tOhI<=p%`x9`c{tbSWrC11Yp<D9$KK^?B&J3m(@ zf_ft;%LS6Y^1RFczVbQG>hz|on-64v+k9m6?3?fo*X+xn4i_W5!}Wauyu&3{7XQlu z+Tm)x1L|-kl*`#4DSco0HDESqO~Ph)VVm@|XFBF=*8ZoGO~Q3=3mdCt&gIJ4ok6O- zO8sAlKjK?oP^dpUzwmseu3moXcYXQ1@6X?)e%VviV$Co2a@WT>_NV5y_m}NO9?6nV z#<BL|)3t5u7i{?rT6<xJvi9QsZOGb-d&i+`FK*xZJNx)2&{WnO&{WoA&{S4clePc6 z7tpmAwkPqey_lDCfAhY%Z?oH^=RA1x%}oCTlYU_V`?ucYUnV;a+w#2!4IZC7g;a5A zLMkr*(&rB^$84Y1y?poQlg4LIi(1q^*N>UsYA4Tu)m!f}AoW(2I&>nd-u|_?-D&Vd z7QEgHfvyrv2dxr(VhKv`_SfewKW~hq-kP5es<*BhAKz?!`=5A4|MiLkrt97%oiDFw z{%)~%_1R|e8-H1Aon-FauTr%*tSR?F==q%RM}p_$GM>BtxwH0p$=h?~^SZ@%etvOu zo%Q+C({IGsf`(ndYY`z!FTMn!ExkAkU3zgh_ufOc{)+bI={LXYh<*FR)6Ty@KChsW zeb14r%x{14>RGNoc+vLFpQESW?(5mhGjIC(g6Hn%OW&T`9KK7=Ha{*Exy!YF8;&kl zk^k-Mg2yY4$o9o2gQu`|iGy+#*Bsjukfj&)H=s)|s&D^%eH}c7755x8g;fQb!ZLqn zQ`h_&QY8Fv!@o-K_l<qa@;AMQt`gjbJSO;O!emzUKerz9vFC4tbh+$z=eN%`zfpWM z`c3@Pz0ZF}-~IVwXXR|`^U~K3-ad;lj)feceEa(U`h462T`73)KFUhLh2WKf_cHGX z>%b<l8lXL{G*FML{JQq}kGnzZjH<R<&(FnGW&PiPy#9hY7rOpp6UzFF!)wC-%!dpN zK7<Yo+TVCLf8TNFz~J{?pn<{KcQ!TqK?8%#X8P|6COv40XXPsftz&|(6x>#}ugY&j z)v}7h$ldyf51xsEEWmKifh@q_-})2007LcnzXk9qEaex7DXjmSJ_~=lR2^4y0#s+o zmpwn}4yv={QR=LEP_rfpw1N+5bkL>;HaaMmci!Fl_dd~ik6tS0*d3RxEsC+Z^ec47 z!R$G<r=D5QDTr&Y-t$Z1_tiN2cU5mIKiPwpU(_6$>;C_BmHOxBpcPq3UwQtWzlVKv zP#H2h=zj}5I=C1*I@rJMCztq_l?6XCUSEC0^Zr9azs2)Cg8dbcI_u{YP@Q!dl!xn& z%}t+QT6^TzXV6rEeLAl3LHqpg=jD?j<AV|KO6&No&(VATOTa3v&|9A`>)-f3b&mMs z7loio>(=Lc^QQODn;u{C{NnVO@_DEAcYl5veg?dDcq3?G!n%z}m4Eo#I&kG*ak4JR zrgG2Q?9OEP=pYMZbg+8O*RFkO_ro7*_Q|J1hX;k<{yBO5Eo69bul4<kbNe2ib3cF9 z{O->`(P>{n%ZDRuuY(s!Tdx7Fi+FImY(8jR1gQSslV<O2Q$6WzcC+!F2aMk>DvbVq zVDsOXe1Gyq^+U|(3jS35ddRoF;B=+vx$v_1U$M~I>N}{msw{Z^@q6s|dExdOc2=Pc zYW--(tgY(1AZsr^tTf}VPx<cuk>!0s7yGZ29aV=;HVM~(M+j{$LPrRf-~4-8{x)QU zu<p^h=J~twY@Rn;^VdH^nZf#Z2Xh7s($Bh_8-Gy$%|EVoi@LV6!gC&p$bSfWe|h<p z-~OB8z)Rfyw?US;|C(9$|Jn2!`ReZar&X^jzh#%-t6o?4_}t{huc75^G`=CiA9HKZ z|B8eT5uSR+8uvhzZ{FE`g+BAy%6A-p*aceDejjO3`+vxy_DB8iZ2nAseXaOodbyn> z-T}fN`rm3NKfl1A|B<PD$AP28Z+}Xy|G?%yKmGIGd&~XTf1jW9_t5@Ze|hIUc(c;X zzwl6{<h#NT=GFBH@25ULdH?RuTg9LFK}%x)zdU!j9(m10($}1gprs}K5w^<@vNj3V zK^9$ZevYWH;u0VgR_(&G&66P&mfcy@3d=7GQek~bc~|p%r^Qa|e!qviKJT$vdiLt( zBcRphcalI8A~GAQ+?H?pjI@O1;m=#p3acA5&G$%_&*}HPquroI?eqQYp^MtnVT;<Q z-}u=bd>b-G=>HhBsQvP;&u>aVi`p;WjsdS32CqpxDfy-rW7#FRleOo{x6&hqa)s@W zjc<LI{lK$6?igqUgDL&|mN?UOAJ~qc-}F=N`kd>JpFA!5SCs_nWLZrHb+TsOt$e=I zrrWyz{3~cDYu;I`ovfs<GLQj6(QWHr-h2xkAYAdX-MnJ$_m{!1YwtYVu?RGW#Rr+g zS`V4SicA5oxF`m#xG2^upEv(@X@MNliVNt{>#K+!>)h**-qk-XXzyxuE^_Z`UM{G2 z^`Pd>YfI=#!F|Wx*=L=*clz_~-?n_qKr02gcYvm99n0-@PlyC9@kUu00PYzVC88|1 zxCUKrVTCd{Xn(!xsCc4%Mcd)$H)|T*&uJI@t=e5*6$tHI={*B=u5Qe27cakC6&G{p z+2!5yo*dbKUgrbf^;z51`<i>7RY1mE<G_pB!_JGKEVk%<o82va=g}KpGx-lp@`WGV zzSU~}-Z#U}@LfUQ;pdn6%`1A9&#f-(em;F0q^62F2CAv1mp(sv=IevXTFcqsNrTHV ze@%`beEJqk=jxsdtaFvW?fGKu8{gM{5b?jC^x5*=i^-24v0ht$h&S%>n^v>?4_iK4 z-FbXf^zFZ<y{+Lzd%xN2+yq+C9$xr7@KF_H>2TJ%y6~;vzh1u$UE78-j};B6saD?v z*HpKmHB~RPceNFD9&7I|@H|#FXhHk>m*?2ef8BbgvMl$yJGcn9*!ukI`}xM;mFBEr z=TUm8eRu8acfYM~>bE%h(E3HYbj%~!xQ9or-~L>CuU_!)7dyER4gEW+Hrm?nT?bug zaTc=B;`(OrLW{3=D@&L`Gg)o#YO0hWGg)VUe%^UOW<646<(P)NQgHw6ebX$CslF>{ z=C9}uf1h-J$HMaso2N&te{t+vt?0Z*KbAtfR(o!rn}6pBv}+X%?pmDzb**Oa{`^z- z40tZfB;5Y&NBFGZolEafR|-bozRs4u;~?|9!VmIa4oln2ybW8@zP|^)r2YSv-<P6q z{xqz9VRJtJ?YZysPT$_~`NuZUT-NQI;JK`|&t5IJgl-Sm4w^xQZx48^`}^jDpVc|> z)qDG^_x_KY-Cq9S`C<5y_NUOb7NXF#7W>Y>i+@&De=g4L{A%l6pC9<GlRp1*?%m1? z@$1px1>cUvUoXq}zt6(et@^ud{e!%+`c`L|`UB56{R+GID^`Ag8T{(+El9U&-z8|b z>e{>ecjmrN&i{A_G?OI<n#sC*d9M2RBIr!kyWI1y-?uM|sJAyc4h~7=SwYZRi`qBU z*W|a<o-Jq=p7VD)WQ|}=2YiiS_(gv6ilTU=HG;n(YXn8!+3eX5s;U^u?J6N_1ZO_` zQ#mKQ4|7%fABErhPPV^<t!h_>u4<Rx`ZMnR<>l9ZuisYpu=(vjHvfWeQnQy^Jlw<c z-J+snul<Jar#?Sf_^#%yWh8iIkwN)9yE|(@n}&iw;g=6t&<36}yX<}gw)<*-?tkt* z$Fpr7NQGNN*IFFUk+Yff`=#)s4_i@}T71)hF16?{1Fa5BzEc?jT52Jm4Owb2YxVqR zKOkEJY|p{R13_B@p386lyZ)o#`!9d~U*I>dIASehb8lbzN6zZHw!_yW_y1@Aqw-KQ z*8;we?@sBJ-&r%a|IPWv%L3lV_vm1^--kyBxz9L!ILBaou<em!+KUC?Eq&09eEM>K zBG1>#-M=4o{p-KaYtCC0$DXW}OMPG2_Putpdz`_K|Ns5}PMX~R|GdoiipJB^Z`Jg6 z_s_d{?%|8%XFnIe+4tq)xB74M#QV#hv!B0Ozx(qS!*%TEUoSm-yxjKWKKA^=<(0)Y zeBWk0zL@;>&!bwi{tqwyJ`;KWkw@P?;j`+w_X+Ry3unxf-jiS-ZS!l#+t&@rHV?#( z_iuiG`!Q!+T~qDjS$39w*RQQUq#F15N2=NVhh4v|=4>hZ|LWtne@%N`{R{Sfv#DDI zDhvIKo`0Mi3)$Rr_|iQ2)$;cqX@;E_0Zq3f7Dzl6{cZW+q<U`r<K;KLFSR&kd#>Pv z=C6mQaW8FZwdNkz?Th!bzw_w9%5VSLeml*ny>)N<QPXPQ`Dy1*Tc6*&d^c$MsQo*e z-|^QEf_nK$Uwyzu1{27V&BtEB=k$BRzST;9Gd{NY{EdBS7RM#|?6W@$^?&5b|0wqT zOJ?CuuGi)d#Mu9*-=Dny(lg;Zh4D?@=WqVzpSAh>qnTy<4j<ecqi=iseA)B4&p%nd ztN9eOxk@d<w)pH-|KdH6Eh*sD*?sfU--n;)Se@Qg{wBRmeE#-Y-NH_9nfE#O9%$Zs zWNY`rrj~7P{nW2r+WYwZ3w~tHKL7DRt>wCX3Ge0Y@1JY`b9d|WliYWH9xMLlR4%vg zMCs$oe@U~;zuUb05uahH_bzqahJTg2kNtZ77F;A6*L2=pKTmnhyx=wWgS);~Ykg?^ ztMl<y_qO%C>k6AM>)+gSytwAT!Ra@@d%yji^y|ouqmq2_&h{~nMf-NFKg2t4?c0AX zdrRg$*Droveg5g`yOp1~Knu{ckrtq(f6%gCKTi>~tmU}~XhsOURATY^3;g*X<hJiP z{xkgT&lgv})q2|;d%XT;O<VM@6pQt1<2$qW9D13ZyYDFH_pLh)pT2(Mckwr|YwN=2 zxvwvM-uwJd={uXMy{|zVDM6Fc#ud$T!Bea(sH@K&dZz!r|5#RT@5Oz~j|=MU&pW@o zqBp(b7w>HSLcjS!?dvzk@%nuf`~IWB_?`3z&;d>FSKmnfly*J({N-NI3`u_B^Ow=F zkR{WPw?npcSYi~1hqvGUoqm7I-~JDb{)Inue?62Eujrm$@h`W!Zt>^!%jchaEcg9K z!)fyypC6as_%3=!{P7Fxvj1}1-<Z}fx7C-o(ZBcf+~Q-UuXk2#x0c_3d<|%k0><() z$vxNaK>||$#(9zcec8XG9!QAqIBI(DF>l<vo963YUVi+g_nLOq{Mz`=;}&(3&!+Eq zw2w#q{^sBA@3(&jEt&4Oe{k;lyvzKcB5`l&^UeI&n(v_X)mK0ZfDgW!`B?OK<b#vn zbK|q;Jd*mp<M2WL7wy+8z6k!FH^olyU18T_^UM6(D>|j$X%~KTuD<_eaasKtxv2A- z`@uVX9>25s*Yf(@=S^FY7fge9W&N03wjVT<aBd@bLFAr9`)r%v6W?aH7vFjK;kX&U zed>Gp$Ab4BX})_o`B72wU#>fkRL|W{`po-o$NGau{onlU_P_nx_?uBhT-~v`?DI=w ztIydzKX>^2?t2M{`TgV8yZu4qxsu>*S<vOu<!{p4#rM9|{?W8|{}IK$`mE0^{vSo& ze{_2OGWf-x=(XX!#d{7uyq&Y}IP>?fJATdFTmRQ}U;o~t@kM(-+Wg!E+UFBr`21uz zbf3@S^`Jy?47AT;W+G_4En>NJO@h5+`u)wncD~JS%AWJ!i@4eOk23lNAG|?hk5_ga zmF2tdXCL$U;`O)HO7FT0n#+yAtEJ5=-dFzfEtji1UHaJa|2Av++T-9^GZFAoBg}n1 z`?K$x{}TNRni=jcp7a0Ky!9W1)_?qw@OkdJ%gZnSERWb<kpF)DM~>z1xBL`Qzcc+2 zAN&2ypKYu4ZTt7VKNmi4vOK8i{?)nM?(f7%(9T-Sru(1d>iwX-h{iTQd*9agl~=S@ z$2|PB{aa1<^@{f8`!`p95U#HGn=jD*ep4K$-$#+}6^+L4v_CYc@4YMkvD|##a{JQf z(dU1jzf<|8`1;!CNA+{oftR5o&4&D1dUyT2<Tao*s-T^{=j-x+$3JAg_vi!vm&2xV zAH>-AZ+buT|HXOpA8c4Czpk*ade4EUyWjpa)!VWD*v0v8{{3A4wpJ6g1(gr9x93-{ zwSPUv@@SdA_s)TLC?5qy*UlraB9p%6d}#Wc^D$#Xt!zOL`<+KW_`lUw+Z;S?zd1kV zvik9t)oa3Az4sjZSe?7?u;}+vi=W+h_y1bFcYi|u#rZMoZTs0l)A|42oO`@~2WUFq zWdC)~<NtsE{ILGpo~phLRel>mOWe4T_xG;9ah}otU)FD_|7Z8<A2obe*w(!NBLDi2 zUe8|!zqH9K{A2g)p%{Dp_V4C*#2>wR|81Y{x|`X*_^adYRnGf+88p55!0C8wiJkSy z(gH3}ukQa(AFxHxeJS9L#MNu&*@m5ek@9;V?{CS+H|=xcjpsb(Y5%{avh#RF>-LK7 z>R(%S)a?H%UC`aE{CnOXX0!PZ`hE+=u%uhv`|Vi#EpE=F+Q$z<)=i)HIC%HxbH$Ro zKA+6|VC!#HSKo9MWgjJ^((=njuC&x|?whvbh-#mI;%7Ghk7DmXIzE4C{NfM$+VC2y z-}_|z3wCV%DZ_tf`r}tA)&GBdDYJX>ex0rVxd))FKE}H~fACo+eg5;rv*A0xp85D> z-!m@IS}n-Ne`A}?u==XrZb`)c<xKK7&I_&Y%ZZncdCaza`IgH5=RX>ngEzk4d;`1# ztlL<ouA^?pF>RT`_*Q8f>(zJXZ<v1W^Pik|HSc%Y?6&ql_i)$uJyy%lUfp~MwEp%^ z;#VFeZ1q*}WoUg>nQ`9z`KI^Lj|}DJAA4qe=aTy2pY724$~|Wvw7x374XUr^9shdj z^NT(2_I#W(|NLC{=jHIJ5jOD+knMp9pb<4aaMKzzZD(V-_HBKCd4<Wg_b&@uPG7$H zSu^gj<h*(Jo_Wu?y!^;R_qE~8!F!I{+CAv`&1Uo9EbH6fTHj{GocMa}^Or-QCVcFX zxy!{%p-p)GwDYT<vw+qyr}u$8xbqljw*6+}S04Sm_w(l-{APcM-R9^+$(QZfF%NX( z9{rs8=4bD@`kp$g{^~oAnf2`R&i5z(-q-XyNv8MBKij;U*>#-Nads7PF_-4LPcOP# zrKk7y-0|s#;I+!g+y24JB-o~Jg?8$=zZoB0Y<zp4n8h*B-t+Gj&C}Bp@9$XV-+$RZ zV*QJvZ?&p&k9TbS$rFBO`okw{%Km30JqImFlZ(GMcfIZM@B+|+w61qGWvZJ&`(M4+ z%+m&MzR?Bm87)Yyo)4-^K+UN=N%q_}mb2ex_Xfv2mMgcgu>Si&s()S5{mGZq583(^ z{_*_vP)xt@aINaObBXU~$6bBbf7AJO<+L53ovB&xZ1(K}El88XoYarM{0`i}fbQ=# zf0N#u{(MXA+k!r888<Wj^~m+rr{HVr59r1{`g7Ur{)4{XQZaK*-`l@q+g|%*{pROS zOYc+~fa<Gtug@KRy%VLry1xDQ70_Nfl=W!G>&hw$^KOS1Fjv=iI?K#I{)}_pBh7n{ zThCoye&Of&i1kv>E1D0Vzg5%E{_b^QX<YUHUu~e_$9&NKo=^Iq)o9D!+3eg1og66< zu8y-k9s!z6MLCaR_45Y)H|jf9)}DV=vu!=+y27T*pKse7FWz(DAS-AAeeh$ka*IDQ ze+zou%{RaA+@XJn_uk1jrn`6A-hZ(7`A^+Dl@;FCd!N@lJLkUL2&0q*?;(-{?>u`P zefxXwu`h?EZI0`nE39*@-gn4*&#}kcH*1!E{_?Wq-{))kdwTcoKc+nAU5?#R&hJZi z96p%)w&wgj@AHdq@BBRV`IB#;gnswnTz})u7{x32_=F#Q-@qG{(<+J*Z~vD8UE#3f z&{NkpKgHI6<n!0h`uxD}+rK$>ihTPM_6p5;r1|b6Q~I6o2aNvja=yF&x!e2vW$c}w z*NW@H%jNE#Eqz^CSUwx0H}I@%e^uYcs&gB_Dbi;5jJNfz{1x4YyD#%!uQ(7bbLa5B zbh+D~g=Oj{*6lcE`R*gr^!QEBukU!UPs)AzhTqS>Z~49yyi$5PXr=VOt8<tCe^&Kj z&%W(Q`*~#U9>-B(y_mFZJ#*j3hG?1RkP6FtBc#Hbz7|qph37yjteso#?%%Z@Tw(nM zRao!epNl?!l=)8O9|!1;o)3=r>ngqE-%|EBV0G2$OUQLqD5$RDuC6~^t9VW?{r&An zv-U3gp9k(({Rd}S#dkK<D_%cHgstva9$||)h5uzfv}e`%HoL8O&chF%%=AA>tp6aE zU+6zyY<m3WI_A8>5Aok@E7L!7zT2UH@TlmUzwBpkRtMhr$;ZxLzW0la{h_(#^G>_( z`h0Tn8PMX6GZ@n$i`_rl{`tOMFzmd@`y1zZ^w(wlj(Q|C-D2OQv(h$4qxT$VpS%40 zg3s~W<385k{{FP0>2Usynm+b-rwdC{tN;Hngw|5i-qk#|tX^ZyFaLbkN1OURXD_pV zykGxFE*xo6<e~7Jy00>cU*{zKofov`esClIoAi~>*C+kH^+3wpV(+T6)i%f3WmMk$ z?u4wNf5g*%e~J9Mk6i9|!XL7opO^Ao{ms(PHuamV?^o-2m(Q~~3Yx<YL7Kytv^jfj zHF#$&Xvr4r@PrrO15b|YzALONt+uP$ReN8k|KkrSv-uCF*-4(;pZeD;=CP>X{@l;H zemmA5m5uw?zt=uJ|Ka~#ag}nmhv%Bl`#jaU|KItqur<??HkZ$V>W_4^I{!)bt$*Ju z+TG7@0#{Pm&`K)qHnfr|UxQjnZ3b6THpfAg)J{;|wLL#~`Bdn1$e$X>WbJeCQ5Q%@ zP^AB0s{a4RYTJK7y9b(l%fB2p)%zf(|MAbRZ-3mL@AtN!d))TjN5<*Z)pdWIAazvi z`FHmpPuu%Uf7A1)&Cic^->v*2bbamfM@!$;>@mYpN9k`yuA^R~)KTUMkUHvp<oXv+ z!FAM+-)8e4cKtS*bLYZ4`MrnEfj9NkEwzrX_KSZC8dY6=_vaf^Y{w@o*!F!TXzO~@ zRpaY({_2?Qp9rs`eu)2iXezgN+Wz^FK9&3hSf6Uzt>4SmZ~mS9?LyVOz4zw6w-L`T zc>Ym3wtU|7{7smBs<W7Vs@)r*eJV9Ge)Dht4CNl`&U-Y^PE)Tw1Jb9ePl5KSeBb`< z-hKnpr`mUQE_;4)Z1uT&@6H`A-+@v`z2AbXjxxR-{y`61N8P`JQb);0L+YscH=uPC zclG~W4}af?{*!lI`uybYJ3gN){ux(3Z_oL!a-hwb##Q@mkvjvRU0ASVe%QYW*BscF zUg~}OI?Hv7gD=lKvz+&sl`rooXo^f~`;Nnj{-8b;J5rx&+qatL@AIC^7d?O29&0}D zbN`Od7u~^AWIo{XTW=%Mc3w#DH2GWY;%HEdPiDKt@t?VGesbx5l<LpVhW4rENA3Uc z_}f3J{(@h|v;7MX)oQ*g_`qp>fAjZCpI4MV*FJwz9kfX0*A(mdb+^FB-7vw{^}_c? z{p;BaUPC{##u`yYy?qO*qTVNbey|x*Mb+n_R#A58&?@Tr-JjQr_Z2_CC=NO_A%4qF z(1|zM$jyIm)GF$9&VTKi1JN?~Kot`6y+;pDf3y8;ee<)h%)cgB6}5j0w2CU<_WOB! z;(kyK^<x#NhT8Y++~sp$A5{J=efH;lHvCkdi{@|kfKDn326x@OA?GFO-#9PU|1Rmb z)dLCs9Y;T!zy1BKqG@`0DkwYkU5<}j&o#ZG_i_B~ns)7XuM3LIch`T_t*$?KZ&Cj4 zxN5oj<DgY$?{|H!cwg22b^dw2)#*QMtK)3lBS1SVg26i&RlzI3*jA^nxBP9HQCEKZ z`j6k=YBl=``;BGJyllT-vGntol_hf9E$lAvZ@2i-xOe}<eFEv<H+;`6Tx<LP!o2C_ z^V02$K+VeI?`(c|zFuICQJjL;213qDSUmsM_uL!jCC<Og{5|WTOuEIM>1Vxdj%n{X z8hz*T@}p1l*W7Q2micpLpZfo`XVrH+-p3;TKkL1H#<_dl^Kbv;W8Yt}_lwN`OQ0$$ ze&^?t>%ogTuvAgad%+u$HScYlFB$h|_S@`E@0dqF?wRF(5Xt{2CtotpuKC>mb5%$8 zZ+w6HBTM`K?a*$N-M4=q^WWB-xF1_R?+<K+^h5a=^Lfwfw^V)z`1jj?&oNQ(k~Z+^ zKIuQycgI7*bN_OY*WW`PF#nEx6q*OEpw>ews5||&{O}5@egUF_`j-Q#p#FD*DyaXj z&Q0FG^PTPbe|boUCN$UIs06P*07n|M&X>D8zpkSB`;Mcw=j0R4yZ_$;?nc>PfOezg zq1~wY@NU$DL&yK$-~0THuFXkMPf7&blX`P*u`+ZrgmHCxftNLS86_uZB^}bfp2_St z!Iji<NF~L78`6`~%h`9tverw6PhGw|qo8a1j^pfe3hy_t@3Guk8-G-8@&!;OWdf?C z=3bk-e0ednC*_}yt0#3n;r;x-yWiHg@K<yl{=YzeU18()iq7Z!8=sq7{eM1rEo|^_ z|BcU}iDK~J-$KaXpZ#R;;Gf$&8>`K)uN4=HmD|~^2CqOw8L<K#BxGzcdoT3Rrw#Kp z<!sM<+j_uIub?}7&vEHJfBe7oX8-zP@%Qz~<F$)7-~K+eqUZ7cn>B6P?@kwdiZA>B zLZ++^G=2Zk5;T1;we$1A;#$3OJL~OO_V*ZD^zZctov|joW}ao-#`&!CM7FKpdhT)5 z^TPJ#_RIY1Kfc)W%~rEk>CR)3<MsAGcf9A>4jlpHsJ{QD208-xc{iv>#q`cb4zx;I zKLau=f^;O^$JRG>U*{xzos$ge0f$u_uDe$8&ExiUzUMm*KU|z67kA^H{W0Y|M}zNN zRzLdG-p}q`_yaNh!him=^9zpG^6JUuzK@SNa&P{|=?6c5TJ+9l$BfsvipvVi<&Ld` zp7{lyzyGNE#tw955z4Vi_cqR#jr+6xt@e&3;6Xt7WaL4>nu3x$n~z!Ed+<SJ_WO?q zYHim|`}XhaQqZoi_*-9(eSTsD>QlvCo2x#(crSRnUymB72@S4H(jq|X>JZ&i`5XQP zmA~g*P0o!Mj(N<oynl0L-}8#b=Hr_|r#~IjeOFMy_3NQj|GI?x!iDiI(t9S~%r0}S z-gmJ2{AcZ*pFf-e&Hsho`T1fM`1Ge`;EvmLuqC`mYt81|_})9u!G6!d;yaIIp8Ick zuD$0-woTonv*lL)^ZlOtZ>xKF_03;Xxrbj)JPV#v5Z`4j6La_6_cwdL*z9Vvj<57v z_wroz`Kw#+{QPn&?W@m5P+fvJJq;3)rEehfWFMZK-1gt{-ovT$j*IGfSMLKI%y4=6 z4VxLaei#30v9MYC)vKUu`Hth<a|+`d*==^NgAM{-F9r<)eg)45uYG=$Hy2|NaMwiC zLBM%g&_TdV=pf+#r2EG8yKL<bK3w^tJ$ue$IsJmltm?X6?suyTzu1@kx7iBqRBZ%R zS$U7nU7o#5&Srgn8q)Stck}I^Ur8o{JPA6@1ycD#CadCaUuQgCaX_~(Kk@$d<HCDt z*nS@~tu30<2A!-jflgMfdGqh8?%Tht)!k|9uFpHZz3BPc=Z~(vv)R}C8dAPifY$h6 zt*`pS-#l+n|DXO_>i#)cpDG=>PgN5I>Qilpj{ikM#{a6=!Q+3ape1IIL#<@*++2e( ztAaFH^)BiD_C)(+o9b=QK9wa(pK3X{PgM~9d)}Wf(6K+8>-Xv}%-JhmzWK8(-@A8J zFD>VRhW;w~%k6e92e0X{L|+$OT%unMKBq8vPqMx7o{D*p8f(X<%I4)2-QRyK`20n( z<R8y9?SJ9malZ;T=ycV*{P*(zXV2yTxjXy(<m%m@d!N6tduMYd)_mUc?^`QB7ySF} zKgYJ||Nr|*h;wP$zHk10wYcSKao5*suZ?y7tacmbtH$j;@NMfs)4YOTPP4yP^zmC% z2>#x;AH4W*yT##$Ghei4?>Qv+J#@#x&FnY+a#p{zIWPYLG=a~)^YfE~pb7j|<e|TR zcd>P=j)GQ*g1S}zgsSa+@&DeZD);!Kcdp%G-CCnL{c9j|RWsppRqf!pD!VJ78Y>Z0 zWBp%l-T&`2qFW_W20p|RRKOyKsCxG8|8nm?ym<J{*0!(Ur%|=t(QKQ;m#5#Z=`8*= z#loihYZh{aRoMcru-tdutD0wb3bePuefQ@t`(cw+$3f>L9E6=n10G;}w*hpp#o?uU z>%Vc`{?8%*L8N{C5?F<`e$#VvtNO5CFKylx_Ve$snQd!dx287!V69<aT<-Vxg{R{_ zAHVte)4|V=KEAW5Y68vQyOi72O~O%MRcu18ul628uCLZWC#&9E)7E<X+so$IN6Q?$ z!=m3)Eh^XEwXf=$3qF<ZPY7s7kKI|&koWT4pKt!3fpoqPY^_=I|E=(T)p6}_n-5IB zeskY7i=(FR3fr5ngKH~Ecy0B3iG1EiA@e)okKXWp+xPMmWbE%-J!qj>#WL&u`A>I! z-cz#;W1-ox^BaGI_QXTaN_xoqJM!^O>D+khIgk0;^EXxgh^hWB(f>irztDfa$n=R{ zwF|nZTi8!OYrf<0J~r|EZQu3hrN2K9I^HL8=jR7M*JYo-$$h8thtqZGHS>C({kb1r z1>S!0So~(~E6HTkoxOXK?XCCRxB=Rp{M%dR(GOiS{|_SmALaB*=GnELn}56Ns>N|> znR&;bRofKA_pjc5>-TkiaMvmb)V10Qny%6>etz;aWV-7A&z`u%^Q)grfaa1gHlsHF zWm&)FedOM=-%Jmh`W5^toBh0^Pu!w{{r54$+N?W|_}ZT@m0$PqMd&wM!SAj+4q8W6 z*KUOj|7G8)jM$xL^L%~j^TpQ_|9$5KjkAC(!E!KNeExm&Ds|X|)&A3v39B6&fBHRt zdHLm^pKIcOuvORhx?9-pKfB!G;XaXai;Bf_-`}i$S@}h+eBY-zdv1bKd$0BUeMi^) zuUUq+?*uXexE3}7_$d$3y{di#>0aH>{`?^K8+ZgzA8iEiULLf2Wepku{O4CLxA%1E z>&lBaV!#{I!QBqXD)TRSyW^1tQs?bG|84&v_B}@*{(jk>ZSz2v?_TnK<Npny%4!Cz zdnFF*UdeCy{ro+&vZ|O5s;ut4KX;jbhn&rN`wZmDD%yHIXv-YR<RAC9%|{ong)OX* z-}L@AXkkSMWMPGV%3iIQN2Y!HIiF?M?O1>KWc%BHSKGmrmEEJNx0QbaK~q+C&(1yW z-wm3w+ECRtf5US~!3sL`DKg<}4E&70ilcRW6`ureU*ECyy?0?x^_+(f7JoUMz2{Qp zuMn&LXqotyx*bOks=xiq`@PlT_l&*y-Pzw})tv%&ujYfdksH6W`Mu`#gJS#xfR)gR zs^htkiK_V<kSD74toRC=sG3{rUuQVmzu<T+r(RU`f6z2l&B1f!7C#ofUi$opH>goo zcXO`)z9i6E1C&E(z$g33)Ly*@2}|(!pIs(o{O{isl<`0D?QtKkzxiEcb1*UIv!&b) z{o_}czy1689CT^Lb<nw~-+0UA>MnzpR_M;wmpfelzti3O-*12LDXrFX>+gU3|N6M& z^3U(h+wX6~Qf1X1gH~CQkSgnk%<q4F@G46csmgkP>o2&<`VN|{`ZLekf8YCE-}n4# zM6R;xPE=O4MW9Ur+WfNl`+@C!VR!YM_iui_aEC0f(7yp$Ua^14SM9>yaErZD&ThAO zxKFIS;%9Po{keOG=I@BBl=}*<vaZZ^w=YIaSJ`g|O;`PTo{KgV_~A+Sw*R*G9$wUc zQ(YzV@RRqqny%{=ZOh}g{S<osMYFIX^YzsSBKd{={1%V*G4fY@_Wr%E%T{fF(cZ5% zf472GSg@DR`*-Xs<Z$JGYi+@OIq0r`q<OzTbNAMN6NOe<@k?QqR{UmAr6mcgwDzpA zwg0ylQfXQ3uJ4cjHtYYvdDHpliPx7rzc?SXndji#{{J~)pi_$4Kxf|-Fa7-I^R`Qs z|Nh=g0-b-y^DpUr_<4cV=}qEq(!1Q_x7X?x^l;yKB=!CyoBsZT`wD&M%PpV2IqqUS zq~bdA%s8enzHM^;t-p)+-~8FQH+&xGc<1c%FYWJuHefHep3f?7`A<IPit%C4baPDN z*E_FlY@_Ecf8KD_*y7~9<)AHqpuX$+8|Rt)_oe=hdMt8%$8lM{eZKa4jx7$p@qNjc z&Vv46nfQ5iJC1taDTwdf{Qk!8W$L&88dhi6p6>%4rBLWqE;s*F>GR6Utl3ECfL^Gq z;<NHUZ?Ofl_9}<gUe&YPmv8*u|B)mAqsaF!o`sdIiyz;wY>eJ><fmxvzJs#gO?Uj5 zx3|79s=6M$ANBIi&kw$UDlo}Al@)HF3JiW`^$(x2`Tzb(Cjb47dIEUg-!~r~{ob}d zA?3Y(VaH?n8#V`nZH`v&xls8_#HxPj;>Yt$&wXTT58w7vj{nZ}$FJJI{rfuer`<QP z`A0#Wtu>&0J@4#X_4IORXX_l^8tnO&_mO{3Kx?pMNDcOb6JCRbTUx!_ecV*e6;_AM z+?)1%({t%P-)-!-Tl1fPyzAqf`Pb+6pGyw|ufhVI(pkI`lt`{r{;Ry5@D;xN{MhsD z@1?)O=CS?-S3~Bp=ELT(R=FSldx-nS?`)exnMt2D{dVXdmE}8DwlDqt(&rah@BTbh zT%-uv8=&{*+;VZl)#+WJ)1-GEdA0LmWtEwgKlCK<AB*4>Suvs_(}z}M^_ia^Bta^& z^<^b@j1OwgdnBh{aIBV9?!%KuW%Wny->sN;40J@@{ub+gy_dVb?%6TrEXX40vDL3_ zY^&!kPcO+tt;_zNg4Sj0p>>&F@_l3b8=r+`;{Kla^-${hj^o@hafj!{KW_S+CG+># zyZQ0g?oHnWI$N~}wEkk&j?Z5{fffyF-#|J|;i9Oe|9Otr_vdSdotFfk94E9oy;=NC zdbj)jt*`|cUf;kAFz!R=vrPN@euwQik}VT|`k8f1VSM}K`?vqD*1iooUp4mV*IS=| ztO9NDQF&*xZx*ccRSFv{{Qo%s9;ydHdk5Zp?D{M7;R*k?^&d^&{0@?NESGNaL;3Fq zsr4oE?53W3EOz|5oz=VDhjsbZWxmhfp?~D&{5Q4HF`KV{iTbu@&u5$6+dzk`UN3xp z^Gaz!ENJxwXsvtjnt9xFpWDxGJ@@(iZREw%yXMv2Z~NQxF=s>V*@8Y}nSVE*smeVT zz4u7>-OJ05K3TaR|I2pg(Su*#Y=2&XE*ac^<2QK8ARB1OAaAU`?Q!!W(2~LUJ3m!S zL0dwht1qrqR$a65hnxuh{KYX)A^~m8I$oDn@iF}Nb)o4yj(oh2vS@JsyNiYAf2e({ zwVe0hLzUV5$Bn;>V*cK}XTNVHv?Dfq=jYz%Z=Sug+0**^*ylgf%=+h_sQ=#?9Rocb z^zY|whkrfKwZPU7`+FE#srf@HH4eAqdyj2@^nSU2PxPFJAAY$XKYt!n=RDfSWnNL1 zz1u!ff9~_2x!{dI(dF~*oGX1_Sz3)e#dYT(a$oF1<-gxwoSy%De>wZ7%I*Jb(8YtA zZ+>cQ29F}n&-pBw_j2;XKWy&DAroEs8L)}2dl&D`-@N^U-@5R5?&W2m<%4?fY<8_i zEFau48*TaE+RtCsl+K$cJ5L5OideoKJk=F^3o?p0?-X>ZtGBPO+RWl;^qt4d=XT^D zV7_+-JlA#SFlZQY9%vZx?&-P9|G)YLSql7rHf9Cq_x$C}mo~PCt8f2KU%u^cKWGi1 z#3lagI}SweIr91PjnC3^|4&zb-JX5tv7CIt%v+xy|Aww1+yWU!1RcTgpt3N&T<-39 z&>437t>x_x;8;UwbK&0l`uetr|NneU((QxK`ltU!T17Z-9;gjz>z7|sa>u-C0(c$a zzE0>m!t@Ql-TAjc))9(>R#yKlFSn~$_y6$R^Kwa`<MPrwuNJq0c9lR*1muy+dLMkA z6Vez9f0N#*p1!4aZDCjToyUCa>5Js!9$!5CraI`C%Z}rkefd=-cgowM_Z;E<KGj0{ z=I>?yPu77JW_*hWb<Q;IRQ@TrKKJ=k<r$yt&rbs%=?^-46*5^f5p&whdNXv|OUH~~ z9%X4pn)`9c#8-YEbmA-W?cZ*9=wiZu+pYQK9`E`#NB3^!kBIBiYyQ{3PX~B>9Ho0! zGOxZq%<BAp`)E)X&9>g`cKC-1@a)(8yC}0?(K52%*A(`dTkKhS_It&HeRAQ?H+)|| z|G@XTH#WaMD;(=T@5!#uF?KiSsxO5u(fA^QxkO{_xyzfcR#x%-I8fKL{MPq1=Q4lK zdLX54(VH{xv1QzY2Qi?FPgWLoTg#m5>-+t#u-DxmX_ZFxE=V`+|BlZe>Opg0={r7O zJcVOfA+*`><NurAZqJv=-+N%0SJ?OY^Nr7<agR*p=3jqiJ$JsW?Dtm%b=t*0e84@m zy<6cuwQ10vS`MhEW^)|WQ+vMa^UKd?Ku3~dp1JV&6evL+i}?TV%!em6;BiIKcZIE| zKi~eW8~0dtUfh9a2S304YYE!g{OrY!{H9==qqcDmdVX`+JUGey=3mGD-5+gg7h2!1 zIv4-s++y+FdA9m-NyVVk9j<|IfA|hQ-QlA5+q$nZ$zSJy56+raVYcn>`VWlxg%ys! z9!l|7bT@CUJ^#n)+aH$p?Y5g>y|wL|p_5_a+kQXS-wYXAtk?<at;Ib&cR3t1v>2a? z+*@0}4S8s>bYA_vX;$a$^QF(;JRkPC`^G*#i(|}rg@2@e@3Wov;HfKU9Axt2FW=mc z*J^|MZ0{4GeYT@-{)Ohg*S`_{CF*+i`N!9Hf)2Q=Dwo@T@GBqaOakMY#kSCO+n~!d zAhU~Z&tKkrVPjjpC&`}OX6KH#*?-i2?-Mz`<G3VW67q8R&5IxJv%d4_!CA<H_}D{` z1@Y$K8m_t<RKw+$KEHW8hCLtGtXS#1d3(=YHm+)i)NgMlZCfvSuCVQ}_su;=lJ^{n z-gDHpHY(=c#}_;9H$=<qxx7z(|77UI*z|4R<xSGxAKm??roH*t*Gt8J@<98y?>|1L z{vNr4LtYbqFa7=X{r1Xh>g$(P9Is2O`e(Fly{cYe=i}42_8bh}bF{kV!cS}2Nc*|_ zH(S>2uZ{0hx7gEt_IbsFeKO(GH-GQ9Pk8S9@7=A>PntoiH*W9x{J|Nt#E}1XO&DnL z2J^atGPl|5KQ@$G)Smxn)x`JR;!nrj`Umf&A6`B3@1Ewm!mi7wZ|^yf{B8S@=sh3L zncw><S8h{(;Am`rpMAxjz~6Rz?T=N}w}so-AD_JY=Fh3+`#zo9Zgl>AL4R}q&iDKq z@5MiGss0{Qf9G8GyxK#hH+J1i_?ow&>U+d*yM(vZe>`{l|L)oNZRSJa--pz{wFdwD z$CWL=_Sv7hvRRKG1b?ghE9boCesZ7pKI>mwY}fBE@A>-uTtQ{o@7i<=yY`(&e$}qu zP<KDt=K1$qj~;M+`z>40(tQ5Lcaw^y=H2qL|K)`D9J9Rkv$ecV_j66}=AC!1S3dt< zHSc@BUH1LW4}Nm=ze{``yypA)4g2alljrFdc1yqibIscR=bXL&9k%yA|M~px&y43) zuPy&KoxNJ!c(uBB>wBr}`?7gAp37y=U#x%Yull!E|2N#(^2TdwbvD-dt6qD*^58Gq zyp47JkM(c<US4yZ@Adn)8~)w<aQfSycRAmx<sP!`|E_uM`;v(J!7ps~PhWa2{$kyI z>6k}s$HO;O_J98)$5&B#UitU)_u+4Tm)IS%n*Xrt`E!e%)91!lKG%L<)17X!|1i5u z&DGlaj<5e%+W%+0pZ!Qmf6wRE@_pAomz><6_I`EYm7Sm)p30v;&YyAB`q-<viEpd> zw!Rm=W~aTTcH565`#yBm)?U81zP2Uex5tJ$|KK(EFEhRV9+B`@&f4Zf`Sklm|L=)j zyTALW;Qsew<`oBi%>!+7-k09A{7;<lp5vBsADF_!x846PmH*TBO2xl?_v8EDpR?Zo z{apXP<om%NYFZXo-~K7``mgbt@A@BGo_p^(+*@AvdanJ0dC%En?j64;Uw?g{|NHp~ zd+U3jzp31_{rS_m&!2w3^Yh8?b=GU{f0tYR{RR8CJ>O&#-&!BN^_(YrzIRPh{Es91 zHhkN7^wx34*WXQERQ`KD`A7S=+F!PQ8|wPC_dI+*>)(la*YD3x`n#8P-u$coe(pWO zxc@!xweKbo``^pu|JW<{;f>a}-?IO2+?)ScqCI?TW!v>Xa?dJe$;<xV7as9kd(CIv za}{;%>@t6j)Yf;D+8tc{`_}Je70u%Jejc)pe?0BEdDXwVviot*-oM}bIWqsg_{Qz$ zihl-|&--%*v_PqN_UiK1tGkch`p%bq|DgVxJ>Mjg-Wnf-B@fnf@5@0GYrkxBH`Mu8 zueqPx|FznuKJ)(c&v%)x-IxAz>e;Vq^&NKIfA<N``BZ&A_21{M2Y$^nmHS-1_M=$& zj>FY4D&Ky~{k^p>{p5Z5hadmu%4=TxDS7Rus$a#vE1!2vto(n?y8ZzBJoyi=7{C4d zk#K+ccmECd?2ntCe{NB`VD9=opR?cpd0}4mzt2zm{N?+*J~u!A`u<Mkuj<WJ=QmX8 zZ~PXU^mg~bThGPH-#l;df5Sch#&!8Oe{UUU$(Apkc|W-CYxSy<dG{Yjo&Q|T^DpCj zf8~3&*Y9&T{JSR?E^qO#KfK_;N%pgk?QhopIv?Hh)mAd@WA)s}C$GNwzWY*T%uAcR zk8|!H=40o#+QctWVSm1T$8pZSin=c8Js-}A-}@=`zM`&KoPXQ*_j^C@Ew}r%xi<df z=VTfEH-C7R*W|Z-{k!(u=I>wh@3ga@{~CX{@|*efgZIzAl1=*Rx8a-Z(Och{vgfm2 zv&)91l8ec2m4CI@&ad-NUUUC4&+G3q6939c-}zYn{C~;+d#u;)?>@F`{jpu^5C58H zd+mEqQ}?a+A6|W{?)xCMe8)lKIkAW5#Xr*A-!AmN@bTRHRnPe=9{gks|6f<~C-$S~ zx7w=zEU*6-?|JxB=KR0I=fdy(+*)4OUOex8!4Cmz`FV$G|8u<l>ur;|{@}@Pd-i;^ zu`hbQICxhcsE}H7kKJ~CZQ@&NyQz1V*ZOVvW_cWzKC;);zS&UcFMI91rp?y9@%xVp zUVC5I7+&+;V$1Wd-;YJq=eL#KmwCNU^xF53i2IixvF^VvD;ImYZvVl|H-8QJ3cH1E zo_#ks8VwE4a-01Jk2=5ozV-XL@ArPb*z&Dbd!GE`lZW5@;d%Yrc+GqL!c(8M?>t^x zzVGWf_2rwt^Ot1XJYQb^{Gw#c_IcvzTXt57N9@gBQ=7lx+uq~1()+ibf8kU1zq1xp zNZ)dA+u9#g{O|eXsn069zgFjLtn2@H;@<pM)*Jubv%PlT+G?}y`rk@xeh00&|DEUc z_q|7|>(;Br#htFZ&%5q}nELf?KN;EoXYOClxW2GPY+wIp;WeL&?|i7~+x&miZ>{%# zZdva?%sub@hZP$>Ka9Nly??_!`=f^Em+v^*T3+{ZuKfevSh4iY-%mc?y!&2d+`Pkc zk3TnA{hej?cbV+_me=-auc=+P@!QVB;DFWM^gUZ%c}=a)#yWq^YwuSc_+_iNv97;b z=EJ?KJMZk@e&|<q^qSxQ<Tu%~`{i3AHXW8v`5G7c;iH1?mj<SVnE{O&8jb?%rd}}l zazWqr%LV5^XMS5KbN`nM&iua0+aeBVOv~o~8>|0&clz4mAL-Z9zukQP=1pzdyh^q6 z{V#*U3;zB8Unc+iCHwK6TP%JqxN%hb-or27_s-Yr_{b@KWAC;j-gh4I=|A0BH|zf6 zSAvg@o{C>v^WP}we&bihd$vKJg4aBMzj0pt<0)Tx?H(RHCBOEM@8kYSpXZ$RvX$-I zFmM0m9d`ETURGCFo(w-f?e%=~$GhVV_CEMh&^P~E@lo|R?3?0#%O<=n@7g-Qdd=T8 zA0zjFh}^&7^ymJF-y0M6`k#BcRBo@opj}PKyW4!PYi%AVpP%|?(UaGomqpCqe&}uM z5_!`;eI2``wdn_<&U5mg$vzyZ^WE}~s^$J8g5TCFf8^o+qI~@3;-~v$*8I74YQD+2 zUy+adC)RwQe)hBNn&-zYl4_gOW1@aeoqGTA)UWO__F?DZ*Z!G2b$+blEAAJ!lXmW0 zB-y_{<yXp20k4?nca!FRZ`pd^_*&iW{YpPo|9;tU{`1ivYvO;biI4alnE2Mc|EryB zsqKCJ@HO8*wtTf)wqNV3=#{rIH*4a=Uw?kLp>FSyi2ZR~Yram2cwgSG{4Tp+eb0-k zJ&%6vD%$^dwMVV)cf|uAA3v#EQlVPkZ@T|j^)>T~!_8Ceqkc+%)3QAO({$zX<AT>d zmq(mG|MA2xrsw_B|3+!=-~8!s{PfRh&&oE;iMiU7KW+Dkp5@`fum9dlo?G6#^*-;l zI{t{?mPv1)x1Im>Rl4Y2<o*rclH0e+TVDGelCalb_uB6piF^CsU46P|f>mF{{PF|8 z_NcG<og49;d%fcCOk2TgRYrG;;)`YC{olQ3d|%jYZu79~x548FoJIC`?oat_djIe& z`Ny)ag>4>RI<<byAG!Gv^+(PMKmBv{lzrsSgQiRO^?g<Jo2T-#dd=7Lh}ZKA78mcD z_GWom*|O@>H}hu}e&2r7Dxd%Lp5!%u{Wg5tedN}8u5A12nrr$s*Ywx?wMcyH{=qtE z{=MY_uWN55@9meo_S@n?>vO%j>oxa;UVko&`2Kq1yX^^U(~m~=|F7P(zr1$mdB%5z zUG961|Kv@n?KOVq+&owP^k0cJe=eT7zu|n<^Y2UNDT5N`;i|em^=slgD!S&}kNP=x zs{P|xU%C0_YyG^pb*Y@~{o_@2y~4j<9orOFyJb%N@t)t;O>GnQ-dDa>nIG}{ZH)h? zt@j15)xF#B&G>lw-$Utt58dkL%eGHj^F8xm)%-oLy=|-HYu9|=c*Wwp;5~<HuRps* z%r`&0E55IEKl8P!s5M`Gc0Ag5&f*92&i(J?PyDog^HB1*#WOpVii1n1#z+3Ny%$k` zq&@20^{l7!*Zg_FzjWWcuZn*2)u5r6UvTahbJ={opNps3NB%5SdT#wCcSFpb3q9=S zMz)c9`8tJj%UetD*<P!Y-}tTi=&kd@*Xr2JC;mPEtM&Y^)~)iA*Xm3n-d|>XT`RTm z-f_;?wLN*KK4;#|`up4D+VijZYOhQ8GrX?TyjJxs;`Pdpoxg8Ac*g&<j(^8tWj~?L ze2WKm7Ut8d*klsV-cLMx{^OZnOw-R#_<a=;ifv!H`{rr>jMMu1;-}rU&%&m!gZDf- zb!vU-UORg`qv@Z-iso7ES^Dy3QLVPI+})@(->ch8?^#}}6OZ_PH|cG9`_}o!cCW?l zUSH3B@~7qb_l@6_FIv>k_c86$Uh{q9u`2oSH%0FrZhQ85Tg3eGL%Z(xl-hqUyK?%c z+_m4bk9#UrZ|q!n=f2SI9f#cSy#AGbvexR6*!r-4Cr|Nz3%Wn?tKvQT=x?`^ZS60A zopb*|(^vL4w(g(Ywd*&1ntS{7PsP`<HjiYleNL|V_Gia}_ZMYT?7t@M+__k?|9wi; z(W?Fa5zl4sL|(JLrN8Fyz2vv)ZCmH_g54^9t<ERn_rp7`=dQn>u6OPCmcv!@$!orE zJlbscJT}$7_MYvv=W92<yPj}2|3K9Fj;-@}{qKmYFTQU+>9_1dsrLmH$(_$D9@>fQ z$a+$@HLNcE>G>ZAezCrvr~UKZ-6fF3*c5zi`HvQD?fu(6_1~zSH^=+|-~2Uy&Yn8| zUhiw`t?<+B)iXXmnYYaR^xM5E>ps8RaIX5;t@o_i`}bCR@B4Q7_^tCS^S^S>|H_?h zpS9+%Mbch>=4-!84pqsUulZhBFTZmAl00+s`PUEs+GBX_uh5#`QzKrl+;Hvk;~BrJ zj&R3)Z1ew7AiVSb!P=$!8h;Bsdh@d={#(Rn<265d^&;yJ{Nq-s-||Ua`~J@PbL2PP zJ1TrH(boQ0Rb6BFwdp(R7S6dJ`E&17`8)CF;%7u>Th=y6mdj=A+P<fL!jZ3a=@HNG zCcOR5n7!Y9&EGj2zFn@|@TMM``#Uy%TYR8uzE|w|^X#(Me&0ym+aGuE=P#Lv`Q=A` z?UBCrcTT&<zIWn@Yt!4m);-_xe4m)b-j#Rmi#^|Q_}y6*yT{XhZ+P&-wa9)?yO-^( z_sM7dKg|28d9NV#>GiOGg4O!dKC|6<JbCK=$e#}nFWEQotKd2QP4UZ~{VZPd_56<m zGT->8|Gn}~ex_a2x&JqMs_T`mRsN26J{MeB-50%9cP-*~<q=rk=gqc16!hxyZ;`~k z{*u>z&q&_ezj)pAou}ProR3bdy(jm&&V9}AwGpo^6W6L&EO{ee5btdBz;<3?+v(Y- z|K=4Ot+jaYK()x;4V)C+PyV#Nw!7k(wdwQY5$E+kbbeLMi_>~u9#)tCRDVbE->%8i zJ@@_3Sm{6C{y<e-bMUWMwr!OU4;FolFqX49_hrSi@0`NdDy^rkxn8$B;`iRex6Vss z+jGOp)E67SB?o@~TXnQ*{-5LCwN>)WYrbzhq<db}w(<G%%JPW$=Eq;NKCP{3%)WPh zd&K+l<*!%fALIRAdN5Gy`}OKYbLJ<=ueUfT`CakoxzkVULc;2DpUQ7M|BF%n<H=L^ z*Zle58Fc^1FAnkb6FwWS`T9KKwf%!xUv>H7HGfW?Y9Iac<m9I{)A#jGJH33y#}6x) zrJoMf{Wzuadc^bEBe&i&X7Bfp_`NgnZTb(BoByFT>%`=@#~EMOW^KIp-t%njoQ?O6 z-@W|wk8SDNi22`JzE(D;pQ!p<#ksL=@0*R+CMT|4e(;>`6Fd2WZugoa!uRe6U9&zv z<#Y18=5FylhiAzrpVj|x?3a*yoa*=0n?F@+*B`Wf9X@B<r1$pTuP%RPUh{mpMfzX4 z-Rr0QxpgXkP0jP0oBkh&(K>yx_{@)_otbBTyxW;Hx4ftH9_zKb=@Gy0CcaJY-#VWg zTnJqM{G{fsd&5?F&1=869Iu+6wdVWAgH`hWHy+>l_Vd~2vWWTRVeujR>h*W*oN=SN z@kzF=-L)#An1cA=H+Q|><+nP=JQkEMJWwm~p}{}wU)s}l{VBiFuDS21>Aka_=k-s^ zYoGadB-VB>zV7ue<>~pbeZQ4{9j@YEZ*i=uuIcL^Wxbcb-yPjFziMlZ#f*<nRG0Zj zy#L--dhelk>fUeGhi<*+$+j<E^VjCV=DLTQ>mIf|pTF_j;o6yV)_*^FxN3e<%_BRt zi1*3u?(?$$7v1!qZ+`gK9^QK!?=zmSI1+WfWvkqC(M|sk)s_~PX79XjU30+j-d(px ztYvZP-&Y>deYfV%y;JcYCVthvw?FRN=XlMpFMl##`y3pzp{{?gxkp{@Q}eKY4bIp4 zD~`;b`hJhstBaqxWiDQy{B+yYuTuW;xwk5NU-G@)Q@!SM+y+o}mH&V1rupBD58OI0 z0xPtn*8G*&aBsQb>)Klz?zulN4eGyloG1Ls+r4p*e(kZl_T0DN>B;Y}McD7W=gPJ< zy;jv{@pK>Gj~4$Md)MB1&RcF#(|l!nfxJ@8-oSU^ZKn3eXVo8IzqY;Nx+gR&-9TYE zzo6&qAC}iYmma&X@;p@P_}*ic_ZA=f`qSF7J~eOd)4#LN?D(B_+5Pm*=nA>If9E!y z``xhhzV5X;|A^m~$#0kc4*PZ;6u61gpZgcId$0Mv@=(?Msx{v~c6_z_l|T7&`4!Wo zz4wK$Jukhd_xgDEiN9rq=W6dKu2nx4<=@EuEcDTvvZDFcF^}YaS9j{qI{$h7tp8~{ z_5WEfu{+DZ;n{Mj^uKYB^C$j^dOYWT#+=XWG8^lT2;W;%vwV8hmp>fq*W7>jYi&_o z-`0PJPQ@3Tj@eXx>R;CT*k$aekM2IZ<G<X-bJho}-iz>msqQ!a75-Sr{KoU2Y_Kw+ z;GXu^411+D-!t34+C7bUpWOJ>&hN*O;_&yA|4tV_`FCyM*QAev?eY`<$0Z$5wo6=_ zemKhiN8L^PNBdkV4oSup_PxG+`mf!CX}>Q#`jJ~?uclqU?bF@GbM~k2Z8w)mwzWT4 zRoA(9`{_#2cZK;+<=6fZ?O$X6@Q>`K_z#RL{m=7noL7IK`q!)a3C}NDM(Ek696QWE zdmg9`v&#RjyeaPY+r+o!JzM8<UaOl1Yj77Hxz#WFy4EUjum3^!rFMJ!6|en{c-;5= zR;|yq*Vl`CzP{dhK<@s2?>mqAvTbdzRf*jxiVv5$@Az)L{e^m&2fWL7JhFRJc;WQl z6>I+-J0<_2@2m2>k7-Y><-x%@KVtvkv(``l$h=><eZ!~dI~(^MKDk?^e%mK;ZTr71 zR~}#7ezNGRr?Fh^sV_-8|7|%^dXM*7C4a<k+oZSO+qTX>m!4w(HoXPb-eSF0w<W7K zzVoZy*@*X#o4(o|n?JS6s8(;y`Q3@N_X?(b72KcS^P$Cf&3EhLQRf+}%cuOl^GMFW z;)wCN_bRXNo)6Uje(><Q-B0U|M0^%r^HcR(Wc)+rcWeJFp1S{0=U4TZJ?`iD?exFC z{Hb{D^Y<NTwQYyLd(|aBm0wfyo%_xCn5@&g^=Ey&`stx}n$5pWpgL@Bd8hHO*u=Nx z{afd|ulXAT>38i@dY*0XwC3-PWN;;RC1Gzr`<m~ChwYx1P5!yZ_S*B<f=b4pm8nPV zzTf`8>PfaO<F%@wn8Nt)7CScIxi9s;pgG;<!HnMqk681=_I);8>Hb-H&&IlT{`ZrA zPffPn4+_W4`wqYStqKmu`@eTxnY{Vl@pplrgx5S@9`Rbf!1ey){1bJrCO`c)JI&^A z@MY<1zu*3ud8G87;I+E<8@^c|zjdA|+ddkWY9ls$J3JfILA1N}drHFIe$8vYZ#?dN ze&%1yx69AZZnS&P$p3u$@4JtBeuGl%v8evvy1$Osek~{#-+ABgT|v|2-Clc+Fn(Wn zh#4A|Gry|G)H%Eh{~#VTf1Z4jZGFG=wRDSL3!a#V{X2L{{_2|fAG+r5H#uLvabEl* z+1k50<@KsRrSAXVn)yB7=R2qlvwAO@4XVRrbwDN9kz40&!F7YUU&TM>Yjr*8g+G7a zD-jd7uGfD4y0&EFz2h?1ue|*~cg^+Zyc_KDyNcuAeV5xkUFdqm`|{?mb)e-reK$@% z`ImR&___Puw(c^C&z+0rm+d%edF{`kQ~6idy#Mg;@TU7YPxW`r`@FY)LKWAw-#31D zJW-E0@4xX{{KE-fP31mbJSD&8&x_kd|2lVmowoV?jKcTwzWmcZhd#1;&-8jv^qRl# zHhil-=KgDE!rSHF{WtxG^ufO=AHCJj^}2S|#(T?^ul=@2*xP^5{`617Qs0RA<;Q;Q zc~_oVTkpB^yqw?8_lDQ1gw}kWQt@bC$c`Pe@4T10{-fjYZtt3-+}|IztnNScm+PPF ztIK}`vj54+N6deC_qfXbji30#{&fUj^Z(H@x84*KqWcfOQ+R%K$Klqg@liiluS?ha zx&LIP?6?1SzhvyRnfdX7{SmA80<ZUckN7<|@ojnY*7@vf{>nfS?!Vx3*Xwpf{N4zv z%GP{ed7w((d(HR4W76ki|4;w9hxgiZ*$-u$JMSyo72G#p^VQ<NE~sbQw{yMqo(D4d zI}Ws0pZJ^i@j&g1f^UU8^*2x5AMx|ve-B&L*R^lzogk_6{Q80;zoh(sWIW{$`^UL{ z&HaMEo|g81;#c~g@82-*{-Yi{_W$ayuTFlNW&HT!cc1eS@4q*d-n;ibb?>*^$8Wu7 z$+iz(^LNb$XxJtnxYaLw?e~_KRdw@){yDt5{97V%Z$Iz5%5^{5H^t32Klp2pYTwTL zqHzWNTjwcX`@Q$kyx&!aUfMqW$7Vn2bFoa)_c)>ZkEi{f@bE`)k-e1m|AeRg73Y6( z=HK|av2tJc*FUn~>~x<W-EkZon6h$__h0`yf1<8?*7NwafByO}v75i|?6mCXUG{$u zhCJR~JR?rm++MkG?svx5d)(LjowwoJZOi3Pvh8En{JjHeEo`n!eCys>{Oe-kUVqzb zzqcgp?bp8cd&V5^SEpB2A3lBg>F>?Od7a_s1>7wxPM!a5ZSz3p_3tL9&z1I9vgUku zoO|r&|Le0heycusEC0PskpF?&?;jh4Z61qE|2}PfKbQHAV;5^x>W-NHl`Z%YxwBq< z&ST!)i;o=s>{ZM8+qL4T_PiIx4^LHnP1|WRUy}b^e$}R!*aJQ7;v%nYl-GPdv*Dca zajWyq&pz*4f3@bBW#*j9b5iN^-nBldnY+Bbbe>^f@yq%q@t8-v;XexEBkw<!lP|2Y z?_6(@VyABN=)s|&`M)inwOj8wZuvWP*S~+8_SXxZEU$QXt?0$fkLQZ20*&9roGHn# z{J&Y~dSzO~^P35CpEJI;aX*_rZ}Kx^|L4zd%&*+F<I?~C)@wfZY&^I5faG7zjh`7_ zhPT(=d8{1wp(Q-VW<p(j%q!`c{tchcpZ*hc=b^3NK824`*WX-!@WF9YeG%tP`$hVb zpZ--n`y*%Pr?V9$nwPtu{<<V|z0xe=xn;uK=N+Z<W`pc9+BARP{N4|TY|5q5=UHB> z6pMI%^HtTa%MTwMytH2O{fDOXH?d)_EEDC;S^plh{Oq~$x9iOCR^gb(qVk2u>zdcq zcf5&@^E-F`#pKVQmt~)Rr$6K4y&|z0h3`Kt>xbCH`P#;O&1ataz;BoB?LmQSa?Yl> z*Z4g1waRS~&n+KL`*+5oZpD|=e^o8(`)&6;l&I!UuG?{3wQT>9KLzd8dmbsT+pqIF z+-B2#&gcBoKktg4_DkxQSnR<s7eBr0N~_s;C+1|&@r5r%U)%UcJeT~_wdQ(dTg3C7 z$F0uuyta|<H9p^bY$nLN!q+OT_G>-o|8o53O?l7#Wjl@-e)}Ksh_$bvQ~l1vzMo$# zes-P7K4=<OXyJKh|E4S3H^n!+wx9Sp-R8peH(ECQak|g<rybiHZ*Ci*w=V10Uyn7P zc{ZFgK5BK|_FCn&S?9mscKiJEbMXa>&oZXxKwd6cr}p)Qjq;ug^JUk0y$tW;-*I5K zyT_iRn(sbvp0`M}<Br?-T{_7BN9eP5_c;mQCl<wZS-**!`+VX1-qin6X@3$d|M?o% zZMqY8xkvrF&}*CEHJ{gPIJfzj)%nHGjL$oMp84GK@tNYw7N2>_i}sx}K4f*C$GShM zZpTsfnj^aR?4z#v?>J!mO-{M+`}QY)rv9wh@o&}{{bS001^-Grr(2}#_qzW0ZvN?i zjOT45{OXSP^iS))Z~FM)`<e4}rtjA;oSWWSI?wi6rQF7I#aC;dRbHxjCK)sP@67a$ zug`DWUIVAw2M;!0`p+!)p(EYq;itk)_d1{cVcTu7N@d?+)6boSKX_+`Hx|bveV;A# zzTi-uZCqiUtfl=@ef85%OV9pD+qrk1r2qQ-TRR(H^1ZgHUh_F-!@1(4R{sC_Q|$kK zHa_s{dHSltXFIQ3#LqXq22QpQetf+2Ur@i|uyonKfLE3_j@R6G9Jiih7x>7MZ>E2v z`<<lk{xjv@edsCv7G3gpa>{>arLQ5kj!Vyar_*k3^my{qSI5$9c6Gj#d~GA%`}w!x z`(>Nrp1(|<o8DA9PxD%3m{j__t;c3Q7kq8QzUH&d!=8URH!3%M(cUxj%Jat@@`Y{c za~?m^{36|dX8F;d^H2U!yYo;|ee;pKvrqpt{atBMv+9lgqj~$5ty~j+e%kl#29M9_ z`hK6bIeKQ{_iIUWm$!d?ZG6n?Jj-hv@3YT;=PvzOv;VE>Ih%f|^m*FXD(7uDXS}2L zO8CY30_<DAWcQa_95&ATz$stw+2mJm@iXJZ@8?tF&gDM+!?L^LsP&zV@oQes*ZZAc zvGD!Xw=c}gzAwu@eevztA4xlRHcQ^WEBN{MZHd=5+-pATY&^I5a?P`w*>;~}OwQSa z_Zs{E_XDMt16Jonwtq?Qf1K{IKWoo%-g_S!_NE)z-+9ct`+ibg`Lrr4nTMk93;v34 zvOBK)ThyX{+8ptFuHQaayu0`5-MX|t8J4Hd>?k+C-2C*<JE80A&ojNYiC**h&4zQu z*J_^a%&`0X<=w%5XD%QA^*I0<x)lrTP0!8K{cH6|i2p`?-w}U{L(=mKD*RWjPqq{E z`xo?V>O-mL7Jsxm<v*ONTe~j*$)CS_Q~pQ%PO;p-T{2$R{JY`f!n|K8KMUeJ!FJ8r zaIW~6mH+p;C&vE#ujkL-cx-0+qQZT4-jHl^z2;Z(l|RqD_J`FRP~THM?@04+?^;d1 zkDTud57ij$dBoOUfA-IZ4)-^9@#kh2w7+IQ@sqXovs}ingq!sfB;|F)`OO|XCRQD> zI?wsqW^Vh5s=3R%OXpdyvFAS;@jNnPPUSSI^m($^D!)ZM-<j~7^$h>^8}%!$lpkTd zw?E+8@*M}N-|Y2xWEwZqzxnn4i9dNOjwqiK@65MIv45TzbiSj1$I|s5538<|(^aoe zIp#m@w!PWom-A=b+i>o(rs}%SJsZvyx0iy;V^F~o_V~=^%NC#KY&=(d(8~Y4V37ZZ zq?h~q+2=fvT)xBR#4m7l=MAdvK1&w-*lx65_}s^~yZa|s{P0+*pSYi6eZ^7XT0J?{ z*H>ph{d6(SrlRNN$)c|j#&ULkYe04xAGSI#^V)_VY}e<R&zBTFv&^1Txohg2^`F0N zIA?t9qxaJPoN)!6<}nWspH8XmudX@r^0ex{M-zU|`OwjP+`IPOePjDO4{gug)W7_7 z{e-`N)jjqdF5W)vu==cz=Zb!*7{9Z*399f!I$zruulby_;oRqj(s`g_%=7ci<)1^o zrO(s5Hov_r;<;q<-1J7{U%^Swr(Rmm_j|{2_dO3d*YBth{kv}IzKK6sEdI04jPJ7E zbNu9X)wsvA{z}Pg+f@I_1X|r)232=eZIb=-GOISm*n2;+I?o2q%`zL$6<@A-_R=OA zTnp8F`xpGUruJFH^T>p`>8oGA^lxtt_uikj=P>uYjo)QfK5wbLv!|`@@tmJaZv5># zv-%L{x{qD`JCgT1Uf&<~O<&s5ezE_#V&Ci2?mnOS@m|rl8sm3+4}5_Z9Eo$Ex0TL& z$eju*#tvDX_W{`?l|GMs&F7qr=ZX*M{+(I)$Li(u-%79wZ+$wr!uvn*=R>~l6-V7; z)^Dmis{DIy!9O<3_0=1%T)(jWMZ&L)%I`wP`y%q<vW`8TJ~M9Pxz8=7^A4U)-FwdX z2)GggH6=3URLYs0vvFVZdCrD&mn&Q6*k6zrPtTuH_qL!j`AxZyenE@3%|pKOGs};k zv_H8=EatIj`+uFn|5JnRpQ>YAcVFfC`HBVWZ?3M8+Y@yz+W6hxTVE1(R`g1KkJr9d z$rkZ^X42f}a<6T&L1ozDpMQQ@X3VMVlS-c_eXY`J{p6~Wd4h2-&hv%mPpf<Sqk(%* zb@!3zIgj|}f9Mf@w)uf*_4?^mhu$06+dN{~oj>(+(4EBZyf*x``8vPzO&+tKE=&hy z1@oC7pPWsao8DDAPxM;lwTS1HS8ASFX4rkU$(&P}CzU?$Vf2%lx#=yX^CZje9s5(z z&Htk#dQbiCHS-G^)8FiMe)KN-DWtv=pBdldKIg%oc-6Q^6aH$&_Lt{R{>wbCdc&MO zcR}@C`HYVX%xxp}?rUPL?)G$}Rd)-f|C9gF;BNDnbNc%!U(f9ZS9ev@kCg97voqxT z@B1wDfz0$9f6F_|EfV+3t+%M}pELi`^vO>@?M<uMd}rVJp5^b&-^%!wM?AloJokB1 zDX8TCB|qWsm-FWtUeBMu^4QGhagS?iuR)rG4Ufyc|GV#bz?*;L=YlK675!`a3;N3~ zYS$krmNA;o)W75CyUw2v`OaIU?{~ev-~XF^jmN8%Tl(eA?nd(2CmmBiebxPJ#TUcN z#@8zKq^^IT-@N8}<*$h6GZVl;JN4Mi^tFZ0Dvwy5XMAnrZ?V{3y6m3*UoLySuRkpg zxzBmX`Fw}PrF<Ks`C`xiD}J6`(4Ic$&+;R8{ZD{vyFU$(+HU@oudi-?`WKvb=jWD} z_lmwr8o#RxTl4wLhPv8g5W80Xtl7WTWd8f@dymb09{Bi7@lmVu!q+O<7DH+~`6+d8 zKk}tl9OJcn$ed^AvcK?LjoO=k)6bm#IcLuN1o8D1?bhGAOaHc~{^!;IviFzG-(&B@ zeXdVitUv4H(W1|)#`}I7UHd*Cl9M}2=goa)d_L~;%;$>=pY6<=Q~4`?;^)$NjMplc z?O1AGEmQCEEA+8#-iJ2!H?>oKK9tM9@i+gOxu>mc--oX99slGb=RcnKmupVF&pGb> zTE8o8%JVC~n;O?`nNxeWr~1BuZN$0#nuULV>1;T+`KZ--zSlPCXVd3(KQs1!?|*ZC z<*$f(IWI_?kc<Dz@?$p@Ahq599njkDM|x2F@fxK$f7YMTK5Tlf@JGFn{e!80qhkMa zTGrd2{<rbl$)bPH(`vTfsX5(qygu<)%Fhqeg|34e%87HI_ms|i7eDd5jqRHHx?@u5 z^E!|H`~|Av4_Td$`~32J;AeZyzfm6<=YMz?EX4mW>Xmn4hkr%C@UzJeMa?bl%#fG+ z*m2kYbfxgSg1_cPb&mJ<9Q|@|)Bo*hH5+37%$4N-ckfF_={!(7`M<*J(s_E<D&KAR zXCsn4H+^B@v&gJDm1WR`JNLYS{hg%$w}b8rvRC|%jGX_7>HS7?uRX`KKW7&FwVipt z!QJN3pWj0F3r^IXD_=5CP`=PR{&D@39aUTAtV#Qmvh%OH@w|VVU-G`Tnfu-==DB3z z+~<6+ZHm`?)&V7ywZ~?rFE4y%3CSb(_IubKe7@wrom@dv`kY6fK5yE0h<9D#7uHVs z!m~AOGXET&l^(LZx8b*QXZwGxuc~jvABwK8SakmA{*$2o-Jfz}xj8=m(^mgCc>J)w zt8|_qxa~SKdG2$^&p+#KXW4z8V{*<Wz1P_P`+j);ZokG~{|`;xdmeJh?^AhQedn>V z-+rBsqU~p%x46sv*?#5uzmA^|`Q8_vtDCv*f7hG$|NEa<+mvTp{)sof7wPx^e2?|) zm-jyXtH$2J`~Bv;P3#(b{<omI)#^M``j_QL&Z+!;wE<MIa?ktF)xG}GdwGvq<<Fc2 z|B|8goxcjCzN_>7=3l4r+x<)Grak{BO3v2}w>Nuy(cedZTK01<`@j4zOXu-ktK^G# zZkaUq`Lds9E?c(0Szce~_T$ap<;Q;hIs@uZTAdHOetAA0d;IjevlZ>)Hp%bPm&_CX zR`{70)Y_b!blvj1|L>rOEY~ZJy5HG&f5Gehn!l$%pYqxG*ONJ?OLA}8ZkK$ooBrJJ z@x4l4`H$b?e*Slt`0%jy(SfO7dFRU){@d&Qr{rLZdCj4h-&OZLWc~eo^504F9ySMz z`wBlecdG9=zVukV%15uqJB~}+J^FQgQ{B;XmML-Scaq-!37Y@eSm=9Uce#}P@*~N; zkD1o*IP!I8N^QIPoyU*v2*rO8TwhTy*STAK!Y{Ws5C7~D(l7A7-^_ig>TAl*k1Ff_ z+9kaGzM}A#Wu}a^_|%%U*N;Z!ca+KrUaL%rn6G~Pm(A97`xn^6Hy`HiWRH0uSS>#7 zN1OMX7@t>P^_4zyq*t`d`aiPtD`*VA^XSE*P5TZD&)ZmU*s1@cWAFT_RdeP~-O(Q% zlQ7r6v(#?xv9JHKW%MI{?@xNW|9^4OzHj;mZtWMz{{JoFcX;yK_~xzk%-8<)M*QBM z_;!AA;Y#=4;)!qX^JV`pTJu+X!?*QEO}{TcTvea8=hA!E>;LDRIlP<YYl6JE`1FcS z;kk!ZQ}<SFiTROsXaAI!2aD=5jo-x{`*QKqzfD5+LGf+hr%$Moj(N<?r?2@@@OZ@` z?lL{~-{))WOAG%A|NOV>u=MNXnh&Y}nZEBh@^Y!ly_M%HPtO1M^U`BheT($JU3a~` z_x=AI6#vn9l6*q@9})I7_a8G}x3HT2#9Zn)^Sns=N1u#}{<T%Rf0`RVq3Tg_hw_~b zb?u8!d)4*%KcDuMb=^7Tyuw4j_|{h(<9)7cu|Pgf;j6{;#qm3ia(=V0ziP4W!^E$q z_x|sBQ+~zbd*T7kzZMUhzDj?qbNqDpw0Esy--ixko4Mh?CO$qRD*xfoFR}2(H};u! zf9IIa|9dBX%4h!Y318*z#Rkee7E%Av;{7Jh^IG_h!?toC1k)F;i7)K*zwuwRlYPI+ zS67Q8!uKAFrtkO{dNRMDo8MyJrX%iqjtk%0@cDGnzH-sX_mAY>f9Ob_^MBSF{eo6+ znLoRZSnoM(JMUwYf5p+Wp{n=yH(j~@kU@Rok>EKGZOhiFeU$pW<Dk3E1J3>(KX^~x zFX)}C?PdG$s;b;WiT59xiuXKZl3%CzQ}<nA`{nyne)JUYN%%fX==(aAuPir?Gy8q$ z366>L{-k~9ft0&-r+i@(`<_R_Wp&=y@^>6f)c7h}*z$e9?rY<i2Qt<Bl|M4`6?VJZ zJY+q;<5%p-@_N^E_6hv&>---X`V}_$?>O@A{pnv^<vWfu+dX=7Yg64(Rl7v{X%!z< z2gSEI+dQ<5D{OoH+M}*Hy5_*gQdPT0w(~x;&DB2r^WN8#z1`J&4lDN+*40MFKj4~f zv7_+_lCR}G_SK87Tz{C+?_a<p&2t~*tUHfnzj@3i|FJ>c=Fy|JN&6p4S?^c-+G0Mb zq9u9HVeWGu+qi3XY<#kP$8lM|e5H?)&nphT^HqKKch?o+1bKdogT{V^mBuI67c^(f z+>LqUKIZ}B`X4QGLqNWM7`k+ya^Hu4Vw>y^v!2^n?%gS0&=GC(h*Q7f*wwWv_j{lG zVgB~v-8G^9!Y<*OL#k!@%CEKWJe1@A(XsjVsb8Ygf3*C)?P;@P))n!G0{#{K;d35V z>Hp--`^b2F`}7|j-zyGDm(@9+`~FVhb?lwTvT}tzpRG^*VhZ1J<ltPDdk<ybee4mh zIi#KUPUq*pt4sIsv0LoyhQ#$dEu^?Up;CX?v}~Q?*Ov0h$e!K}@$`E|h^K8;-~C;N z<Y|4Vr=uUiJpKE`FW&7IdzM`(KO%VVIn>kf$e#YIa)0l%IrRtR?%j=tM>TUwZL9U2 z$BgoY-y9<MKN6b1;lDyByY))_LyGf0$gQ_H{Iywi|3O{52RkOfJnen%yPOi-)9p}C zA4c}HoZioW`QEj%d<CD}h5QTKzTfzn+<E=RabCMejQ$lzj?VY^*OvX}Pu~^cX+r%4 zJ>?Y#E$<aH^7l{pBldmA;g`y)c8_KBZ`4jXviJVfDyBD&8PzRnwyg30*r6?B>-NZW z-Uq?=a@rpm&;Mw7eB86<FgIVl|Et>tE&Nm0oG<7vo?{pHh<(muvEBX?ezb7gB){(z zDzA?}r@yiNueztrF;TyQ4=J6~cN`Pto3Hdy&iqHm;^kg7N7-u*?T&hMaO={2V(k`n zvyOb<aahuCp58~d&+`@SGX5DKRlfI_Gyg{C)0+2@o9-R8z4uV?xJCUoNcOgIe<XYF zq1^e3gX()8Et^>L-zq5nyHjDqWbw}6IeUHf96xvZsOh(O-MkNi{1sKrN8Drf1nznG zYi-i=T|xdo%nDl`mv@3g;hz3SCi%WcV&CNj%Psz{s5tgh{U~>tz3R7h>R(yr3;(V- zz&UTf&PS2y@q*zyezxs6cG3K(`<xnYn+KxvH*EH(IjqaKUjM7?e%+58@AoU8EBF{& z*tfS{P~PNU{iCqQvnviM&imMLSNg<H?r#NOZBO<Wb_>hY$3IfN_n0fc;^4*9r*r<b zeG0sLx8wQ#9dE8D|NXnSJh?M`7vJmmui4k^U(cVX^jg}^KI!k@-z{Iizdz@jy7%9| zdq>mv??0|v`S0wRuZ-8eYF_)!TD|5yw^_}l+I+p=FW-N+UbFxH{K8f5nK$f{UlDXt z|7T4F_r`zw><|6={g3DM?<SeoznQ*IoAmy}Pr29c|My4K|KFcfTVHUfd7uA|sc*tR z|Gc~Q=r22kW54Vc9Qb9o@W?N_gZq1*RM*rU*mb|7bU*Lwx^uaz@!zvQHkO`zd*)Zr zn%|)j-&b#ZC;m>={=sMAYroRg{NA~J`qy3a+e`P$l|Om=KH=K*#JlSc?z+$Tx^B0% zSKX24;%mOHmD<0i#`aga(QEDv@5~=4SN@!P_7~ThuUjKtzuxd}`W@F#$#(UJqVBhq z?*FhZtyb{bUp1Ra;c02LqSyXbt@$du@!j_Qv!5)lxwmuo&iUP?`(>~FO<MC?w<7KS zFS%!Ryx0D+t@+&>@%{9B&7YF<zrRa;_dNNo{=r@GU8Vbl<8FR0$S>Y6_PS2^+TXM_ zzo&km_^0VS`_7&59i{tiul+Sz^V{<K)IYto)@!~mJ-+LHQ|W%u*L7e2rtJ6n{4W36 zcF1Bprq^}%m{smS{p`Nxy)pZm_s0Ga_uKO#?zhXydDzz2)lG=FpRX2iKYv=p{r<3s z`~7VE(`x3Q?~k}&?iX>t+^TiW_3umd@+#wRtvu;1uk`xs-{*3#f1j6<udKh7P+Ko$ z8}iB7&OW(Tepf=R{3~0ZYp;I?$iM!5f#v=A+IjQ$<@<kZYi{?hJHK!3(<l9BD*B`C ztm<=)S+Du}E#h_ThHJZ%)?WWFd{f`9|BCbj*7*glae=3*w*Ke1EUNdzBJ$&>iJRhH zuibF%cJkWq9b4~-wm+X*<zI2+@ZyyJQ_dgnH`^QKC$Ic*M!&ASseR>|$o#IY_qek6 znqI5wzfrvO9^>~Nm2=+6zu0x^%f+G(6`j>JIzLwY{3m>-ih0e~Wf8CMCa>LoZ1%Nw z{^yf_ZB{?^PyJl1&UJsI$Lf1N7}jl)jNf>#UHDp6^YRl_Yt4^Dy^nhwHUHRo{jYBR zQ~xy9R-gWTtA~Hb^TppyjO&g>y>HlhPxxAu`I@h7{?q@ut>u3%KA~!Ey2qZ2Hm4sN zpRH)tw$lsSQ#WnR^(yH#U-=?l%Wk~3`(fPc>TPeP#|6BaTX1aG7fYe={ArupYV5w% zT>1L@`K+&L5wCMMT&q41^}d5YO8#D~$E)1=>c8D<>?-!Xyjb*wsgu2C&5sp7A9A0q zQeFd6eRkuu>VWK8iya%TMDOwVR{rD9$xjcDrrojZ@UO8uI%|L8UjB35DSNL;C$24T z-+E8xd6ayazS7rY`cwa^os-mgu5bKUSnh|!o;yALS^sP=wrq`iF1ji1b?L@yyAQ9t z*6x3!dg+txZ*E82+k2{K`;Lc?Pj<;iy;^=xEPJo;wW{<rUw5sa_Ghm8-!C6}#A9CU zTR-t@*7vQ;xaWK<-0<k<>oZl{Yrbxac>Q+6HS5O@76rX;V!yLL?py!QnlEgZH$VN+ zE7bqv#)rVq<#QwRd$!(V%HFGbt;+gMoX@Mbh3%`&PyUmeUw$uV*XB2ST_5qu8{1{= z`yIA9jz4>^;k7E^HD9OwSn~DZv-G9^zT|HDe`x3Ar=Q-Y)f^O-UtB)pqon_z>V2%~ zchc8S|N86w&zd#==jk8Ze69WT{K-|#<zA5F8Jo7}xUT%%^4TAu>nYak-ygs6-@kkH z{co0kWXQJJ`lwnv?(ww$_m&*|IR8|Az0m_(xsPq;HB0QzRWF_Q_vq62iL<_1ulZ@I z7ZIQEUT6|@)$N3@+;SVg+r4^NBmep*XI#YmN3SLo)j`(i$w$~fn5+L&UAunsr^Da9 zYc*dh*Bp|(_7AkGEa7|57w+<kO`p=W@7tdG$^6=OPHfb-?v3;2C)ob)(B8XUe!|}? ztDhcbKU?uh@$&4a?+&Nc{1?3Z&fWKW#Qu4G5&P$bt@-}7<?B8+`N@C3*tJExe=PU9 z_Vk8(+u2^%S|#lDSHAY!C~>d;Ifdu1YYR5sYnQ!Nc|78IY{J}h(8$HQ=d}s_Uw<D> zIvTG1u0H0K_3yf7)BT6Ge)}uDY2TIKEq_m+_^VY=YgyQNc)ob~4}p&AJ-hc*T>PWm z9e#Fy*Ny)w&*Ot-68=X$5_x`I@cqW>*uvhge|?+3{yn?k<Lnc^0v}f#KKWMVU5(eP z$ikNL^65YL&RZP6$gCRofOETr&HO*r-}&S}_Pplyt~vP6^~k;DOXodSJ!0plr|DPl zt~+?oL$2%7Csy?SJ>Av+L!yIyPE1_QC5!jl&DOl%e&~FF{6~&#o5Qa~Q}@eE4;PXL zuZ>^xBj@Pd^Ru2;N1X3pTak3sTRSfD<Gn8VwLh|s`iI#_oc-mr=Ih(F6=_GMwd*!K zS}Zz$&5qBOpKHWl|FqVYi~e}1YkJt8;C7?)a_cJ&o(xsp_ek>heDl}b8?H@%boZ)C zeZPCm<9Dxw@=N}j9$~)re_z&-UH{XL&eiv@mFnN{?ERXGyrbOO_o6<Y>pCA+v+>bA z@w5MaM7;K1Q;~I4TRSfL<6=?yH9t7pm)6wZ{?Kt)-?R3evWnb8zVwO%mgnR&U-R!c zqWeu=^&_Wy#WCTs{W{Or-#Gkrv8w&Cd-FfuZ+<K{z2b=Ix%9~UkGbRvKRg$LbWNrm zX<qM9%gVR$ySUK(#{%gUM|k5tFoxG_{#4GZyvKRGf8z6UHOu<6z1^qxPx>R9SJ1O~ z|Fnum;h0CF<re>>Bkw<E)vws@QFGMz+kUODa|;?~@1Ocr^W6tI^?LP>QrmYN{CMBH z=0LU0Bi{TQzu6<-*Z97AS=e$n-@B&Wdd_1(af|<bYw}I|tb6}2K9U~un6-WNk-h7^ zZB4%w{_q#_FK7zh^T_M*f1TIay^od4_G^D+EdSBszUSee_>|foysr)m^8F8eB-vNk zCth=4cm3qAy7NA^_*Wb|yZ?06_t`~t?Y48~sK`AQQ@8lX-#NVkv>8pV`oHGu{vF4) z<vwyg|F8PG*?#ITEty9T_N(qYZawFrq`Ac}`N;YM!g3$G)MNgyUsL|i=Uo2A?#KTp z|KNN7vrh0aueelt?aIPC4@)Nht*SZ1{Y_r`et%fak$*a0cix};hoRg8)av{5d(*yS zqIm@$m^=9^+TCp)^UmM!`FW84XXlgs1?}Y)KPMh3p7W5&+J0I^xB9&8hmSs9B5%Cz zW7A=;NB6cbnJ4kP;vnZYOZ}tnF^SJVZi?@XuDSBH;=ph96TgJ#-ONAy)c?uPL;ENE zQj>XjXy*(6`~K-Wj#=`ZSNzD_SJ*9X^RTHZ?Tu~VtK$Db=lD0ayURRgRo_uH>B;np z$9uTs>)c+w{>Uj`SnSuizT&8Q%zW=h2je|!x%mo9^E%&uY^*ZcQ@!cR^#>yIA2|DO z)OM|zU(h~x|FqBUZyx<wxv8#QTjueCX%&s>uXGD5<yP)LEc~vpFs@U-;&`3*yp8|O zgW}KrVrXCYWVyw$>OBvn=HFO<@a*L!{}{_Fj-0%#y6+*6b@!FWHqMU(zkTGBFYHr~ zdGKiFr2CJg$}MW99Z8?_fOY+i-_a|N*KS+$y|7)_=7C81`H5de^$L6cx;=82dC2Jh zqa}RL!(Tfm$^V;s<@zIz<L4(=w0*ZYE^U|a{^zEBM{VbQ=t!Tl-{IQsdj03&Hx3`< zR+W1!>-V9@yXKH+UYyEL+jk!X)%B<S=rXQ3bk6b7L3@u{JHC&C??1K}$Lw+W1Rkk~ z*Mg2z?9+Xof8%KTbkBc$-z|>4JgpiB8Z@tMJz_2MK#srSpmAK`Pp^~T_bY!jwK#Bb zwaUClEbl*dWxv_7Y2QIzxetQ<75}p$_djH^{;%=1V*SL57Uw;OmCt=_;*QxH_9?pN zfb6}!evgd(J~sK^h+Zo9{p+TC$7TIKGz8Dt>v%1E#}U(S_ccB$_kCzkuQ{ZBui&m{ z-4Fku_-<vH{hp5`&lU8|HTVA0z<dYPMLK4zcVFq}JNx4L4eg7!d)XZ0^!w1|{brBv zweTHBZS5W@-`gL1ZT*e|>Yz!7gXK&23A9%nsowK|Cw%V{^B)cT72o|j`z?+N+a*4a z-(mlL(pSBAANcq!j@{gyTHELyll0$K=zT#;war7;^%Y0IR;%9sAO4P?ui%ee=kkjF z?-hqlzunV+Eq&)PV}HewpMlr%cN{vm-_!OWyNcXH*?SKqpZ{p#zEczMDf-SsK6Uv? zKYEP!Bs@PX^!;D#tB)J|`z;O%`xSl^Jh{H0H(RDI<dM6~V@CZSE#`Y39zL#OZ{t~O z+gD)aVRP8>+{WL9ur6x;pFQS}5C44f-1)oxlRwJeKK%P5)c^5N9p^eb#ply^92M=e z*Ze4#{-a~Azh})+JG+O<eE))9%`WKZ_V@lLcirOf!*rE<4<zJ2G`a72$SH59{qtPC zq5PXiB~yOzJ-0aa?zu|b1BU4p2W00J_MblQ{jW)S&!ZRILf`*Kzw$0<2%nSmyn9n! ze{{@a<-8C7yf@VyV3phWJ+(96;>fxG9=6JTADYT{{OWr095lByTjk!PNxyF-{%0z( zPnUVf>VM;R{L1vBcI)&te%}19@~*b2blT_lvp-IL`iVX5&d-G}Z*BUnY+ScN=Fg3u z@@b3b&-l3b=?%{MU)9XD^DY)u@fq7ipQ}68vwm84@vM($i%Rv3-_5&Sl6=#?Uou~} z{kiGmy-(+6A7ej#^5>Z!DV6ng#`_}9=_efXpLUviR^fA}W!b0iwVwTPu&6-L_+Dh6 zjq$zcd+}F#^rwC9o>}-m-M4?*>G{TwA0G8RKkc>mjE_GiEi*qoH~-l8=|*qkZhg5} zR3~ZtF8<n=jGcStNb=X;`;xTtC#$g>`!fG&w@=Uh_(j~;dVk8U?Q{NalKj3dp=v`+ z%*7t|a3kBubxy`|f4g2DE&9AV&1T=Gmy@4<(@L}XYkK+J`q_3-=hkN(`+EXp>MTkA z`omvxcJA9Hc|Z2>m#m*3W~bTgT=w#8QMs=1zWX!3)t&y5u=7W*vD}|N(Dd-`G@D<x zm%E=n`+l~fCik-X>D-)SmtW5)TbI3S`<&SGJ=NDiD`D~yjy2mG*ha4VccJIK(B;)n z5A&X_C{hMBj*g|()C*sJ=j$u4``q04@xQa6Ah^=gzTLn!IxjEt*yQM$WqG-~w$HhH ztfzXrscnQ_e)_S)dqLZHs*U&UZhm?7)5E?ro1K$EPE0qRclYR)^6<;ePnC=xAG`}1 z(ceA$;|sZE;-}Bro~@|SzpQ@x?cTFL{@E?Ndj}Mp@y74&p9gt-za+nWLe;hy`wKnq z<x;A))>Lee^ydP(P%-V#kARK;{{QER`2WA&FXI2+XKi2aXSeZBuIY7;cr2Os^S49a zWA2mp`S~jPqxU@IT>hsv^_cn_yO?v=YxuM4dhV`2b-!Hb&cjRKZEE~$>>s_!-gF<b z!K#1BzDZvdzwOrpZLs=pU;OiKWVJP7+hzKdFL9yYKSne}G+c1*=XC<@vIK9nH2HRe zIf99+%}&gKD{F&8$OS>}jSUM|G?#{4=*VR`c;mR-2HV$(_4VJQgzx>i-d=mkF8zGW z^E<_{GatQndj9`^{@!0(?S9@@zE`{T@Bf?EZ`Y?jm)6^Rf5!^_$M3k${1%USI{9(6 zMfF0<iT&Y!Q*4f=$0UFMu5<4J<NJ>-?lFJ%ZH+JJJ%8grb2q#GmOpLNqwN&^;uFuA ze@qgd|Dm%qTz^+ge(%<MjM@8auhlH~t+{#RZ~mS$<_BKQ&wcb$?OxJ*sj&C5_a1Y~ ze_)(`eakoPybYgyr};mK+;d2?a=rBR`#EpdA1U;ye;%!4_n2dTVNdz>O+USC9&jzc zzHP_l8x=Qo*UZzidn}=z|NLu*|Bgd%qjlpRf5?5t7{5|I%+~M6kF@Ol4_@h}{XaTw z{x9~3zs7I&pS<<F;)t$Z#CtyL>l?rNzv}0I)gNw?{9JXKe{JmR_{TGAOZQFv^&l<z z`E8wji}!agetok7G{`C*llc7Cnf~fG`>q@-&(Hk*@FAo6j+*sHgSXbt-+AnJ`7G%< zdr$8<zUp{KXg}-v_Zz;Y-|2n!Aa&aOU+ocpi)-rEZq@&gmwxZz#II!*`y1aLXAJ+* zVSE44x$U?A2$p>mH2-mA->$V=r{C_qJ3YE);r{m9(zj|j-xYREmJYO^^O$Y@jq283 z`#^hn@r7^QyDsz3G~V-o?Y&*XM=^JKlm3XWGd8>`Jofp0#Bb5-`?LAtGk>nEZ@Iev zz`@^ZYdh8NB)&hkP2Tq2V`aMslGDvMetWk%=bu=$#h#T%qxWoBe{c0l@q4*%_8xo0 z`M0*_kY-$A+wR#nYk2QH7E3qZzT<zC^!{aajNkH8e@}k+i1(R{b-u~=ii)|F?U%35 zRzGUFp1-R&Zhgg{6<f>8ruqLfEN%%sFR^-_YMI})eTQt{6`rhOf5W!zpCtQ_7WFr^ z?N5sj+2z?C|MmXE%-XN}FW)+S_t?Mk$UTee8y=tE`q604Lz(%7-FMF?*V#OHusiop z$FJ5O9mQ)tZ$4mUUmo#1y}h(fZo{j>Bdgj!Tg{GtzP!7%?pVZM;Wht6ul<Zno;#m; zUc`Gj@%j0`3lEvbZTQbB_B}rT=TVCT#_v9y+Q)W3`R&uX#|t+9nE6lf-rYmbPJe9R zo^x-~uZK+KH#l|W>elz}57^JKefzfS)s3Zf({4Qd^Jc@j^K!5M*d$#|KDMg;ckP<_ zpD!P_vKL<SPc!Vl)aySv8_u1#{TBJY!+p;KWxL0(!nWBTl-AiV%U4*E-TnPWV(dfd zwR>%HWa{=G4gTd`agenvFZuEG9mi$m3j06bj{5zAJ?GE7Uq>x=_Fmn5#L8ZK&F9U> zt?b#?d@eq=>Nw|WxqJM#{#e=9uK8?y^ws>tulXC!ofmukN2c&-mHL~z=dRTsQ;hq_ z*uMAa_6Ho>w{QQ)T(<R{d1Q6v{=zTLZ_NKD&$-k1>!D0|#ZlXDO3#j0%lzNaJ3n|o z%laLMtn9Pbd@ep<W&b_m`E$?0bLU+P&-wp%	X`pYhtynTc18kFQGaF0FgF;oNyn z`H1(7)62K~jVNrME5Eg-$vV>J5NF><&i5OS?|Nh&U0->}qOSXB_%HPxyEmT=e`vd| zuuS|_yZ4^s?_zc5@tmoTuC`xR(VxBM^X7vP3p+~d^di1mBwtnjVK_Vfd3r}_-Lr_l z+-v?>ulc<Bu$4W(MZ#Wpo5bhGbN@;1-m!1?TmK&GIS+ok6O-R~e%GY=8$LeCeEejs z*!dUp9~rOPD1G|}uiOVY&^Wd2n%ZAx(*GU)8pYf@_v-eEKfgC0wX%0#^SSttmHqjM zuMrzx3I1Dhc71)lTg3D9#;f~}yt<z_cfRelpOr^UU(elG?;pMYcd%J~i*3yjPP@k< z@86~VRhP+#t(Nw;%bD|U%Te}U=@xs<zaD1I+gL2$-GAe_uw3D{GU@pF{?8)jU*8(> zd*|U>=QD-va~{1`d-td3?DBtt*M59di+iN}Gi=AM^=sE3*Oe=%u<t%^aZtVH(9QEv zc8Znfq@UYw?|dBk_@Um$pL^z~+i&dO{5#s_sOq^5zZtsc7aabbdGO%dw|}4RZ~puE z{(r`6wQ7fVoqrPXeWqCU{&G<6e`n0T=J%OhFW>h(Is8*H@osw3n%_PvEncq`Ic_`e zW5a9qApbiLh5O`FKK>EcnLn}CIydIeBeg!`U;00K{>E?m_O3bi4+Fo&Vc~Oy9|f}a zKbY}r?#G_y_-!9c=W}j+XKZ<`cG-bl=ii7tx4W$AXUiAyeWvoY+GCZ=&#u4T-2Jtx zW8*tx&TF;H4w=4QyYYWO^#2CsJ;&W^4yEsT5S#V4yF9W^`1iJ)n183#`q+QXFZ^Zj z%6#K}izDX_>&{D-7q2*yUbC!D``+Dy_vSy`om+D^U1`m4pT^Spnj7C4%ZAxjt*MgP z_|Dk)TJ5pg{<G`<$%XxAd2Kg2>27*fP0C*0b#jTnHy_uPEBxWnt#5HOeb0kWd#BxZ z{Jo>_>pou@>;6q2!|y!)5We<rhw>czTerk_98&!zcetWrLEX9aH!CtzzV>Xe;|KXb z7370m=f6aJmDupgF!^q}U&MFG_t$mhzkc8NYk9=?nLMxUE<c#?b=!{y_nJe(c}BPA z8^8P5HTihd9;=8t_iu%Fchvn?>nr|cy+84zsQZoTIlc0aZS_7fhVQ7^v$eeL@-gid z^SkF-N7*K?InOM#=JRG)E?jk7Znd2Hn$N`tt?d8a-}L8-{ef5W6X(viy!P|vqqeW_ zuKeTN_K)-UkB0O;k3_Ba=l(45-}29N-p%mm<vM!i($@R4Kid9{onzbi>!FbPjoM8| zwPhYi`QNa;^~3J#=MNpipyC6Z;lcT@tGKSOtGG@t;`wvQ*ME5G&VLHFbzW2T43r^C z>)w4hQ)M0Ve49P{p2O}kwnrbGdw=_nWZ6ea^B+rU|1)RnZ<pRJzhTGk5b67enf*Sr z1jpE%y>)%ZG2U<U&sE58`PhHu{PC-E-~64KG<Uw_wVyW==FZo>_R})yYVx5~$0c9? ziP?DW{0Dwr`>*{Qeu+msPwy<PE3-)23+f`pedxKJe&gSc`XhgC9DZr6d+$+e?bozy z{r6_y{@r-Q`nUFt&FN#_8~crI9<j+6cCSA4>$m)-kI}EhAAC3$cAn?;pEDc5#p9jC zx$}j?Z23Uh{ha@Ee;xU`^995HORTQ%EUgQRc%I%Lea-s8hnw5}NvebP==}~peY}$I zcWd>Y!|7Kao?o-~PVSrkJCC~mVz;PY*E|0q*Yg|I^NvQ#Jd(A0@IXK3Ps^{?A1zob zrQ%ILOY3$;JWubrYJc!meB#{srq_O2J`jF=_wloz+w9fvJY+o{@A3EFrB9~|8^Z6L ze3ShlF?;``y2lTc#lG)5ag6_?9H_ezGwoVkyY!uh54t7aub(mhm+Ow*-Fxl1*L()m z5uhUSxRrf>S8?6BuHw2~@>_qF)>TD3Pj3o6FS2@`DJ0CRWj5avJYR7zz2?|%{f&P) z?b3d}E$rB=zqO)Y_L}Iwty`zJ_Z~j_NcFGso4T33;SU(5R~!O$v|j6P|9A2J1pRt{ ziR9Y2@T9ltty|~+RkO=^^jWR$){(vYxBfKU*Kb<aRsLl5!x!2*|J~k##ypaqYp?y= zQZ4g$?%DT~uJ0)P^L@63oT=P7ZR!6#+Iy1Tuhx<K*W4@qh(rEE%lAF=`7Cy?-n&0+ z&F`Mi{buvt84F&kRXeup{FI2_;7wtr^CdUDGyc5nf9B=;ztvB>f0vYhZ8!Om@awY$ zpRZmKF5hwV<MoJ|BbM_%bUogD_Wnly%g0XI=RdmfJ4*V0!}p4#>~H>bf7RcA?w0$G zgNA(j=6$>}@0aYxYV+00Kd<jO{@y%h&2OKc()pen-WiLA*=Db)0+ndC*J___dAUF5 z*YE#nKVRAjC)`aplG%Ju=KPM(`aQw_^EdpR`9V&;AS~MEXZh^?>G%1hm;c|``FPSl z_c!|v94r2J`4;<)qr!b3dxT^5U-%_|b^fojulny^J$AkJ*x_C0RU*F66nSkIoP2fj z!Bx-YUfUTb-A$k7`~UN@`VWQI{?}FiZH@RoQ|5g{e&b^Co4?8}j>^V;VBCHGMBPKi z<?}cEWdHVdN8z{l*%tF&*gt+WJE!LR{<XEewr}b;9~G8)C?fx{C;e6Vp|5vy>-YTM z__1{U$BpldH6ay+NmudwOws3H8`akQK2v$Q{_Yq4|JOfVu2nm<>%2%s;$GFf{YSqo z53auRc*(-L9S4ld;&MK|`JeM|@3+FocXnP?pYOaw=(ycq|B55`mPh<n{#N)^Xtw@Q z-E##$){5Cbl>HiAAQEl+*MIBB(mJ+?=jm;r>il_n_t$@S68GAxulfABp|oz@?0@H$ zN7}uTPn<j7@Y>JFM=f8&eza8YdBj+LKkc{iW5M|a|AM-o&(HhW^X7Ku<40PvE!Mr* zpYT4_Y+ik6w)`Uo`GPioi{odvNB?I%{-^f4<avu7Qor46o%cMDvHrf{m-vq3)@!QD zBEDKY=&R|o$kW|_V(U-!Pg3T3c84FG+JEHK{=>>YzgX;F{<Qjt=)8^P`rW(dXFk@h z=hyzvlKy1&BV)OOGdnJ(Pt>26`t5hi)cxOYUb9n?mzOW-^0zpAcCYS#Htqjn+W!T2 z>u>)%Z`~Gi_VDdLzdtd}nG@UmbwYjL)cS7gPd8Wot<~Ajnf{~Ue8tgI_jfKkyZn!` z&BXei?(m3zvV4;2A8z>99H{y$v++~;y41IKe}Aevz})w-ML6cp`CH*x=YNJIKec}_ ztLFQS`lV0b$KHPS{^aW07CZZnPOa~nTHl-fDRaa8h`MT-hl27So3{Q>U-PH=^{qdG zKihtEM8COwe)qhs)7{tnQD5`te1+nC(e2;2|2h16v%STklgv7C2~Xpbp5A}hT2p;z z->Gl+cQ*F!zr07<ec#cq-z^OHeR^NL=BM_WpTB);ZX9{;`)5mMwfPokR<FJ``QekR z+xD?N-*Hr1ZsT{UZ2O0{eR(OLcRw-Bleu3Ze4M?v{q*%)Khp0!5M{USZa3ffF-hj3 zq<`1v&o35O#1)=<eR$`}-tCV#s`<BE_wV_><H)V|T-p1pYt-g5zTbEFQ+a;c=h7z| zZ(HoMe64(+<@Miyhs-~(?5LUW)cOJ2`@#<i-Sanmir?_*JM-*%h5HQ4?`Qrrzp;4! zZ_8_O_mcO{cVF{I{OHN&Yb^Net{=00Ebv|~>F4u^pW+ce-xoOUlP%kK`cr)2={;wk z->fP6@iXnIeB#si2aPpebL_5sdR@?1{bq@n{i^+T^`Z5(|9-KW?f2g&Cs)`i`)jTA z_w75*)E_uif6NZFczthl)PGRgH#lv7^wxi#?ElhV)$fP>-GBRA{Xx^uVGGZy|K7gq zjQxRA_J?(UKC!6T@$~v5miHex{Ud(LZ}=2n=)LdUTHW~{N~hH~o`148XV31lssCid z{;}_wbY8H$f5V@-x1;{smKA>U=sq6tQ$6BmxP{_<sreszyr1djrGGy8?ydgk?;Ae( z7kJufmdU67ylQcPcism%?f-(>|5?{RsXli1b?*Po))95;pXQn8Ejs-tdd(mAnKf!L z_P0LSKbDOv{36laUcTky)cTgG^^M=3L_c~{t@EGxoTT~g$-V09EuP*FKQ~u*U46c* zh3fo>!k8rW%{AJ09?NO}XVm^LIsHlXp}h2;>g5}2`kqwY*s*K%(Ng(U8{auIU;9(N z=BNMcADcRVmv8>a{E72Ji?PjPnd|qne{Q#U^joCtN5^vC|A&|T?-IXdUB12M7XOX! z#x4<`k4Jo-zGHc9>tz0@|8<w^Z+w|w==WPVZlid#-K_h^XYD_#`TNzq!?#X9KK9gB z|M9=QdbWvIe>a4JcDT;1j;?=O-m-PRA!tYTsk(>SzgxG;GheGa6S01~@U_=xclSSC zyrW-qec^GdL%*Y{Ywrmr*4_(zF!6hI=jY?Mes4T1`flTQi*EUipJs0SRQNvq^ZN?h zYKvcX)wSU_7T(`gI6tDkR?aA)R?g`0gc`pe4SRn_{|BvktT=6d^pySKQ~M{L+<ufh zuHfvRtIRoeH|rNf&cDtQG5>nX4(0hW$Ne|_iJJ3J*6uN<_J8KEf7)gD5`Mq6Iq~Ub zAtd6fH*CHB+*0=1b4&I&b`!5N%We29@Y+66T-<-tH^Z=hoMHb&<xXxt_ES37)>v=m z_KFo})pxIqoNrzkG2dKuhuVCR-~QYFWXU{alm7^f{_rQ&$L@WP{&(~6iT*v$duO*t z$IN%%YVqvzGC}<(#t+T5|2e<oxVGQCq>mp2&Gvoj-|$Jlz+<1P-2+hcS1;*RUmr1l zx@G^S9d`QN1%7shafO|Gmq+ary$6f_`6s@&%$DEq*IzF8r_9OE8w$Tlbie+*Me6nE zEsXLf()&*@kKCtz=K(9b|Mng8Z~iz1&IPhRpIQ8KJY64Beau%b`|;9>gTMB?@A+C8 z|G^?#ezJc@`kcqcdLQ0NPW#WN4MNQ4PJHh;Z&9`9to_96I|@Itbie+5K<M@71AP2X zE<gS<bJ~4D@rq;THtW2zyLc@8pIq2K&a&#;??c{xY)C%VJMT!{BgxnM3J>kdukhbD z=l=0o_IHnL_T6*y$Zg-6J4crL{^?P_w)xQ`-);8{(*GB>{FUES(Wo5rNO|7Jd8Ok1 z8$Uj|eC%kj?!FA0JO4JEz5Y<{yTwnzZu!E#^gWM0Wt#1?vtPHt&i>uT^RJT+D9^hp zH@_hC#_N;SyL#7OpWMIxig2}k*3Yzx{_hrvcYa8k&8s=|tETecubP|n%eLm9R6p== zv+jN#b&KQbcaom&KYXhG5c?<F2cOPvoA)8o?7v6c@7~Xc9@);X7mt`<|NeuQo$R}L z$v@>k$jKLei|MvLzwP7H{oPaRd!#@47JLvpz5lr2<F@k~KR!{u_I#u9wdWh(6|b%J zw392FpZ-(T;(+G7g5Ih1%~Su&9e-l|Nb>jj<iAg@=FhRa|LE5qi^IS6>^NxrbIXpp zeNXKl$<8bI(kf=3{B(cPQ~A1uXY1AObG&D}{a=>v#q;`!Tc@k9IX{^{V!rx%3sw2$ zTmEtPZTRduEx)HaCh2K>;?w)ue*2mq*{A*79iIa!!v6_%zyAD=<@M)xT>VdiAMe>x z!yfa{_S?Q2kJQ)v5nuD?um0Ab+@E+ie11HwzS;KI&iJ&qPi^~t?x{Xv99Q6BmtJ$= z;Pl%S>N1a>dF%X_(f-e*{a^Om$?b=g<3L4t_>G#PA5+t6<Kz=-<KiFm)p*I&uY7tv zvG#sq|JT}f_cedg*ZjGA>Y4qd_3f+YZ}_`@gY*8<$NyHZ*>87VeuLfl`5X7`f4}70 z_AP(*uHW`Ad>=nw{7*ZJHGhoP{E@yhDZl&h_Naf{>t=5MB{kds+x}~7eg`y^%AeZs z?EJ=O`Q{(*s@df}YWJ<Vdt~nV%{3-<EyCA?9}DY!?9#ro_w2R%k8R}&zD*YUzW?4c z>&^Oqj~z76d9-86|AxEsH+@W#c_2J*L-_3q<8Os~xBp|l_P+jG#Qy)5D{C{>&u4x8 z``I7y8$W*i+r;qt_i3Y=rSl?w-+d(e^G(5*%hT>lnxA^yYBpbH&xtkn`CB9Q%b(h~ zuYdJ{Uv}$cuYI>Jjky25<iM%_9aHyz?lr6T+NT#+&>8;8F8@yL<geG?udiBjKVEj@ zzV#W$e(h8GK6~x{<KLH7MBJ~RobYsh($o0l=Wn;&=Y98)GyF_+UH4h_>fXrx_dji{ z+duV@_WkEg>uct7U;7@oCE~ujVbW9k#HaPglz*<X_%9jl|1aruzT*4K$KHDD)II&4 z|KrsA`y2MfKWzB=mrMKF=U?Zx->RsJvp;mo{@AJgM?`;y-S{1O`hN%avy=PJ9-BUQ z`mC?NEyZ7dFE+gP`8nsc&(B4#eLiD)?em%DU;FRe`(ORFzV&B|^QYJkjLY>m|4I8~ zmlspN`{>u-huL0#Z?9hSyx4us^J4Ke&uz~~oUdkE+q?cR|Hg0j$JIaS7JM$=_Fwp% zgn8}GUiJAK>-O(R`depM)4KKg=WfAkpSxMFeJ)VF_PIbd?4NDeKleKm-}gM8zx~hS z@LPY_Kg}}Ji%%{5`)~81Uw_m4!{@!1+A#0^tc~;hEfa0$KiYfiSolA?uz#B8PM9A~ z-*cSv@v-$AKRywj_w(<Irmw#P|8Kpv?@47h!|Td!9`-+b%lqJ&{hf8re*Ucf!*kEt zPr6?B_>Z;Dy59kfrSiAHhnUshIMP3R$LG0b`+n_?_?v7qF~6z%;<ez1QrB<%XYJ;{ z@!R=#?E|^@A2To4-~94@|LITG7wir^mA_dbdF_wowLiLf8T$_(%=rtgW|MDO-_QMd z#^TT{`(v~AA4$IzUh)0-pSluo9sAMYx3Hgm%HO@$Bke%dto>2ex5jV&bbie@`+M8> zf8eseE$%h<zQkXh_iOt4?SGWw%1<A=`#t8^<#(4}A3OX#?%3z|ySMyq^X``x&)>4+ zp1<m~-zyJS?fsT@{`LEguT^t4#`VAZe)~t&-pYve+gV<JoszV7Ue=oH-pyaDZYJ-Q zb6a!$a8u}e<FLKW@*Dotyb@2kYJB`xWkAATn;W^0?yA*+LZaeJvswL_eYz3#^NWuC z`aNaA_HR}9)Q(i$Q#)Q&xA;I+U2^-@d3@Qn>|39Itvcc^v-jk)`6sgP?D(PB{rY{l z*PajGwPmk;=l>FM{<ZAJdDmxeoOivr{sy$hxc0e9^V;XCugmH;zubSkDlY#>Rb2i- z?%##S-s;~v|NVix&VIRei=V39&vzVPEvviv$ha@E{NrM?c{R6oRBS$4`)hi|5m~!O za^df{{OsFt)R^yn>c>yIX8)${H$N2q?;gkN%Ds%QEB8viuG}kp?Q_+=gvYDr*@f8# zuX+B}XwCDlvwZ)TU9La!>t7@1>&m^xei84x{4M?%p3Xli_^$5gx8(<v^$P7G|Fj5S zi~YBwS6yFvx_#ouu79H6?&UuIYH@_w?t$d|51i)jH~zaCom=zwuUGHa@7m1QK37$* zdH&Te;{0pfi1V+1=5PKOdY)nRJjrXHt1Pd5u6n!df8OQ#LsfC_8@}$j*JXX}^`9kA zh4){-CjXG<_>Ug%H+%d49;)8+U`lDYed^=W&wE$zJ<9)Oec{j5X8#(b_Z&aCKJrgX z@}38h*X?s3zkc5<wPwHhtz_Hv=1I2ew<p-HFF#flr(dzVcYRe`#Md($=Uvy{Fz@>9 z++XFh|FN%m{<SaS{A)}5<j?aX*LRoi&-*P5S|Ds2U?1~HYWMr?KP1!F?EV)y+ds~H zUH!R7jDMwV9@@_P*zx-QhM!`44yVifU3PT#{OupVevj0>c0av0;`#HI(z<yY&z%>2 z{fFm%;^Wox1jB5h!y~(W|JPmq-%(n3F5>xe>sx;`Uw{43B>d*jw72eE)iIAb&3F9B z&EEfLPO16+gwH3>>BsFlX!<w$&Huh$^G6*1KRV7=9MsOMzy53c{*=!z-@h!2sP{Kd zoI9U=&FA8yR`zq_w|y+BbBp-ev+-4A(%ku?*M8p2|HVK1pYgSym4~eC_m)T5*}nc- zShS?h;<#j7Ve{Yn$@@Qa%q_q9gZ1}?yf>gB(Ecy?AHMpY`-gM;kA}nc8-8>Nzwt5q z$N7H4zkeGJ|N4EH88jGeWk0w4c7>IF?3&NUVRO#&3m^UYX9IM+@Wz+>`M>6GICq}) z^`9^IlYg#VV}9T+drrO4p5vD1>^%M!w3gra?cBZl{nn4(-%_`4{Jzgurv1zN4~+63 zIOPjJyPM7byxJ_TJyzzt*!vCt;<g<BRee$U+E2^mx%1zxzxl(;-geDr<AYW8%Oc*N zht6^QUG{&+%l!#+=cljvZ2Y72w0`nlMZ3o`yX$j)2R>kY|ADc5$DavXzw?>Z9o<(V z{rmm>BZbcY<=E?!9?KT?q}wEaH_zE){O!X#|F!k~U%zi<zIOlmInczz+xy4gSJz)Y zcKzqe<hk=T!)%S$RGCFQ2hBY6&Hi_J*?-uG;dY(<jbGg?{u;k(=Z;BweqQ(9Lx%4a zhyLZ?{$cw2QohWeb83C*zxccUx1KeBENl1hMM~lO^4vWlzbg(hUwa;0z2^LKzlg69 z8(uw>zo}n0k1gV3j_`T&k7dI5a~}zp{kwFn_<(KP$Bw!8H`jdPkN(XOZgK3MyN=z1 zwo=#q`G24OJ$6w(!e&W*5C4uM>M;+Wt<TvfS6*@8qr0wL{effG?=M%6sGlE~csD(3 z&F?b}rSorshL3-E*=~IGwEn`g>$PeJcAZa&_*=Z@pYFBVwD!{ZGYgJY@&D)ve^Ynn z+u@(ir_&Dz+C7k%UZ4B+`;Fw-kMfbANtJoJ_b%8UJec%2)Z&=wypIj%EBedplmAY( z-}Z6+A6xr<pT7Tz_&$^EwcY09yUzDSe4puBc&|SF=+5&j@|%7_hweccqw3v;cgC{U zYM(9mx90nbfA!vf{~dXzzoC2X{>>FV%yS-a_TNa4JvskY#iuQWpVGzVznuT**ZbUk zpc9oA*KhbCx4hyAx8MIe$F6@Cm%R2_ocCJovZD|SIbYj>W~#bE`#<RC)Imq?A+suX z)2r6}J|k%TKjXLIW4Ybxv+Iw@o%?_Cmimrkn%~Zg%`aF``gdusy1bd6{h7MU_J`f? zJYe0v<8S)x{3EvW3O?zJowrMR{JL_g%<IajVz2EsAO2;NaA?<gnGNrZwZm-Z?BDb= zq@UxpopAEqbgwo4t}d(ZhYTAUpNo9Yx&FrQ6;B`kUH!Jb|GULe_c;$=-Cy&Uu|4Yh z@f@3<I|{#D7t4P+|M7?WxqHOaE86`l4r$vxcxS(+e&3BBRr^*PsM?p&URqZc@%%X> zX!39F{B!qj{8-iA9eQ5k^&g)N=gwzc-hc0l{DyPqK{H2(s;}*S@Wy`S{rgdMs(c%E zN7)=!Jok}NzVOJd&-*ujd{X)N&wJgvMfHud`)}7+-+9P)eEx<XjOjl*&hPlaE**b9 zd1}P@<WkVMbZMR3#&hQ-U;iojfALtjt?imBwTS2GU8Qx`X8$|8?7!UWKQbH7ooC(s zKlOLvF=oDhCqIFf)BNA|%`&f`vHAbTA3e!$FW)>i*<V`xzt5b1s`Col&+j<C`~TLT zeH90c{r;bS^mPA?AK~-n9|mD7$V8)+y??~><=@wv?R)hy`Ks|zD|_QLpEuw9l5hSO zHgUEiVXt=Cob38f)oh3BH~*=Tc?jx=sjjm>`fGl{p<Sozw|{(+`uLN*&c4O<t&9D) zeyp1Fh*7`d*tz9~_U{V6&NtgP&wS0sdFC=tzJK~&74iHz=j%T)8_xOHZ-rG;KW8S- zoe!<0tnB$Co<Hw$zxKN3&Zm?1cfTng67AbqF4{fa;*hA_Bi7|>cK>SW)t_&^?%(N0 z+<&w0#9e(RUC{sCVyD#C!<KO$x?ca^_VH^?M)TL27qB3E{l_MG?)-!QZ~R!5-Vu6U z=Jg+)4d>3^T~@#SW&Hsw`~HaM%Rlco+yDGl-HwX><eCGVdiIBJh3`0O%4dK1QTm*X z?|%Kyv8%khqb%?5$@$!zf2C^<RquHuSUx}Xvu;KId5hmU-RAQ_>GhV_>&jb#um8;1 zaPGYEwVyka=FWe({ML_E=`Eq>nO^_V*?8`J-sS!Gzt|_uoo{^Y=gYcTXX7`;@$U}b z{#6~)ar57C@T}>Y|6Rq`?$+!&t8N{>v!vPj*LI72%X-Zp@%aDfIe+8p40-+?dsm%Z ze*Se?#Qf{N5nnA5t|s3-GI#aOiZ1tvzmI+Q+&Qw^ch7O*pL{!ZOk10N%+xON|1Gia zF{jlJ+1Wi36JK-qHxGDb#qRQ>?qi4Fmgeje`EGIeWU21G2Qu3$j{Zx(`J+nC_CS@K z?P2I#BPb8<I`0FvEBolK^A_`Sf4;C2PW;-x@z?Z-?=!hx+g(n6U$sr1`QBqm`461a zuP>h$zP%>u&EpSjV)q{!|9%zU{P)P+WB*Kb=UuQrpg!lJ=<^+)bN7k8|HzkazNO;9 z%^y{D_D8Df?2rGlSpb@EgqB(jq5aBXwsW#?{@HbYN5uD;607T*uI@jy>-?37?=u;v zuPN`pD}D2qzr_LWc^m3;Prq+rzH{fsukwo2_~zFe<nH9l#9V(Q``20K{*hy+3){GB zj#<CCH|6KYhQqIK{`j@8mE-kqF|IYgeL73$zufT77&H&i5!x@gTJGJ~+`7BzHfw(S zG+nhn`YJy8Zo1T(-)G{|_A2Y;rTyOcKx+QR`r>YO^9_HJWFAR^ru!G>zkQnc_(PkR z{bl<@yAM3m{?On(r;hLIVb*sWKkpRl&r5my`nN#Rn)l8c8{Zj=UaMVpeAjuNi0?Ba z3+?>vHP1bNd9N2_Y0aA7K3$>bc~;MZ6}C6h_X^8>l$8J2wfg+#znu9=Ki?L#EIz;e zM?>s2(Yse}o&Mdscy^@C()u3pn55_2Ie)mm?>Me3S5U{DEr0*cG5b${MLDm14-biW zp59wp#~1PZIcQx&;??AXtJ>ua{?C4Ue>r&K|LggUzqUs_f6n*%&zypzRs1)0FI`)I zRI$wN_$SK;9Qqc=ax?ydtElHupepL0P51XN{sl$auiA@a9*gqd*lqgxv8|uo{bSd^ z*Di^;|2;5y?tI>BKX)F7Ov4{sbzE|_+(Gdhf2{1C*L*fU{whA<D`*N{_S(<N1MJtT zk37xIv7Zxt;}36HVL^2Faf^eTb`J%AuhHJQv{&6<T3zw=()zC5w{O;%-h0fJzI}P! zjw8xt?-M?L2{YTbZ@%i`Uv<;iUjND2c<wwb6NcG_t*L6+zU^me9mb@8$egd|x{|+} zo$0rYao=Xo`L3Yrv2|3<p~h>a(cmfnd*>cW{xz;S##{D2<?;541IqJ0v~*iX|9<n$ zY~Q}~YR7-s%@%w82R!2l@_?0n_)$yyxz;yoR=Kx;)}}m9?+gXi7O+(vrmt-i|Lbk5 z)8AC1^yaa$-$%*xit_N+^#>GRpLv(@_~h~4&DN1M3+nqW?+&z&c_3T%F7G4f?;j2B zbMD_fcKtiQXvBT{Er+e_ts#>tavRV2Ki7NWZyUR&>R818f0%WX`?cK<w6^{I_&)3B zR*M4<ZFS~76nS28&|PNZyFYnm^KA0x+`s$ioNewOrtcN)?sp#kiM#f%LA&P2y}gq9 z+dh7+^;Nz0-L$mpb7j?fi~9KTBUbk5Yd&Az+upnW`}FqE^DM9b_-s6PzUuP+n_uoH z&z-M)?dQ%16TZ&-$jJVE%O5|BBWG)K>Wl6?W>WvY^+!+gwb(nS!PV3EZ54k1h1Tyl zeD810AG!A*1?4~VoIY}E`uB|=zgEV!eyxn{5AA1MEeDx({C(@ktM|!Y{mnn-2<I0# z@6+Xbm;bZh;+XKfkCO90c9rud{QbW9kI*N({20~Q7IH84Kl;*W7FSW99shvCntxM8 zV{ObM!SxaM%b(dCIClB_Tvt#j^4cyq>2A8#n%_PUtBkMJK5HqR|4}aE=ZgLPSM862 znrz=^vdKs0cdup-_P_Ipvz>qA56R^fN4eL@rTmn=dA#)TuY<EK%H*;hTk~(M=vTIR zB=LOZR{g?O?KiePALX(hzx@3$X3hKdZrB0|NSWnWcy9mRN5A$7uK9hYsdWCw;M4wJ zc{aS7nRqw7Xw7e*Zs%*e53}1m6t;Wt?BO<h?ROhL8=O|JY&n{3vw8iw!#Dl!<h-#t z^vLpW?4Cyw)%{yO3hy~CYWF~DdjF<x@04?EYUj^y|5{ted97CMD8xc=6A`jF4b))U zb)Kakw94SftNF=y)4A6CKEr3eX8YlTi*x_7%RE+|xAAkv?E0g6@8pvIO4lUC-#s2t zz4PvkvpV~h?{DAS^Xs9|@*A~lj%Ld|VDrCGy{32ld;2dN_x(3G`pZV)@GqMM2X~#{ z67hYe;A%PHFxztx-)D-xwiC8H_bK>4$Lf06x{i5~`Teht-~1<YRqnh+)t;kwkKg_y z`R#+`_KL*#z3LIwmD@Ldcj?~kzu{x8%>xmCi^E5S*VZ;?-%0xK+4EgRI{tpRWI}Cx zDr~9|x>9Mi9Q&H8HK58o<+S_r^p>mk$6m!JLHcQrJHGP$=t$r5KsaxH&hN)hr=4%8 zo%2lW{=?1RzG_~JduR4-e%8mPe~e}Gk{+ktIBqFd*xg^zzIu7o{|A|7`}W;8KJu#$ z)^L!$_VXsFS9sJCJP~i@pZ>4^*7Nmx*M3SSUo}3sD!sL|?pnn2=MB-<b{~IuIp?qX zoCmD)H~i;0eZJD_cWd>Xhf`~L=VgMHqdkaRGyR|9x%r8YpYJ%t`fXn3M_InYc76+; zoSIts?3S;!Ygn)S1obsRb|%lA@4lw$OvKlM`MIB8|M{}v+<BJO^<7u@AG5NTUh}!Q z-+xW{k+Yj~>c#FnH16Ym^<Cz){&B^)!hYGczjt1XlQZL+pI-R>rdeIf_Z<h=V;+67 zyteN!@4LbeF~_R+^{#)vzIWrk{oAmvL=(rp675Wty3OOwzaEGFouB?&xNhRp?Z*Yr z&CCDDxO<JZ*4n*$?pypy>Yo0kf8*~~v$#&?n1`I-D~>U*+kfKM@%W_2uYb1*uX!)M zZo@fHPg4fgpk8&nDU|=ecP?x-8gixdq3^3)LCCFNpatNkb>_3W-}syKjhnxs-}ahl z{m!q`kM}-azu||=e-`ohq{rbqj+uVjf8`N#A7~`~(^OC$VR`gd-7{#v*7n*@%cQyU zyC2P&pMLafO?c|(*Z1cuUi&#S`Re8at3Z_?Xayj1T*Q09?eS^9AJ<Ghdp}uRJ>Ktc zL8I`Sn%1N4Z#J)cvO3qM@|ML<sYhS@KXzo-9N}HJ@6xl|kHz{e*67TuTiYAI@4`D} z$+GyAyn;Wb&&1AG9C>(F*X|*+-Q$*@VKs*yUcdQAyRYDT=(PC8{+jtwovZD)zQ6w3 z?C)>i|H^rp_K!cDU$g)B*Xf_DBR;EJEUfMF-*N9tzS-aJU6<=Z{+!SK=URBJJ}l<- zf40;57xv}uS*`#0B)4Aey&csHkA}XNTYb-c&EI(&zum5#`1N{SRmAU^543-`u74vQ zce9_Z{LOK>*I!MN_RbSqbN#X8>#s9v7e5W3xc#&5GvW2_;^vKe<C6D$Kg)S8FJoOn zm2h#dxXpuCX=3-2`+xdb#OUsO!2E6h%}=+3-!r7g=dS<HGu`6&yXz5qjxyeR$mw5^ zQqOPkpS$|++8u|_ZI7_~c=D0pJW2gyU$5W#lYaE(_gx$R8JES?yi9xiL3R4a%E#ZL z^&el{J`=KlG|X0eP1U!EuQD56Steio+!E^leyQ1hNEgNZoBH9a*KgLD?l`K;xBuQF zWxo$C{y%z(Uu}LQ+OEI(&!hcI>MD-0#!0R}a@YLEpM%?@>!+9UOS`{Kt=V7r@6+iW zzq>#KnCrLfcoms+b@Sm>>HVSSmBVb)*HrCVzv*MBKmY1^oS-qgs%t;a{4=Qtzg_qC zV}tvgeFq+K>wS>4)=$|VpZjy|74;)`+t=1v&MRzu-5*iYUVH5IhmOtHZ~QR)ZImCg z=bKU8tsQq`k3D|B<IARx@2qv>)XaZ8DVCFs`^cERzdz#l+nVWL^W(GZ^<!oBosoI` zvFaJi^Xul}KWge{?>}~y`3&>5pPP<b#ry3@t{0d2KlSbQ|97Xw_cFh!zw$`3ub{7g z$5HNk55FZ}t3PCG_u$ET-F=T_tk-X@3A1@HsrGD~zwL(4w$tzB$COMjd|$0^n;TPm zKh>t<@KXMW_wSXj{k~nfc<c4ww~toUACGwdp5^u5*u=g2v)6q8-te{RcJkhPep&yO z?yaxr|NDOXA3lo%PtBu#^O##4KWHAg=jgwrueTox`d1ufzw?*(>oLQ}mi7rh&r}?- z{XOf?f}>9lAIq$lw9mh@|E1BqzZaH<uc@-K&ndiWd}vjAPw07})$>HdY^y=bZobah z_^R^Is^#C6r}=+5yY0W7-6Iiwi^FfXNBm~{zT>E8@<+k`E9r-R-jCXQZpUHmzW?VQ zJ?xLFvwZAYag^I`)A_5j*Y4eu7qkDVksQx-ySzJpZlv1$Dct-@G7*%2L2WO-)$?q_ zZ2KcXHog4Ua&~=HRm4}Hf^SK;|BK8o?7cfZz#eoH!iSFT{H@=(&#gQ!x!$5;^;7Zx zwqFk$pDR3D!TN3g*<;`Dr~Q?^b~j(<-;>mu8y3G;p5C!}|ChSQf0pa6s}hU&dM6Q- zik>sBp69-%$|`^R$54Oq)$<I)Y=zfUg}ple@5-zHLATdC{{0yD_ao>04^6YDZ@h1x z3`#)<?%GHEHL`gubNzqD$FR4O`R$MMw|~4mf9pr(Z%=m={woG$jAzgFA78ZAtG%^D zR`*{0op<Keb7~IRB!cg)2$%U#+4q^->|TrVn%^NkrSr9(vfui<@(}mCjqie)uhnK9 zll@(N=%fA3y6XKIKd;<4{_o}^&Ax)R{v8Lo^9p~Tzg7<&Z?S(Yv%cc!U;W5B*55P! zeOh|Gx4k^>*yr-tTYsl|_e)Q|oO-AJ`%?8a-@h}y{<}A6@BX>pBkK3=S6=hIyyxpb zy^Z(oi(dP^`*_t}-iY<vCEr)<pHpz<*6-uh>z__elGnF5dhYbv+P3OD3IF5Q*6GT9 z><G7c`0oCS?YE5Yb$t%MQS<wIWS#79iT|&bF7KTjzq?0Yx_p0~q(A?3yX33IM^~l) zsLNho<reW(X9H%Q-GA`UoBsCA;kW8kcO20yi%<P1#lGX9@V&<qfAv`WFJFDV$-3s) z$NC7HAHJva)Ak5lU%&0A|BnOvrtMFC`}Fg%tNHQA{@&mDCGqjEa{b2#FRp=%V!w(^ zxLVvEdY*6fJoYtJavNX0{4?+D`l?+KUwb}I{OeipRqFP7uI)RHJ<Qg%d%*JkBj@}A zzgn?9N&mm=?*C!GQhr`xTllflh1)m(+-tw#pZ4#2xiU2mjPB*nsrz8GFVE)B!&IB! z%Ada|SpP4YV|(LH(%bKR+56SkeE!-{x^La=9mcn7y4)>lJI;zfmYiPkKj2*YoF&iX z*1vh*u>0z_`eVj+4}U!t`~L67r<;lL?(;WPbOq0O$ZCG$r+)VRM{@lYM}*J)zkO@E z{HCAI-%kFX?CmcdUSB22|L@JE<Gr)(_xHHh7rwlF?Cr@%RrT*T+`BJ)?f377um9pU z-n)M;{#Hd*y?ey__Y$xF#wP8(r?uvKbpQE1@oam3^Hu*pQ*l6jPM!Mu_59Ixtlu_% zjurDS^sO~|^S}S<>VuYfAG_SQrtjhG-|>&*^Jf2Z@v*z^-uU|hRPcV>czVb8>(k{n zzOqcb`nf0cyy)tA50f6RgpA%-O^f*YX2YwS$yYzG_;%m-?+3Zv^~s;*H?*I=9{HQa z+~VlL*Sc}Z|La%E%YBqvUvcE_|H$90$9u|;8O!~<_UZP<{EPQvtL|9*`+fRH=Ht8e zF~=s?@A=Xcdfqt9wz+=OH^{Kh>UpeTw&h@pDi5rBF1UK$xtq`W_Z|Os|0rai=G!&3 zt<^D)pH%DGJ(&3GP2szkx0B}|pI^`}E>qui^l$yPKSjs5KXj$rY+QeIe?)cV%^iQP zpWg93fBHPLb^rbvz5CxD32Dk-1uvivJue$(tG=d6Zo{jcNmnob^gr$XwWr`)?Y94h zc8`U2=P#(MIAA;PLqoTD)Nje>SDv?ozgauY|If-R{O2u>nm$gw@xM;`{@)#6?Ki*Q z-TT)+=GgAu$^Uot%r}4c|F_Y+fBltD>Lxw>l2{g>x#8RHqqp7*PS=0-ng7kcBQ?i% z<*$wSzVbtT?!PAw&Ei}7cO3X-v-rp_o5hE<f8T!m?sCrm{)%J9>+J77diVe4AL};{ zUucQ>7jy;hc_3vSzV#dLyN{qm^z-VP+J|?ZO}1xedV70$Ox2wof3BR~@jr9AT~18> zuT+~or<OkNoxM6L=KXuI*MDu3_U;E25R#Ar;_&L5KOhN->GjvJq`mj7zCQnZY4v~p z8^52g_*W5D|7O=3{^}h+9beCXFzeTn8|7=`OwScIhwnKq`?%NQUGAS(#yS7y)JB@; z*?l&8S95J?d++D-`+9bV@BbpVdY*Eat@xU%c^h9z#vDEt3|YbqDjjwnUX|V+dY<{- zMtjiI;J4E|)jlVS>suT<#~)~a=P}ps`Hp`-bg=JvWc$wU@~!z-=6w{K^MAwH>($fZ zPw#8JzUxcf;}^F;rS3PY=^uaWnr`>--_&oPOAnr0edgcSHGBWvGdl3A`ZN!_`KE7{ z^9s7ZugP!UEWh!q-;N{fZ~m<~8vZr?|D4y+b~n>+*11LeO<wbF>7y;{MgHf!zOQ}m zPxGTQ_KzFBsoNyJXPegl?EA)N{vUmQ^IQ+#{&O~XX7H$T>B`#K{(SirFDoB^bDRG0 z#j&)j*2h+rcYc3U-n#X^t=`S^jJwz59}EA+9e;EE>>Gb>@ylo12d%jt-1fC9YeQVW z?zPv22lYOenQ#4B6nEY>?xSG#9?5K*?`hZK3;DI@B|M#-^fX%g-0PKrGVee3xX0|j z8TX(2-p4A-$1kM5{o>3!S9i(&$gBB}r+j0L`;d2e|LrgK3D0Ml-530RgH!K$`Nn7c zA3c7vJfGJap8M<i?0?MbGWH+8w{^{b$mF#B@lV{D@(-BLZTMz?{M7!V>bKq(6z9&a zzxBmF=hylji}!xsInBRD_O*QCS^4C%_7A$Qr~atC7C+BC&;GxWT>ZhN(|dPE#~iyH z9anXC2fyyR@0T0C*3H@&w_bhC_0{Ftuh(B+-2b)8XJcHx<h9pp4_4W3jaa{2@by=t z#JzFnBG$KyzWyq3@7AZ_y~=B<#3R1yY<zVy@vqH`jdgM|8|&tsiLm#-bNj}huIh-7 zT-V=ZS43yO-+SxaeUA5qjizy(rg7Ulzt8?rC_LZ1@Ozor{%fxj*P0)+uA8^iqN@9E z&FaD~={*l@%h<B*AIY_E-~Lnf)2)1&yNSZb7au$Pv;X5~vG5-~d*!!R2;8ht<on3j zZ}i*#;V09u`J2z&pTGXb(|scMJ{H+4ulcL<q4THLoj)y4uRrAbZc(@TXurkd=jVIQ z-`G1Dy1`-h^{w;cHRTHSzPz9F>-|TU--6=TH-CgI7%oUZy?$A3$KTh{&;>He_cp%2 z8JlBsbLZ=&-=)RNclYcrH<w?ry*>0jOZNWYHGkJ_{I>bvt@F0~HpTxqRhRhoxMa3{ z(~VD;e;XgEvVFSa$=8U4y>jX`Nq4W>=Im*^UfkP!{nqR11HURaAOBTZeCx<`-#h!4 z_pblP81^4LZ6=nz*LuxYKa0nI3l603N&24qt*-E$tDVIm;l9F;ldshs(0twXE*Cs) zR;v?t+5Xr+|C<%6eFd%kJC1Y973|*r?_F)~AGvCapPAk27RNQ?3VZi%kN(Z}eq*`) zxAx~hYt~dPjhN4#5;33MB;x&ivDbf%lWOG*5^LoOj{W+6rt9nbnFbsG@I8@!_$fWd zmNl=Svw8m3iuU9?k0s?rpFcmp#-i+f{^#H38|M4V$rU!8@0tGS?)jU4&M~j~e`w!! z>1zHxJ@3u><Vz&u&ECn?NczhqUHh$nbX9t9=y|r)^QzZW^+kM*+3>3J*sAmo0kh-3 zpB8vsH}i4pU(JHwpSQ(Z-+9cZ{(i#`#^*me!rv@0`|nd56Z1Q4zeK;q!JoXkaf$g` zerD}Ce(`Wl&HU1SX?6bnJ^s?u`*-yG?%(qz@$subv2a`PqVunJz#W_OtgGk!Tbx^S z)%d`wbhTo;XA$2oi|yX;@b^Q5y3Ipoy}FCH*6%oWF8M}({HBVQ>{r6tb;_&5JBwo; zihj39+QTScIPqqU=I>28F}B}~>hA5RzLRQG`)BF(-rwpGF<+7TPo3A|zkb>HYG%?^ z<HM`cn@j&~k9hvS<8b`8zw<vZ&i}}{en;i<t^D&-ewJDs`nX#sF5&;NEByUC4r{+F zEEmqMKO*_~?2n#u|7}0Bznwj=e>Y#o=BZKLjU9EbQf+E(E$xrEuWp!JJHO?3Nj9XF zy`eaGcm2{G$4$d*rPoxw`}pqIwfZB1=lAPb{1v_Z-#D(|1JCL6GgrvJE9`TRdGP1% zwYudNUtfysUwQR)gLTc3k7sq>*<60MUgG@Gd(}CAIQidX|I*3Ump4Dxb^6Dyk00Kg zuJ|{{+xooe>Unx$w#lH$vaf44yoyY|T6|#DbGg;?)Ynw$MST4-KmF&_H~tNGzu&4$ zwKxDOv(xt+{`&hy{+!_X%yA#P9?uTm|2*2xxbH*X$0V7*t9t+cXXteAo1SLQ00tF| zv3E+pypEaq|8J7>herpy+4bf2RD5`JklWY+!F>Q0w*iUQzkXO%KX2=|dG%>~uT6b3 z_x)G9=W*u6&kFXuc2)a-|9?sO#{YBgpZ)se-8%Dmi_gq0pVwWz<MU73v&YltKH2~M zSjBGB^c{R>v-j9^SGKD^Hwdq2x87s7{A{(2?exkj{oVD|X2l)P)6La){CM*6-|t#) znc7o(4syplkTb71QXNxw>z;j9-MY#DzW)jQJkxTQ`R_x;bLQWErX5op*EW6aT>IVq zd*weAhtGTdz4ZCk=U?jH*+lHlvswQxzxeB!4OP=3Y|A5T`6Fzt*UUS}{HEsXoTRTY z3159SR6W~~WG_9Z=GeE;$D(;3o@_RgFX-ssad0#Ht?yI6bQaXey`K6=W_>|L-0b|1 zji0^t{F`%kf6aus@|&lh`~2$QyFK4yY>v!5&R=R<aqpQ~_<5n#>D^a9H(V|LWKbP% z%e-cuVc2=ru=A#2=Vex>w?=;pe*EV1w)JxP9~#o*H&p&8sH?L%EE|{QH$S8}=D~-W zFNb;WJyw3cwc@wy?)uLhyX}+n4=Tsz+xp8tJtuws=Hk1RKP0YKubHPCc3yDx^OWTG ztDnoPPVc#DeE8MQW3P4|eifPc_05L^byYDnC%>82uBkoG*IrS$p|UA>&k^N&5Bls_ z&z;ZzD|P3At)G6vXXSZyH{VGY_P#!T^ZV2{hIM;h?|pt$_|DG<J8KqM`_FswtK(|% zkynzoH|K?)w+uTk8g`y%b$aL3;&zY^Hy?f_DN<JdLG;%{F8vR3>J?u@tL=_k-h1#N z+ibn*a{t9YS=U}~2(CGzJkR#%zWj5Qf_4w&w!bm0US+E<Z@Yf}vvY@+@6NM*e!k%O z=JZ)tjSsz=ne;U#@#~$WuR0s6wt-_y{rsliSB($6l6?H)^|t@4c^{>|TO6OAf8#Ug z^p3~*xAq)Qbg{qlpdkCc`6Ed^{gm_iA18edsyT8w{j*)QzU}#WkI$9QoBVv&=ZnQ( zZOZr6?2EJwUNet1?7VK+dE2n_VymBbTrK{@wA<hId4z59nt76bR=594@mI8~-(Mtu z?;)T0j^i)4zy0}=`)#$<uNI5F8@_rKbWXR}*KyXo;%p`Byt?D(;-{3=9g&MVe_DL! z=jP{sj=r<0>U@3f^RuO9;pdrFr#D|M?!WpO5{`S%oI?&r<8PY}A1u#}XSX>ld++gs zr)Bl+%Ps!P{XV8yD`fLX=D7G$`F91q+vi+=@bmlIKVP-q{F}13XP&urxn1Sb*Qbhq zX_d?ExmDVGb@RbjmI+^NlD^K_d+c2Bd5+cTT~~`+uNHS--F)QL%|}gtWeUD9-@g82 zYuSG$_8rF!<vub^xBY!>j@`~@;+yM4-xc(J?!LX}xao7=il5Hb_1~?2?`zuYy1qQN zdS3XuJ)dLt9GrVRzvOQ6SD6h}ZTXv?UoCFCTHJZn_~@(11W;_<N&cF%v8r!JlKo`! z+uv2}H`Si~$aTEpQ}XO|izC^09?0sw41V}S=-TSTmhTEJw*UO1`^}d99QVgx>Sg~K z&EJ^TuC~?xJ}*4K__^cTT_5M{y*t<ceqz{pfz|0vAbX0NuWml@>Sn^%JINqV%51E1 zd%xv<_RaG$>GoS|*M4A2ulQy>d%DFj>pKsdpM800a{S=#H~&6N`f`{z?t$`i)r#N6 zyX!yYRo5rHZ+-r<`p(a5#ed?;?JD%7%lB2xkF*tDGtV;YJa5=}DR68ZcvX4im1Xt* zyX)r(hMgDTf0N$Yeem1Xqt-Exj_T*!dn6+Np+jAM!*A<fEEfBxeC;l57Pr{D<?Qu} zU*5a*kDJb`zi^KK=T7PK-TXU0?|uH}@H?A79j~u_t^$=q8>_-1Y_r$Qvkg1XxH|nu zpmn@$dW7xt2ymk0t8=xFd1!m@vDo^$-1Gew$0zr1?7x4brmy(dky-J_s!}bEX4^cH zomU*!%3txdaJPP<e)IFM>UVx#EdJ?PKCkA~*B6!FGiR?(Z@s$t@T;52U*~N5wy&x! z0^~vOHS?sw>7LDf|JJ|n3%mPw99O>g=iI!0yX5=fk1YH8&Eg+^*!1NvuinRw@*R8T z*w!ELKKJ^AV7`6!_vdeP|IB$k_xa7|cPn38{%^AG-}mBI!|La^=ckugR5n`vm}I+t zUhtZE+-v4>f{MB4Y^&3IXV1S?%j;L4CRgyO`u2Z8{)(~<?`QvMtgC1bzVkrT?|;Vm zeADIrD}O#+8{X|*bD%Ki^U3LN|Cv5#t@x#GUEi<$_N(@{JvATp{8?o!ZyO$8{QTwh zSpR*EFC`Pc_H3-u+fcP`W7V?=TkSRTOvBF0t$yBc)%ee{+W2F2X%;^=zs>GUw>VlI z^MEhE@Spg%-r!$bDvl`kt#{aeZr}35#e1IakvRW9>$&__o9grXkN&RslzUzJ{KfJ+ zmCtwnSZ6)I{`{Kz^9@0T-E*nc&-su-wC?`9aB#xp{<itZ#f;y#9<b^a^h?`3veo;4 zY@g}!^DBOeu8se~{H^u5#r{cW!*@K}!=wK{@A>(vYWoMz*V&w}e{nAR{LB73l^>F? z_ddVspR;bBWY~EjkS)&{SEsjMHU6=3E^=swze#VNTz_L<)}BMW^Zs0Z#?1Fo(7fWC z@$Y?;>;(JP=j;ud^H`K`ebQ%LyMnmR>;E_Xo^HSWbMm)Km2teF%IVLcxySdH*jC*8 zck0=z$RtosmD^C|SC{*Kb$Y{9<72OO9st!GGn2orDL7r1HRtc`Z&x4l%6)iaZ^mDL z_<Vo*j>Fz(Urx^I<Cck=TDRk1b<LAKV)^RJ|G)pg|M0WzW%bAIHQnENuWFv$-O9(7 zzxP`6|9?=`e|7WGSC&a%=lr>N4_x9&tWIycTHFh&IW`}9b@O54-!D5X8sBFBQvW?q zmha=E7Bl~XuIUv=r0-l@e&FZTHSx{Tdyc<6^vvDHcH&+0M^e}8x4qZCnfyomdiDA4 z{kuLNEVkVB`APn|{s>$5HS;dse_Q!=PBN(SK(FfU-~4-U<jY~vxCgd=A6}_^tLZD= zbMWE+8+)$JvFm*nzCDiF@1tOU#W(-o`z)Wk{%EMav+4Sq_-}h^zU=w8-J1XZ<6U3p z`0M?;U(feq&rQxVuOt({`fRLPx1lO;BdARgy=ET!nt79jZ~c7*N|=QuLAU=iZLjF9 zp7Yo@;XLTHr9S_(&vNb87s%%oR4D!4CwRV~(zm*<bNZa=kCf-loALc-^~=gKq4Is7 z=ltmhrF8Yu=cf;>nWq_co@w=SvDMGzK<$a*Bd;tiXWm^tuNd5(;89oK{&)SyhVqIc zu3ryj-xV}h&zW~=-u!uY_AOuN-*GuRay`rRiq6N`w`-cO&k29<a^;&pM>XI4>9E~0 zZ~F3*=j`WyuDnxOl68IV^Rt;b>p=A(8_1^Tf}r})c-F0-uPl$h+Ii^J%*3xcg~#g3 zEG)LZech10<8ZaioNMpie-L@TJn_E8QvW9RIgfu>eK{<<?&Gx2Qa1B%{d|4-=3mWf zN!xtC_vh5p3t}t%&c8U<Y`k;b#wxQ2TlO{c+}F(8Y<#;CBOqfo%xCWN%l<v91*w)= zo_v4SW%cWSc3oS4NOoP}yc>{Os<O(uzFZ7kOQn~_R?nM%7S!6@y!-PHnRV4`<}rtz z|1js<o~m;js`NHiEsL<_UNbLx%{*wUDf~@(k>2llPk6Siw~Tuz;eRgUGf#U(uk@b7 zs<m1&@#m{bEsoprt<V4b^31;H2Pzfg=AV3L|DbK}vgg~LKfU+)mCZXFi}kOM6_?qS z+u81qv~>oTdaB?W1g*Z0J+N<ms(taCxi`OUJtRHnVPwW<q2m?p)-@OA*v)-rv17qq z`Fjm@I}UQ!JlVsNe?IBEzY?^TY6aC&HHn~FO8?P0adA^nU1)s#)y{*jB9p)7Y^dUk zu<ehqO&8z%8{BYu)cAKzL1EbK>tgQ<I>pmBS9S;298jJYbLZXr7s3zzv|ZCb#vAwG zi<?>e<Cizz?vuG*QO9X*|Kxd|jsCNDHSaBVFR|v=f4b}Io_$-+UNt@rYDKQuSoIAY zV-Hh7&E>?eF-f4LFJ@uE{<om@dqscr9;@ZH_K#%z^-kNJOZ{wl?xk>cUGnRx4@K60 z>?p4|S1D@u;Of&i`&#z$%)36l{JH!2)HmlQYwwV=eZMZF`0JVtRb>&j)obQm*1q}s zm1GjAEv~n*DsMxTScI*#%-Nj()ps5#zx&u>{(Rf>#oD*OPp#;C{P{*r>*3iK_|115 zQNH)EDD%Ahhe@BUYL47qedDiXHTU{gRnIHSOv~?8ofCg&vuoFD=D58r_WzGep8o0i z{OcQ@UoGysTHJWm_~5IV$zSgzd|k7#&ek+y|2(#zd$#>omizE#*0<WppD*&iFX)oq zbLi#Iw?EfD`=V*Of92P#!cKmReKXIhU*Gim`W*2GFInIGv0Zl~yC}Ch&aNUZ?)cpP zd8=RV`fO5YyK`P7qTRoB-t+hDX!X>deUN%;#{%SfN^WERoJ-4(D$5nt6#u$=0A5e& zr$Fkd{1d+`ij+b1RPWu&=R0?FTF=)%`${qy)Vo=?vFhEIJ^%ixhn?qJo!)=7xGH#e zJUC_Q-8e7gF21cc_XCsoj^n&?ALXWtC*GfRIsM8Xv1|IfBtgBX`<I}-DDkbI)6KVk zXRdp9>hmA5cYD6gxp!$U`}U%{6>)cOJp-2p8>`-JsFDNOr5AQyVe4n45Y0YUXErPT z474Bh2-c6feFM^u(uVe<vZ4K`eJywQ?^z4&N0ou9syp}Q9xpGjt+;pZB63yrF6F)d z3sC8bT<zc4G+!#c_;z@|dBuUv-nVRGK7M{I`R+qd@vkMb9y7IT{w-+!{^LZY?!CBE z@9y8Z`R@FU=mPQU(dRG!FMZzo{LTM6l@;NLe$<n^Z~LmwZLB&LVQai*UiO-K)@$a8 zhMni*f3y7f(WSZZmv`U({-&TqeELS@j#Pd!q$8D|{aJZl+_iV0j?|fb>r?ta+Qz)M z)qnT$9Q*mFd+$^h<zIJSGfz3}{0lo!sRXV*(jsiV!Pc;^nI{`|{=vSo`T|Q>N2;_E z(vdRQR=L))R_bhi`d+p<kCf#;zN!0GTYRqj1LOA{sq1gvnm4_F-u3V0&mS_!Y@hd; zeb?uk&B!&>SDXKPbm2WI{%x?H6c?f=rF{$1lhVGq=lH|7FWaSM?2hcqKVlqn@8G=n zN2<@4{^(fF|Frg7T-Cg%?`ob~R?Yy`QT*l4PrJ`R>Hxg11ohY4*UX#jeybK#cYu=R z6Ybmou2&qew%N(`_XA(~j)S&->$A@L%ctJId_n!lOWC#IP2PKse4LxJ?_l;h?GInF z%KkmN2<=N9-|@NmdClW<i;wSmXOl0NUi?*O1E>P|9%0*GH4hw?AIrc|wr)ceC@kk} zoG;5KwrxH0JkYHqA6RzpKO8(Kes1mKDR!K4g?*1j{}!}o?|Hh1?fm-0=j-QZd|&=1 z^~;{hN!IgiZk9f^)V)*rLFBq=*m*WkOA^#w`xauI?}W84RpNX5`jbqgTIzZdq?S4d zsio?~XMf-EY!9RU`rPO5=jGiG-?06K<htzhpVN1JK3Duvr(7;S_B*6x-3)5CB*IG8 z2;1!uw%;Rcqu0z^{OnEoCz;>#o>)O^sdd@NwbcLhUtbmURm=RFe|Gu#t?w-h;ySK( z-mO2tTkShP{ru^@&#!*ITls<Mdhhc$t?z20W?eNt@@i%R$euedD(mV&1uVEegeFVZ zZ?(F=XFh&YwQarVy$3w!*X5(sQxzNU%HIRE{UG&Je!_SExTN>|Z}xt$sa<J(zp5|( z87QTnzWei$Xd0*(e->eD9$}kp>yHS|Z73C$Gqj>I-vq6w_FQ@guBh^$71cXXMb!(g zsQzg|E2_A+=f2O|e0|5~4_ntopMQP*W{hnyIGakXPGA50rDeibp7{LdtDp0%el7&+ z0&hNW(D%ji=O5+X7j}nxSJxe}oEP%u@iMddkLTEJd^Ypzse-QS6-TUXp6}t3|L}^p zEdEd&+xge#yFM>|{>k^9P37j-7eBw#H48t_1!|XXKK{zGcJ*HSh3Orj`eWx2a9y16 zHK(A&`1XIf?LRuIZ62LH`}XIH+;6q2^B(^Y1NErn;61A4@E%q6Zu|87hqq(j+nm4m z@LcrypVoIPzvx|Om)SST{{InPzI(anS3eh7{hSH8&i`ALwV}?I*J9_=xBolXZL0fg z?>`Cqa@cy#BewMgRoT^bt1WB2&c09G8x`}2x$k}M=abct-qfsHKdWOlUSG2D`P1g- zPk-O}`C@0)ertdG2UVXOH&?NNM?JW~Wu9di$fvzmK|W3R%445$fBMGxl6-l|zoQ;W z`hAoW-*Gs4&i!eiECrhBe*F8w`@`RCW#>KCeSWl}&f5C_isv`0{|VkZK6&r!-sdkC zgN6=j&dfb;pBHu>t^J?)_07gAKl{Y*tHGhk`aAN`i*MW3^Ts`3`o80!^1a8O_})Yp z|LU1_|N1Z2`6=-geV>2dtZB_YclyJpzOsLBg1^-rI3HU%um0BD>+>#Oo6A1G@NPw1 z?KR{&3f#PCK7aG)E6byxPR`7PuV)I6)|pxCocwn6wck4~{??w&Z*j!^&V!rJ-~RUc z<zf*Ry<X&bMeE_;w`;oj=Y&7{I`{3rr{Zt^%-CBpFFd~F`QGP`yx-Z_?U1v{-=9;A zG)NV{@jG~sDj77S7?b?<%*Lv)9jW%F=iVj#e)W-!zvA0|GyeUjP&!j~poSl$Gxh)c zyZbd~K~>a;Qcx9@zvJ_<;%~m?a%JlvrGawT`IFn<)PlM=JsUyA-F5J2ruLe7vT`^4 z`@47F+PCcfw)Zz53h2K}`^>VuqSJlPLD^caIrbNRneI4PJ;(0EGk2T4yTLuF`y0VM zsaQ}?>iy2o2aA8!me2ch;47r<{|ZzhVeLurzx}7MSbp6HruT(S;omnrFP`(5m+yV% z=cwXeCbR5sRPkCIb+>tRv;WQSXLH0KGQTT49k*Hj_UBI*KmSsHXY*&}>ubgTjLYqQ z?~Jrn2KR47!J}~S@lvB3us)PNybpDD@7teT({~*ESetY2@dw2(hk5zx6Tw4$@>%eq zzW7hzp}xAOprO9_-Jqd9>GFMl)?yv%vql=~dzbw?{xReGFMs~NXfNLZS~0k5+w<k_ zzvs2tDf$(^p87yYzwnR2?EDY2K6BaZ-9LB#kG*r{H@08<{E7eFp9hxz7hCuLd$Q~E zo}Zh~VvJDLZ~T0<xDPZ8XMFfo<fERyZwfvz+`i6uzM%cP#gEl@??2Q$Cx2pJq1Swt zev9KD55H`;j(M#6+_d7Kf_1&^El3~g-?MY-_2sdZe*YhyYp&mg(ub<QiK7oCm-Bnp zpWE-^A258kIHnx;;FBUKD?OQIf9O}}j>D$s<TF3ZU7utA;HmT5KX>cj{O0;5=BxMZ z{{MZ<JHOf3H(I~95mzsH{;@L#T1Rz)QUta-N_pLl^G{U1*?Q*vp7mI?@8gq1v-1TV z*LNJWj=8k_(8tAV!rP1QJpOReZ2rIg+VhQ{o%Yo2fYed`S)e*9^uf92$vg9G($&kK zUk;vuR7WKs)%K7MzUJHCQQwS@-&D?t*WPnba-Qv_XR3W4`O1GRu&lK@TUem=?X$$M zhiv*ECVm#$Q#<9Zz2(-u_8Yz*`uxS@UCnFDd7u%4%Bb>v7ISfo_gU{n9`AF%y>DAZ zyZ4@op0m+&9x=;(e8N*!?>?X5x%6xC$87oqzanO@|5%dz{ppTlpN%)g*S$OT`H>H( zA7#DSn&0{Q+UH**XMBFHiB_VX&qA%8l3%uK?>Sz5=h4;2Z+;3suP8FByLbFHWW3M* z9O`&qX&H39&k{7=SLs(iZ_eqjFDlJ9@2sm21J7#MhMj-8^KI={o{d#%;4wvWaL1Ro zZ(hRh`;W!s3;W&oR4%fWpL=}Y`Xj|M_9vfd%h=7U+i_4;?jzrH{|(RiD^6C*+C6$( z`SxE-wcUKsfZtEhfZzTG>wdi#zZ&@0KR$2&@z>Wsf6t$o7k*w7Jg>pBI=$~IXoU9I zudi1U{#Hp9d`f`~_bL9~=jmv_=dfnp2d?G*8=r5Vb$R)fKb_ZBA62z`@Waz=e$0(` z@x^fs%l)^0{=Oq={Ylq1l|LU;RtA>aRjt!|cJ6Zb?s+kX*34rB)gI58RzH^lS+)5P zr~~|FBd7y>cqgPERWS>>AN8*UKHfLqXTSHY?<Ey|+vkWs{%QQ?&*FLM?|&|>koVVn zTlLs-R}Uz$PcMD``SeWCNZ8E8uQADA?<691O;6wc3+|d09ITsWQMK%CcC+}71Gae| zI==6y5d#gOFMihgwL1wk+*jWP9q!v+_U{cBw1SGi2&$m8cYc0wYMu1?)vIsBfI1ke zVdrJT&NG8MYXza!@wUq&Y{kLjw3h2`oM%%%zpeIaMXR(;W#3tLnMcZbAKqkrt5vO4 zlCi&9wbbIcwv64`XVEne_6V(?pZ#55ysYj_oYnc&t9O6CS6s+jE*F3K>x0T_-Pxe2 zn$1UFRUUX{xpUjz^%x<k`+H^KHydal>iKP(J$&}|^B(f)n=bcXWvN&2qvY2^w)Y<= zevaBx+jw{X&UJU^Z``g>xc95g-g(yfHtE;PpFiY{c@OSjfSUf&w|>K#{@~HscN;*X z-PSf6=F5reZ>jaoPr1MOuwvX4l%YOj=un?=Zrzc~;x}uR*A><#|K2yr_LzC$-tT)N zz!^8VeBPZi&<bkTPTTdMVKD7A=mV!aTJOs5nNhdn5ci(roN;@P%sXG$XKqom@@)3m zmzOjC>AW_5AR_;<BYpdp_p^)RTKIPy*R4LAmwNuR`}x<=yFVM0-mN;9|M*<`cGK1A zEuhZL&O@(u9z_~6%}f6d88f|jI_E$4okync3j56Uw?Eg8c_ejw{f5f^!@7S9nh)Q; z{k^xM?R5I>&)$2U>=BXw_=RuJ`Fq~yH(T%eJoot%zjrlXV%FaI`RQC5csvEE)eoxY zW8c`p>UoQsHz6IUO4Yy64pe;VdH?^{A)|cHBOs%E&u>9T`BuOEbM*hspMupHw)*d1 zo#UVPdH2rGFW#-QK0o`+GtgX3&W5UY8>{3tR`o^LF0Q_TG0Iob3mfITa~V9!w>}j< z%2x>=<*T1NcmKZWcjs@Ke)03Od+%zB<gZVC{$<}gn;r9CBTu13;~M3Q%l|#=&V57; zwF%mP`U&Yj{bPdmpYA6-e=nB+?msnx`cLdTLH(zMayk1WrTtfn54@7JyD~5QykyvU z)v)s-tJ51nQ(nc8vFAr!e|?I6&wJ7f?LXa1LGC~8zW^EK^PcT5pLW0a!5)G2_jA6> z7aWee+<yCW(fi7;9OZI0mr5U7R!^~>Z+C0WJjJl{ETDb`Xl|nsG~>4OIC!2Y>1&T% z^8M?Z=3Ab-m-IX8A@8{lZ`PXW7xt9zILv<b<>k!2=H9#V`#RvGeD~A7`^#lRdrxyf zy(jtipx%@4ou7|7k$X>T=JA4Ni9lnrZ#>KPSGh&l2HR|yFRGUX?L6gghjyN9ZoUI| zo{mC0PpY8Kll2?WC|}%%&mW7;=ZX6lKCeE1Gx%=h4--)BbUAk&XwHjkbvnGcKR*$* za<Yb3PP@UC(<h&AAM<9PFZ7!q@p*dGdY<JKz3zJs7oS`GfpPu3)bFZqQa|ju2ktxF zd}mYH2kJZRMCm)t+q)hk6fagIM)}@veZKfPWR&mNGi{lDJ+P`te>=Qt;(qgQ&fZr2 zlD(g8v_Vx<{Y2~jxHrEVy3Id7pKnpcUH|W=P<0$qaS9%lm3n<Wc=7X}pRY5jzu){< z{$oSB{?_-i_soaQMcF4n=c2UNfajt<o_jWV`pv)D=eR$7X)gOG7yibya;L4nyp4YR z!*lNEub!K`e0_;+<y53$K2y-V7ii+`*sGh#UuC}7*d7kQ{XO+fdRsI9&3)4<+Jg5S zXMOiU?74gzC`Wa>+dTR)^-Fbct$+LTiqn;b^XiYBv;X)1{O#(W*<bhleSh+GOumi# z`ts*<pD!wU{@Hv6j{3<QH1Ux1_p{wWP#-EIA9+H`{uE?FYW14<FKXZ#YAdvcvQK+{ z{$9>|_CI%~KK~hgr}C|3?P_cOd(U=#+_NedV?wH8H+({>bKdjs>3!PYHXl5BJU8An z?jf7MUE*iP_8%S6Hr4I5@t*TJj<4Ssr+MxpSNr;{KgHxN)*pH8f9vn*@>@R*t6$oz zzxVW9_4%W_?^gbiyWaf#ZFTOtd8*)Pc*fPwm!S8ezS`JogU9*iZ>s%T(adi1d&}9w z-%$tp)-RUN`yeN8x&G+wYwzywxex9|F@kzg{JTKCD8+KQx*K2NlPEts_JV5<<jK9I z&tF0&q<-|g&EB;g+>Nr!M(#%KzX$0?g_+quding#KEdld{;jBuKPmUPf9JjGxSBh2 z`RASX-|_j$WY~n%@;6u~q-_1qKe$|0|Dh5#()X1WGSc^<8@gmddJS~R#8%{yzVtVh zKVMY-jVza|J6HPJ^8X&|`Lzevfaj-IKj#9?6Qy^8rrbbP{m(^r*Uw|Twx9o~#ji<k z>l>r@9J4+5@fmbBYJbjWx%T`e@_7Y6RKc@RKh@x~QF0aY_fDUe9$)s{`uxdo&`80r zRo3x!XTiNSwArYiyO3t1;x|HOqdtZHg3d<Oot-zo?l7dDGLMGTQ`>Jq>#6H+{~R@c z3z?0YYi(a~ZvWGBi}QECv&r9=j6Bc>Y5JGHtpQbtF^ON#d;tw;g6E@tw83hsn)BeA z>V66+UxDYN*1~J5_iz4u{r(oRYQnzY`Q&@0Pc8p0wC1mSvg;UVL4nK$P-S?oF6a45 zlp6n4W!1JF3HIzVwWq(C{_MQF{{Z8=!XEcM2cB-fx&7Iflcx2vzpgH5&z^JL?0w<c zO6It_<LB=Gy|Qopg}C}>yS~}{-wA41He2`CKioC_=l<`!5w?fpZ+(7cdHB`NBcN3- zI^g-kcN?p=fd(@{{iyJ7wkOMT<BRtkGMra){+T4-M=A4)cJE&y7InKJV}1J$Kf4@% z`@4*V{*l-3Z~tY#v*G#|^KX0ZRLA|jHkW;W@!g7ZeeY_1Rd24VN1yYD&F!st{!;Sq zLCBoc-viJ&seF{Nz8{P4%I}*DudV7czWe`6fz(!iH-l=c|BpbmRs7wbNhZkSQ%G}C z_28ktU*8u()=tRZg!H9;Y=rft-b4CQ?>E&M=6zsV{(jp}DgXK;aA&Ii#!p52^2e1Q z{mb`#pY!+h-1h%vcdO$5AAbg#v01aB>Kb^;h&k+hLD9$m|Gu-XfDZSqdj9io<bwyx zzZ@35_t-Y?<E!*<e>#e7EE{Y6e-uNjt?v=2)s|ldq}sBZXl-Am=l|y1<jJ7Psei|x zf#$#7Yyi!FEmz<A9kplr#l}`zhIiY4+q{p0-z$#Hj=%9aFaf-VqCWGpDBpc=`#TSW z`YYN`ADd_YK=pa)kB;r?n|~L76PtJE>$%TwMBeTBI%oc+x$eu0Y7came|SEB$MJ(J zL49oaf;7nJ>6&<d-fQcZGpg_31g^7|Z+t)d&n#G-Ri6y4vwlPCtlznIGjBoatnH9G z%W^Ha&hmc_s<U3+t*m0ZKG}E{#y}O)f|Lri-}`$0o+<cXefzr5`GT(RI}XU+d-Ml9 zOd-}^apWWWi}JMJ^Z3qxoc1}%=KtQg^;bT>vE{Fn_doZj>anHOYEZIIFMj@c^K2aT zRWWFgC+Vw?NxHrF+5ZX1)z$wKkm`zkZG4FzsJi+;0a{)CPkDa+Tq?A>Is@uZ74QE1 zzy{Qz`g{wsLuH5Ap{j>=sD5^zg?6a^Ksr?QHy|CVx+BmI)&H#T`uYjakH1;^dC#r| z*6(e_CtCOGKdJh#XYYoy;Pq5VUu_b;&WSm4FZ?_MXysjTBWPg*XwLNEw7*v@q;unK z?>%5V|1a(Hhedz>RJ2*|xiZIY<+B|J9)ddC&=J49@A-OZ-_zgd?%45q?emwacPbxS z&ffj`!z82;zoj@w{MJAJpZI%L+^v1-?aq6CEI%te=aH)1N4D}Wng#y?Utb3uFZ;`I zw*1F0@n!crvSZ?pfhVO>W8d5A>pcf$-=}vgg?8H|eFd$h3I|P#!bhr-K>c5vgs*Ql zRI!C``Q2Q9nLoUu@w>&r)&FmNwmkQdt$nibpYQhK*JoW`e(}%aYuN`h?H&o9Uzc*< z{P`XZ`GWrFZ?j@=f+wcJ3!gs>jom))^Xi?SZyv%?TkYHhs;$D#N7ywY>Z+D+HLcz; zkAJwBy)XR1`RgHD`}Ga)r9bjapTFh#^oo;}ns$#~npT1<tLsIe%4+M~pD%Xq>a&iI zyN<20@<i%WS#Eq=-^;$|@Z`xC_}>?_OW%3?rT)#&mtWt27x?|10$JeqZwqvR-@Gg5 z;$!Zg>%Zary0VhFyzaxC`xoZAn-|@!ym#jYW~XX4W~YiH2fDy7A@h5AMc3!apm|>P zgUaU$?_A<9-*GJZT=s`QPs;xNT2@wnD$ecv?Abd%pFA5IKJRk$j?WLKt;<I42!xf* z19t@0%+m(1X;2M2A6ERW_`)BNZR=02efy7<ub@BK<`Ju&|H*x(%l+5=OkWe<Y8~_V z&t_;%HSg59`%AyovQ~HJJ>K=rX8&4Hmfc?b{Nr2LoRlo6>4I~-ukV}f$(7K0YR)m_ zdg|XCNIg||@7ZPRn~-{HC1kuW99&J^y*Zb?yzp+txqsK^`u{(Vw@>vh3(}|Z%ZB!; zN>KY$yKnw|DE^{ddd~s(bKW1meJT6@<lVP_2kvp7zkFtHzU}ev1<#wGf13BMrci$~ zXgI7Gy#5V&ey=QlcRY9?TJFYquk-U^EBqvLp)35pZv?OK`@aaX!td{-v)3!C)W9qJ z?q7$l@cRu~;g|FF+~ME5^K944ClrH6{*emQ9}cK1{LcBnR`@xA=c8;oYUdn%Ry^mj z`n5j^dyVEiGL`$tciwXSA@)608}3fuF#X`?UyASkyx3W@!rH&+`r_wz59h1{&rE}7 z`F|BuLuUEGJz!qYlA(0v`B%T~Kdx&B+AUXXR&7_+QXAj-+`?}6*>H<LMt=*My=@-J z>g`WD4_i6mehaj6LjGyh^U7}-pp_HykIyacea$R)|MdL&N1GnLueZB~Ww`Hn`psJ2 zdwFM>{mv!+wtvKUUM~H<xuyEw4}8ma9MqmO@ASO;S$^|_KCh2jFE;&0!{hZgYd%%% zu0LDJIPV-}>BJ`%@X`spi=d?w>N`JwIk`@~6?dO%Lsgsq#`mXhoabSGzO7dGBhT`R ze(pODb<dqY3>roU*H719eh-1yPxk8}^;5mn`^P6Q$L8C(pD%bm_xYol?`r<(Y{r=D z2Z!jct-ryw{ez~zI)x>6x39D57c^Gic_8?{u(IykTFY9uv-=bOE=u35Z*%;odG5YL zrq5F=DjBWozsW!s_|1O}THyEjPUVLh&;mbh%mscG3*r4K&~nLe&;md1TaX2QF0fS- zm-ek+;@|T49JG2m4XvK^Q@-oRr$DNws*RxPNx$&<NAFnvdE50j??hUWHvRT*@QO5y zH5207AbqLb9cK@Re?VC@aeo=SFV%hP@9ept@xBLB*KMD-e0>pU(ZtnvHZ{Gk5zD5d zs^ekHroju`Wx*4O?d;z!KYo<7ZT-vFZ>q~Ij&yh5wmGO7_ee0mz<oZ`^ZOg?c=JBU zEx*6@r=Y)n;`iPi$G*OP``hcAMEJVz^ThMP8MpVHP37d*%3<dNi~s#KtJ;if$giUN zuKXF$K*fRTIS;O?zWpilyrTKA_f5#^zWbZwm?5kC!jM<@<$_oDt-D+KqwM<H=YM41 z)qL~YT;&$A-#!?rSQT6SoN@K@C$VMw!IPvivA4eMKcE};Snhd6v1IkWL#pcvYa(ZV zxA<cR8uKgPEN^r8DgT?Fs&PeeUHuim<nE=vU;6xJ_1&N6iZAT|%}Lpn@2jzYW?zrK z9&PpWC(LE@VXIH(#GL!K{}}VVhbN!DgicCH-Tr);|JHYtFPw$9pj|0>*pQ#-n?Fa} z-$1%j@@1ffeeQQEx0K(lyjOGO*{{Em37~d4V$84qCdQcGjz#dE)E#h7Dm)3^lX8dm zr1o!`yZ>Jcbj)w^yP8j$pfSJ8?`$$+uSeKQgV)?Chn>Hf{>J8O&j!$%yKwNhruUk8 zx_URxGrEUwto60a{;prpP%ZOVP`{uu6qK8a&erGr)w=Ug>bd>K%Kq$g(jPu$mHm5H z46dN;-h;YQO`r-YzU2AG>97f@gZRe$_T}84tdj%jN5$Mlsh?It>ZgBao?Tvl15!U_ z-+=U^R)Z_3+Ou=n<IC?>oZI*C-17S-khy74j}5fy4lN|lL3&ZKmm$5Vn_F|@9!b0} zXy>1GdHH1-_WPTDAD(}i-@Kx+f6nv=Z@0evcXIpNzm0pv=Pkcq_&oaj$MU;BAME@y z&pN*5K6w40%xcicBJx`PzX#rhgV&$1f7^WMXlYJ-^q#}2aWU7Q>H2+S?60_V`>$** z(;R;2n4h>={NqeWA4>ifv=5~W?nB*}+iqV7>O)<@=tF%-2d$xjuacCB>95;ysCv(F z-MHBM^FSA=+NaB#Z}=(m{7a|l|D~u4CbBmC7W!sX5%>SlTzT8+`%9jmTp!avuYCWu zo#0V_@EZ4*`ERhyN9AR}=c9H`w3YvR4Ll!p|Hd<InSWidLBIXm;Ddhe-+%`F+^<`o z-+X`f=WE6P*vsYqUi`{<UH;K|`;VUv|M~fRKB&!ttN&!a5!`>O-}ZjC&dc_4i=(`8 z55Fw?_9v|P*OXcRk5z5mafDsw|Fvh6_uqi@pVA=xr=P3A{U>+OeAK^Z=PvKxJ@3z9 z@O)In=L^5|OzgWWdok8Z+Q0p6^v(G2!LzyX-ZqCd?>%NJuju|9y#cni?~nDbzo+4A z`|>j(Yy1A6{ax`%9<;XaiO~PQ)snL3%jb0q@A&+a6SBh3Wcl-`V$jMv#8hABn>z4R z-;M-(Z<)KNzHL1S-zs%)R&BQ5{J_tPzjphb+4n)_`Tp%cxvtNd{xCJ``FX!2Xh-V* zj?cG>tAfhqSU?@A$v43rsin`p+{yHVO-&VH_N2CNgiKBCTnp<-i9mW%{|`c{s(%-u zRaJiacYXg%NL6Ju6;xF%FMIwmGlqZO_F%}=)YUoj*w4PSv|Nj0ZmO&rIyYr~!^TDy zb#6)>J~y>@@!kEFd%<-T52&t6F9Fq6KJRR7Hbd*G6_&Q@3-_+Cm!Fjk+B=XIVT-nY z!usaEW$7Ei1Ak#Cvs3feLKdRO=hPj!Y<(L%I~DdDG&_}Ey!ZPai`~}pHq)OMJU?j} zD-W4fDZcsn*Z13&Vdwv|FYJlsSdOyW?nq4O`i~2BCv3am$GznsJHMRGj|T|KuHplT zXIJr|(TQ`*fsh3&{d?P_V!Qv=+~0a`=l?rjBdsmf_y6T7`hBnZGk09wx7Ytm{~7=P zRj*ypoV{mX!&zyY{R@6JEbpJm9#j4-A@Mo;cf057&(D7>rvFiHxyAl|+xSO9`2`j6 zv)`NiKN?wITetjY{Vex64~6G_c;xi0))2J*y?@942HWtM8~@6GKB=q~ESHPBQu==9 zo*CBhF?aU--tt!e(CYIujL$k3ulu?4Y_v_VJvgH?hn>Hv3QCY0s?;oY&3{|pS-t0& zd(5M=f8YKTyI#>gnf=C}j>DaGI}Rrv`Tme~U47>H^&h%E8`T{8?ET5EdcCc_9%y^p z%cXaJKH0gq$2vat8hCootGFu9bUA1ZeE-$rL$54%&$+uCw4sh=b$a9FL*Mov1I>*# zemTr5SJ2*XQ9Z%de*d0YfA{$U>Hkyr2E{xU_4{}Gne?7#d$`Wa=RDU>wzf~=-~0Tk z=$)Sjiz{8r<zi2MeNb7P3fVJrdCt7*vo9+Ty|PUF+Osa{IjD0F>eeTGT~m0lPD;k+ z$hXo1+%^wv`POB9=db8H-M%S*&PDa3!g__D?7tjlzV}e~d8tL+jJf;w&AK~(<MflC z)e4_)UcEcdHvM|R^Mj_b@4-uw+|RzOyfkNCcQ#}J$C(YFZ7ka%orZOws*-<u?b&s? z-}MU{`YjF$$33b#3+l?P{P{m>zZic-`|1Cie+s_0$Um~${l?F;rEh*~eG`kl{`Fk( zo|5Oy&tFx&v)Q>1)N~SDonFCQUFUwDHSS_@D`<mYBzSM#on-Lhs~xHKo274kU(&y& z_Nc{i&Uv<{p0V<Mlq%nG(6lyc&Yx3NygQD#%ba8S{XX4%6J&*9|F+-!JCfJm?0sAL z^M&R9PHX<4>vNw!TK2A{&UiCuqfzkLmpd=b0qrybEuICBI&!Xl-g4D=*UsAb^L0rv zHpjkAeax%(;n7C3^@UB+HV>MgeUUUdeo*(#zYjiN4$H<p5`Mn4;<v@_`ZDk8`jq!m zpZ{D7S_xm24Jw4g-_=w~Zw56kywASed2tSCm9A_UXdfi!>gSKPLFUMeZ8pu9319j) zyIVTu@zck-_a5`ee`rbHzWF!%FP0sDcwbL{z^7mMZMIqb<DbHB_6fZ&sHwKLfAapF zjsE)w=f2PDw%+ymgWtO9^RGd3rb%COOqW0RD+Y}d_eIzqw!Zxnw3ZCAHDXP{2bW(D z#om9E`)*M`$=3d%(D`?V?B?ZsmYDv<)8xPI>(B>0>p!+g%M{0T^IPm+eRn!&%Jf&> zyFX8M?%Qqc|Nddsr#p8pKYMjE>8p&%^5=oYRp*K!JvvSBW=md3gL?7u8~?uVIIdoE zMEIV~)p`BzQtyX9mQa_T{oKOF7__d{<{{hl^o`H?D~?t&+dX`{_wBz)dt1MQmc-q; zKKJ{))4z9qe(`S||BfF`f6ueC$<$nwu{kjBI;cGS`+Xr~?z9uMh@-guYxS!Qb^gkJ z^V5FYKNQsG`n~Vw<(KW!a~|?Nx2SHj-G6nC-PUL6TjOlkePmQ`Je$tH1-u&mr}5iA zws|+Re<^^wbhbC<`p>)FzT@+oh3nG!jlq-V2f+=gW6<ez&?0hhfqA(7bM2e-#^&^! z`@$+3jrSZEmHQwz{e0Sei^cx^>NXFbY=60Z+k44^p6zp{Klou;_RsVD=I@^b_w=Xt zm+bv0V|!{Y|Gd@iyFdRh1kas{fy;Jj@cvlF)u6f4KO4*TgVScr#`&UsTA&_XVQc@6 z!@~C-|JwN`y7-sRtp9yqyXB7WE9g0We`{r5`JC_vS3ke`<C^)N{m<RC&wqRbEqtzS zvgV)nV%O(6_9wxkK5M}HS@^-rcn?m7PEmk2NKD(2YA-#<?*6x}hb`ZIc*ANYU)bTl z<8b%#8{e;f=`1KRdp-4`&~po$-DkJ|I8bRCxBtSsc-yPz{BN9oV0nMX=f%%oWxlhi z-1Yk6=Wmi`;h;^4{h-~p#ov4&`-wThoqbc#rm^(y>TjD5AMMVGci(eRId0F5XAd|3 z`2CTIzhbFnt<l-S*2DJ~%fI^|H{W9Y@!M<f?yofaeKl_W<Eqz{ap0-+m~uJ0^Izkh z?dSg*VXMAo-sb0^USslCAMhRwNW)$?>^x)to97*?r{CE3On<}s%z}>T`dcfzjQ1Q8 zzW1QfPE@b{{;$#<hpXqD&8<81dHT&-;d>A7a=-nZ^=-zU6JPIr{&W<y;35BR<u9S@ z>TBw2{UU4^PrvmUymMhAXs-ukfQuP4*`5BuXt#ZZ<==wt=@tFKbM_yar(f7<Zc(%J zY&oc1{fB?e^$z2jL&9-;?(EZlwueLhgW&gDpS9mq{(MsT*Q;D^->K5~JJ;O(`RHmI zs2h?N0a_s(4cj8fxcd1UQ%HYKSZ433Z~Kqf#ywWn`?ze|^XQnzvVL*7pOyLkPpI2* z_+j?j-)egfe6@V@Q#7tHuFb#VpVhte_gkMIeGS^>R@-bn-)4J$$@A66z||CZ1`bh6 zRZm8$rLN!jcfH~W@4UU!>UJDte)mBzef{R=&-1svfB8cA(VIEfw2zv`J$w>lcK?Ct z^U#WaRo3-C#h}$x^xdBai+`Gx%k91U^+DxlK1eln_!VgB0i>3SvW~Y+25(<wTAkj@ z{q6FD2fW+XGsit(d|&v%Z+HEH;+TCCYajR6Y0fL?oNT{HUhV^5zUBJEg~`v?-%tIX z{wDR)p33dk^Y<PveQjC2-kSg3^Qv~x?orF6uQrKaWA@yK>?dvmwR1shVUoYTDLh)o zXHhlzZT7a!zo%OqaG&#lQ@`+U8mK$xb@u;_Ut22<SjV)P**}tf-dpii%zFRNhT8a} z_ZIQ*x>wm}|KuF|`K#@BD?bTB_e6YH{A~|-J12M@KV($18r-Ph-2Nv0o8j+yU)FA0 zFY(;s;O6Z&_Z(1+d(3CPW0`;V<l^Y{jMIN~9R7Tx<{osoaXV;QY~%Gek3l{BIrWF; zvd=HMTd8OF^xX6LX5jAJQP8e7P<Jj7G{XIEW7WJ3RiFfU{>JyOZ`6++wa$sRy!U`f zKWg@Mi-Wv!9~_H+@yvSsU~^f0Mcl84eEJ_dKL_opU4Ga8_U+%w)$47}+dn_Iect5r zpjD@TS<CnRSp;5n3fT=N3tHgyyyNQUmaD}dRUyNTl5#iw`&O6V+_!DVLDRT>x1ZUb z`@ra5@hx?C{R01n)1M>vvy@kKZvK3`ruX^#jlaG29R3*o=Ff!vyFc%FbNA=H;*X)_ za&>1*-&g)Eg*2j%f;Rnwb}T1-<=GEf!@&fa5l(Nry7|z->X+@&paq7H|I~i_)8cLO zTkUtn{<Fz3m((x*VY;^ZfMncbPIHTAd&J}m{^{=4Pt{-i{Ok6+KTj6d7Ce7h9^*f6 z_WN5gw$ZTO{m=O~ARD#7J6Q4{%e!RWf|hVR7PfoDnQxbH-u?dO_p1wgCiCC2IsT9p zysDMcd`H}k&+L01?O~k%;oI-B`jht#*_Ym}i2HK@R5=}->uz6Cd!T#$r}Oq7<=E{( z`^)=4`@TW@%acI6wC*JSooBV?{^gF#{5SvkR~+fK-~4`d?Toq|2PNMX^lk3H@j3eJ z%i!!f#n)FKOUV}$CC)zo?+$ov;d6P=+QQWJFZ<tu))syhF1M@9tABNF^WxVx<7a@T z!XUdMVv?b&xy!-Z>fG1Ndl-M~yC|q)>PM-VWPcx%tgW(n$f+*A@P7Qw?>rXAqR)93 z{3*2lzvTJN>Yvy5^rzbw@BJwA7qli|_5Q-=A2UEJhd^tSz@2+gRS%hU{(lX!mAFg$ z+vY<T)pO#d_Z$(md&K8o(f`_iQ~ueP#+h}2uf_k${yt==r=Rv&=Do%G1FQXS{_Nhr z{X6r&ch`#l@Plfm-|ppde<r^^SN!k18TiBjusxua0101t{6HQ2jnHk7-gh?5=k>GC z{eA19OnJpO$=UrDN7U~;Jh~rRGZnrD*Gzj_pfywdwRiD<SI?clVfw-1fBB$c=wIdK z^ZvAhswQ!is%ieWeV|b?;WhKT*MPQ9f!1_<f0Mra`S)$>mG3<e)VE9jT=3}qac-Lj zQ=fe~X>weYPx;qFzV{zHKUeMfyXWrypG)t?Z`l4|e@wpZ`Tw^;yE*?CK7aUs*F8vM zHNrOifBJiHgZv<9AzKW159GE8TXvgG^Oe`_OZYwO|EYb;4=TphU3{i_?gN*9MKk-a zkR5xLe0>dCaJ_rVS^tWEs=M<KS?`&BbpOBo?(v(CKk-@jectr_pd~$D^LKszvOQ)# zq%bhPjiYud5Zi74!w6P8)gOh_PH%GeT?XyvoW3ni`P>Ii|BAw}-}`2KR;oGRerNM_ zr47%YPJaG1fA{AP<uTvqoxWfCynFLZ@TSc~&~!oGM(CV2`<i*1H-na&CVcfN_!4^i zztH!J-u@j&X0N~bS$Ew>KK1zRKLy*TFO+{*@T2-$t#I6fUz@)D;aYE@e^}k-e=VeX zx--{&-eu5Ihv(n;@BVxxlLp!y7zQ4S`wpHt7Y;jr)AFqys2{8rVaq+I?l80$H5=B8 z`aR9o-hMiy7d3rzoaVZZT<X)e|72Tlx&F}S{M$cI+i&`;{Ov+@+~1AX_7!^0<#Kax zgL+YNnAOv{Y~)UqX}W#yGxpop8TCIje7CTef7kwjrQZI-`wHFWi}>$2;^|{=^Fa1q zQC$1u_qTq&{(SqdWc5s&e7y(f(#1>eR-F@jXR~9%YveMuFwxo{G=(DxT730f46>L_ z^ta`M7ydc%o4arP^_F=6Iz)B)j(>8XwYp26g>R_SmHWUqJ$wta3-$i?&$F&?!Cj~` zU(XfS6qegntkZjVZt@A}a??FaY}bPhHh?TQMXBg@f6t6PwNL$T@a^!P;yDj)^5?`o z5LjQ>E**1u`O%Y8*IaM#t~v5>YOdY>Ew$j~?{aVcF~7T!T_#x_XIFJj|Mj`%(>veU zh)*wn{`m%YHcSLmbc4nL!6!H%s`+&Z_pfiBFClKfrS@q>Gxwe!6VGPPdBDaGTK&#; zwy?l;xBVWjzXk2ne;ldQy!UVBUHjdW_S$dye(Lj|Q=sMVJG-pqZLf!yK0m#BCTL-6 zB(zus)q#Bxw*C>e;+>G??>zl))Q?}Ce;ZOg{ks9Jp2|ug)zj)INcFV(W=-#Ndr0+U z3ay^@EVI5}t+(#!xx?AJ-`TET4_e9tUK@-kQ%m30fOp6wf>Y%ue|Ytjao&H&k<F^V ze{jz}zGI31qROPbTyq|p&MRn6K9~KG@%i=5zk|LRRmH{MpF7{?x_QC#m%Oq5^JYum zM6R8BA&cLaMc9UeS5Sbq$MpTX^lkrfTf2wK^FBOV|K{flwQseieTAQ{o1HJLDE;-2 z?|Q`{-gWB}&&z-4`pi~y==PO&`ZuF1g0J^JKYI2~<@=p`c3Su6J^a<sp8xT@z3G3m z|L^VdGtYw#-GOd0I|AAVw)5~W+tP^r%LSL)Z~i;~Lx=eGP48#_odc_(_HP8Qe!uo- z*0t5gP3;~$i87mi_ZFmvT0D3EaouX)aPVOlyLv$l%k8DlZ@!H=pO+tYo?-eIAMp6> zx{c7u9c%CwdgZY5ANao2vi^>I@E|`oUVG00$$O8v_$#_k?@xwzoo;>Et^E6t;k}}` zrpNoYfA+7Mw|9E^qgtEOptXhNWuValy>~YIw4cS#=LF3iJ_oPf1)bagIZfvNS>zhZ z{>DDDicamCBeL^8$X$o5)>!hhbWMCo`M2ien7HfDEbkS@HHQCBd9MF15!!c}2dbe? z->odrzdrZ*pL=H4_uFxUo8xS&LDMS@SB-b{&jqi@^9E1c3vGXs-h8=!TkYF|K5HB6 zn>9xi?H=;!zstTKep&s{$xBtKJC0cLtxpG^cF}wNf718$@6w;Eze)Wt=l=b<^0wjp z1<ySnR(;u1yWs5A&EUnDpw$|-Cm^deAm_>Sg4c08n)mlj!N>K`3hLk4XAhfyqV%0! zU*<2b==Yy<{qfuVxBt#=zwwu~np^Hw)$7WC2IcoE_2k~2JG>P%#3%<E<0$^dQ4Ma4 zqXp%IiGO7Zzshf0|5N#GwUo@Gr}{bb9`UUI&|+S(z`ymhbi{tX@{0b=(zpJ!JpZ5h z-M6B>ynoaC)i;wrWn8a5fBHCR?&xns`8>OGUm;^DT5l^srS~)N?heR|HX~@$s&U1h zyYfC?4(rA}vh^$c=Uu(;i0ZuuANJ=!YA5qe(Aw!E3sUX$sPo&NnooP`CRy{(f3xd* zjNQ?>`t!2FK=TgBZT^i_avQ47nZf!_f43t>`2OF3)K34iKP&U)``F)k_#qQgJE_5I zr+TaRo4?=s{7CZMo{w|vE`tUK(szEoy7??<OZghuTDWh}KIn~FP~Q;L2etTXfBU}_ z=rFGMi~Qyl2W0IY<&^3D{_nqW6S(`NvlUW3nQw(wPsTTYo_>Dor(pF9+x7FFpW~l* z`uXn9FId;HpPwy$D+Y8}j4b%vC*iR3oU7BnC}DP=%yZ(|_x7{z?Qa(U{QaS&Uj1Zv z_i6S;e*TK~?Q^a_{IUJbpRd>6oxgGVN#$pua=U8K=C*G}<#K!OeB}de7A-#Vs`AjQ zofWNfmxC5*@~j4JlIaE~$j4oOWeQ5tZeM>hwd}tT`;QJ`n}@3F&L4t|@ts{8-_5?~ z@KfEKx+B)-q(8n|Q&#s`>-WB{y{=nd&wc*m>bsf`F?)~ARbO9PdjxdC3Qv6cdC*qT z=Uku@RyKooEbKfET7*2O;B;Nu`t9#MnW6Pl{%z#$Q*;!h`xJe%<{#VddCbpSEB;!S z{bwwHV_Ll!bU@ehUTgk)Pj-Ed*>iL5`TI%W^Ozv#N;O{vo#B!QK94E!>zoZ$Zuwi^ zzrN|;(|vy{q=K@TjZ#5<Fxzdv#}r;c&Aa<<{@%Oy<Zo6#t^CJVzVGXtEubNS{g>zJ z-%kav<cE$%A@@XYLuSL;+`mnJ{OWs7eDs|MQu_7xp%v6Oxqo%PSa!rfyHB@o)bu_t z-o5^awak9dVvXI_=TCnJE!KGS_npn1o$qYd+b0xbH2K+4swayd%%H)&uQu-r|FuK9 zPXfO!+M(U2ZD`%6L}>TP{m##m#eW0K=hdD0`ef(JoOPg++Mpv*kH3MBV}i^wOM|yA z^SM9Y^7nmVzyFU8^*xr;ZRKkZ?OT7$(C^=gXO?{V?)G;cO8M_N`1S4EpUiQE_Zs{w zetYiTpOXJ@d5rly@%d%X_dfq*{Lbdrn%B(t>iX;dbv}Oo`Mmvi$SDJmvrix=KUuH2 zAKd=6TB`8Z@ooQw<vu<t{#Ltr0<3;2z76d`u|s=M?z7L^<$qsqsej<}=NmskXX1R} z23JtO7J(|L_@d`Gr^lY(mlOtC0*73vCV`iafftsw?X8VJSSMy-vHop#tGLDC>X?U& z`Gu8s-+GOIeW^Gk?Dx)P|N2|79#l;ov<GE+@A&5RyYE%>?Ry9sDlorW`9~MLga@)o znHRiTya9Zo+P~a=?e+hplD_&B9<2+r*wyehyLtMKL+*DTa=tIDb^Ny0vR3V^@oRC} zA9ga2Kb<o>|FQ2g*Pf^wKfB-G_{~^f{@U{2I_vwD=l&g;%YMK3ZsocC`Ndy#Hh|jW z(%^G_n8VIL@Q01<{e2DUkUpCBH>aRV@%DAT=Q|E>=DrQBpz0DJ6;$k+@HX!`k3Xz4 zyZ?y!`PGVA!QJ(yH-2(fOWHiQFL~~M{%Zf7%1`O*+|S>(-@X%MQ#IJ8&GNT@gVt-H zRQ7i^&zIHH%ld8gh*$38qa-u_`a}2o#VZbIpM5zwvrk**UT@uw!__s9_Q>Vy=Y7ww z%la<<M%QB3y{dWtTS2qt^)JsI-e0sAQW|WiI`{9^y>QS@>?Y7|)t%rK&^a5c_$pHD z-D6@8eKY+(v(~@ac~1{Y1+_aGQbASU_|x)y`j+2ndk*iOfBXB@H-<l@K@}8e_0H@4 zrO#j9-)RRa4C?dGgL`VnK|M8;aZ>vme-AEw(H_0$NcEgYe=dEi>Ga-n@L?*nhWfq* zRzvl}C!^BWAGN>v`P0eIzvkbqtSGu$t@r=vT>XDn!K(?8i`C?>pnCrA?7Qnh+uT^( zr*HkMU)X>BM~C;GopWsOKazT1P*gcvzHr86{&I^y<-hlF>KD}NSJ$<M&zb&Ec;0_@ zNDU<ps-gZZ2PN{!*71KYVH@82t-sqJH0lPb=$C_56N0voi@s==wt2uOUs&c^UH9+) zH(S|Sr#W|TS1sLfOnc6q<IqW{^(oKw{~rgfCVXG{b^p6R4|e{sFPHm!>g$6_<K2hW z|KGU|I(c5bW}f<*dBS1mU-*>m11(i5UIRL%NlN_9@`DGb=Ek${Ilz4H@dNWW{}|5~ zR*HV>HU71v;*hG}J8yU=YW1z3ukUa9ZT!us^4_0m*7N7yEPZWh-EGZ(?iI=y9}8qj zp)q(EQ8x@c;H>?v_`t=hIq{qCZ$pgn&E1fH_GNHZo&D?Uj~L$<RMyXy|Je80ZO^V< zbMOD@1CR0DFWviDX8u{wnEdA5pPzh>fy{~?zQ6rB=-eF~(@;BW{i}GPm6T~Nawm#; z4Y(7PnE3gpJZ#Cv&A;q-HeP?j51xdwZ@1<@_j1?gm^r8Cp8tOWZ!hZKjeYCW?G5$T z<^DePkxjg!P!?s7PhrdN!|a#%%PTtl=S+X}w)E}4n>*kBowzrA-t*_6B^xIzL5oc7 z=3B?lyANrrf|hK6PKRp;9g+#E?{_pH_o6O++j^9D-N#Q=X73Bz_$v-=<}R|YWs0#o z@k@2bVedJ%H=bqN?B91c{E=**cv;<v`*$nj;!ezcZ!0cd_WYr1%=dZM#kazibRk;( zNoD(xhD&AcG}P@lTD|AE?YVqNC#rjMzw}L;W2&`6XXVj)QPVd-dQtA+38*F3{`ya= zKHZ7A1(|??j7sSzzsDFTEhtI8{a^0;kCy(5L$hb!_<S+-?e8p^$0}PZ`wq8**RTrb z75p`*{?`yb$NYity|{DWPE_Uf-si4w&Q+g(Dtf1~#PvG+oPQnl|2o}eVopMi6U937 zl%EBjd0Llq-+Z^^--2f2I}c^j&9_54P!F$xJ5VXPpKpe~0iPCF$8BBTAN}^{DscU@ zYZfT$c3Pib_pYjp7ks`2%AgeZoIOGCDQA0@LF%U+OHt}4ZBSkU*H3be`?KLAd^OpS z`l&Ma-to<^cigM!TlX4NKV6!8{JQb#^d{6I6+DXg{Q7ptV!}sVf7cXj*;JeRK`8u3 zhqcW^+jaSeL5tm&{8U~WU&8#YH95xa6tw%aed}j&^DU48z8YoFxo~%H%w;z(yjyt= zv}~dg+=xs7m3A^4s@TjyCosWBOCgn$HMDZN4Xd2;(jb-7;<b><$q-gKvG1-gEw;7? zog?{23^cyCYpJz=-qWftd-iTc?mn#rE#uh$T1Pt_Jii87)%EV?c|P&-Ew!>A+4?IA z)n~VFe~2=^C+}^4=b^1#VO;<AnRoZ^TnirGD_ggH-t+CCU2Tu}-r4L~jOaa;spIZF zm8RdmZnGIY^HjbOdFDwz{jb%XhqmXuX3zidXI<I-mhy`7^xykt*{YR;HV^Mv4I1_+ zFMj^=ZY*?YulfeAp*{cI_B*6uLwon{LWcJCv_mJJK3>Z{B5C)KQ@;qg^Arc}Jnh?V z&Hw)KuJ1ATuFutfmyV<J^e!K=dHCQ(+uZ-&cOIMCJrc?<s@`|h^xnfKac1_9K5%|H zEXyaKaNqnP>$>?F@C6c0-)~mGt^DXvey_q${>eG@^KYB){(Pl~b!5*OX=Lx-ZRp6J z9c*N8_j+6V`WcXsJ^xLRu9N>ZXxHiY&7Y^+Z$r9H*FZH>O^bEE+{>yDdn%`##c1&N zZ~F}1;gJk(FYQRM=jD5s|J&*TtK0`U_Wq5(*=>$LWxip4?#1LsoYO5Tx&D4=D6cqO zDci?wUH>;?w|%ny<mYD}-}$))G_oi6{#>*Aj(gxK8R&47|CY~JKnDUN50S=foX^}R zm+^a+?a6oXj~L_&o7DFl`PzJAJLrhE`c+@EKQ@%_xO3xANBJD{M^~HQ{&{xtTdiny z_q<0{&n@d#S<BmmOP9~HISU=xtJ#HbWY6~SKK0s;x7x=n{XV=|Xr>Q3*y1qnx|f$9 zJ;}V5eL&Uj(TA62^X)J110NA+f99S2gTB3K=Qllny7>8@o9}EY`d*(a4&3?qXYpC^ z0RqTVCg7u&)FIV-<+obf-<^dY3vP$^neRBzy%n|NRI}T@h7I0vvODx{{@w%7j*}Cp z<Fx0*-2Qp5#dm*xdJRXNRDm+Gr<@DvILW7emP`N9pl(yW@~-^_^#f0h*Ty$l?>TaF zbI!hFpWScQs@{9}BNbXJ?Yjf2mDU$Lf2bY%ectu;n=opn4ck5=)$b1<7=Agdd+)LB zyTX4F)pdtd=k1+UYjf_Iq29~M8UJ!nmqpa`{szxGWrOCO{#upK+jsBllbw~!#s7Xr zbb~f|gXXsC7eh7=qYRJ+X8ykaSZ@9YLH~-+71efGZ$OpO6Hc@9g+F9qBYWELN@?Fo zP^I)E4K%VB4I0_2S#8~a@7b@0boYNh)$<BIlvT%pRx?8m<AqM(UE6P860v`I;Aiz) z|NLh?K5G5ucbfkq`MiQQ>p73#oCL2SyfVxFdezq*$JpoCoPW0Y8e~+@f8%G+5p6H6 zA@fdppn0eJZ_jQ1zGL3r>)<tnklo}_@8{pI<6nC3xGwle2xZV}mh>NXzxOr$)hYN8 za{D@$enH#!9fz3jJt_i^l*{>796I^=<@dVZ^B9j;oUY{To1gZ4{ui5S{qjBi>GB18 zKg!fz0JXpPcYgkH7<o;^-t~1=^8ApRNq!?z&Ge-NWl@CW8}Oot{Re)z%BRL#9DB;0 zJMXdVbJ2>gKGyXg4Xf=Ryw9^eJ{Qz+vU_)~*?-47o9FYl>_p7uH{T1l-`n5p4_#{v z+3;9+y3VZXU*xv+vgZoh)6Z}F+2LGsNH(tK*1q-2{97iAN3Q3YZgKo!ckaHU?B`a0 z{AF48|CMoB9q34|pXqmhUM${P{`}<gnErX&=cDwS^grzTmH78L^rUE{k-fm1`}!)H z-S<?iJ9}9F)Az@$av!{kf2GWN%+($ZpL(jDcX$8KN#LsKG^lEdF9dD4E`R<oJof#@ zD(HaJdeHHd$$!5u1g$y)?e*Gx5WHe1<y-NQtDke@Kd-<2-NfR^Y4IC2M<wGPa^`<< zn9ns`e`B5OyAN{d`dfc;o{!G}5A5CF03O)$1@)WqcYeND{5Q9Jo*Zo2={>Hx=|k*p z`wBr=-L(G(q;9%%t7bXq@SFIwy>5^-eEx5KCxH$JlrKCV*Zm&SZTkJr=1=hTz0W_f zzpF8vfi&&()$V^?^y^yCnc4|o=ls3!4!oVMY4P+M|EBLao?UZ9_1>N<^YROO%PlHr zp6$1&vHV-mepvqIci)P}*WoulYwvlqhiCqWKj$mv@4Y@xy#C!e>GLo9LF@Pac3Q{( zy@J$pihI9${=MU%nWu~If}tJI3xA$&`@i+sj)R-SFF=R(-c0@W=a2rIYO7x@7S&6? zdKLDDTU1U3@52(ExBt|+_<u`5$1mOc{AxXD{oc>(?`(d}e0}iqv*)mL28#QyeqQqZ zs{i}PFY@2iAdQmh-SBU2z6D#p=LT6AF$+GjH><AVfc2iId-#s8-}w1@efD?o!ib;N z<#xZTudjXnM*dFahyHct;A3`_!_Etboj+=Sv+~#Z^Bk}3?J+w}*}3tX>ybK6f33c) z1)a_opZhn;=7FqUeDY`IdxiHp!q;#AJbN;@<5XX`_p{9Z)u1}+|Fd(G>&u~|d;bs5 z3qQ{aUJS+qI)=Kq`zq*wi{q~>A5QywrY`aR<b4Ofi9VK5ulVLRTO4%S`a`zsUos0n zMZGqCAS7S-!)o^V|Cb?^lsRN{Z#HNzx#j<**8KlYmp<S5Bfs44zdU659(enM^xpIP z_lH%<mxIqD^al^hUi^RSJ9vG>Z0P!kdpVz_)OQ?Yw#)FF?^rDJXZ~IJee>#e9QLkx zv_~ZWe$w~zb*b<B-|YQlGe7p@_5c56GxmL*Gv_3zvbtaJ{4{8BeHUmHe&@kgJ1_r! zwfy@>=rW0D=qkRMf1m8AS`A&rcRL5ViZ6W|coknw^H=YJ_URVYi_c!K_*VuV=bL}@ z9RE+yIN#=7pZ7jjDtP`dfA>4v_4T05yx>!>K}*iQ?)&w!R_5QjxyW;R?C%%I=YdWM z?Eidi-u!~j^z>x;_ggA^ynm_8GDjWet1E*J^S$5sdGhmL-|tphmD^V4{X2l%h5A3? z`&Ite_R!rVuyMZko8aSomD_FQ=N<-+^W97SEYqI9Nd8?xulk(_zs`R9!?@le|A6`) z+vRuTH*NnAvrhVaw|&v`z0W`O->nqcW%uA+`k&AKbN=3unR6T3i@H`X?**P6GLNt= zubN+f@7Bv&n@3;vLI(P5zSVC2ev#kY;;`(w!f$opg95Y8-cQ<F74w+c?_SpDo0X7* z0{3l(R9Qd4$1s)FfvPP12j@0(@0zE324ie$4QTPDJGf417vJ<=`^I@DcKHppYd<ih zSM+P!JkZs%KLMKA1=m-%Uz8`p>nr;dNPVS$=yyd~AZX3|QP71GyLMRj>nGw^E1|qQ z{(qf((%;{;kku0HxyY*}`auH|#+m=hUW><0s(mOi{rv{$GCudWe~vDF^S5FD?yoZO zx8}aLNuOT${9tNq`Ml4kZ^qc7Petv)oQmqdxv$LPxa_=A*fPEwkP7P>WG3o<CUhoB ze*5q6@C~1ZzZq4WTmRx*|Gd@OJ3l`;1sdoB9r^@0^B=TKIutZZ3GYi)&9AT5{c4w2 zc)qS|$B&k`uRF{uj&%Fqve_e5Zy)!7alOfM|7Diz3V!Ip>#V<1?(X+|^RH<yYk2wI zuQvPJKtp}kOP)X63Ob7kT4!a0h7*w&@_}QDA3W4od+VF&{=Qn!P~V@Pv%zy7iq8AM z#{Xqy!Oy(c*B|n|FZ?D4>Q8Nk^rvFM{V8uymGv4_W!2V}&%1X3Iv2HTq3wFmdTbfc z;X9z|wuG-XFKWMD{%x^i;$8VSFJVJ{#or)9eb1pwCEjm^4)ql#BloA&!2Kz|yOkB- zDl6?>O<^%;XBOzpEKBfdfl{DddZ61?%D8vO|NkkO0IK>I>m%x{nv0M+>*pj;oh1*d zv!ZW->#Xm$;dNHnp7Zyz&v!@f{(P)>SJCsy&%c?yt0|4%3_4m-8@#U;x&m$W^EYK> z^FiZp!fWQS`t8s89sgL+yz0O9m&?Dw(@|@-KYzac`TOPm9h>JuYOJ3P&>E}$>bdxP z2ha82aDHD|>Rw*=A?Du6x$fW!>l|{0)dE`CE_wIpxo}X`*asR&h<x1i*Tmw-thcWl z#48R|%iOv8PX2?;^!Rkp)NYr0%%dmG@b%{Z+UD-xHyg6v{MoyAHD&yu8f)G=n-j61 z_2#oa+sjLVm$~V^ONAVBaTs)z!kt&O|2}WJ_It;1@Pdii+t%OQ`}UtI-v>eUibLKp z_1&N?Btf6~BOz<f`ENtJRombGd1eRhR=s;&_1tpbd{CA3z5Mw}X2@9I|6e@Z)p4NH z%)%o;(FQr>aWA+@sD5YD{F}^gt1mS}`&HH`{VHW>ziP4BeESoSDy#nbJNpNI)wLVI z6H@$z&ks7sme1>M-}(9HVZ;BQZwsu3UUh;zCG`@V0Hbby2iI8hZ|p1DbC5Nz=KM2L zzk+6V(An$F&nzlh?#kEoz-z4i`QOjWWkPDK`YG1;tNi5No^wC{HTllZPaD%9L;skI zCi*x3{yKlY;I;a?w2Bma%XR;*eA{~U^NwSu<!|gcE@}6G^Sl>i-NZS_kYDYcXW2IL z;6>+uDxizb=YtoWtMB^!!E;^p`Pb^VV?YN(qjU#EtmBaal&{Xi{>~%YdynOw+i!ZV z9`opC@Xh1%p+kQ5iKq)Fq@nfIW$?6AN%=gx!=T>Pva_JmVk{xk{Pw5sf$y|{OztiI z{`32FulJAn-0SlY{j20^yQ*!q@x94&{v3H`$!G5go0(ev20k+-1Dcsie7fu7o{DwW z?`@}_FMfXUbFBP_!bkJ#ADz|z`Fy_qhUdrIXFlIiwWG4L{qv0An0eP@9(`H9Z9gw) z+jF1U`+{coJ<0Xzdwy+sTl~@Kx7}X(#J%$$Ha#zvsX2D<e%1Ty_kP>PefY!p{^J|h zcYhhL{pFOasOvm^{?^~@_kS-{*B{Z1?GnFTTePF}Zna+gqjTc=rmM?au38_yRo=Jt zz3w%;>@~IDe)QBnH2(J0Xm|W?jt$=?W}JJUxzcj~a?@+?Hy-(A%UAK}{kph!|Mo_& z*<ap&`1q~&TaU}m+fa9ZbNG$QU$w_~JpMlG!5jTI-(wz_#y$QSe{0Xd=sJGgzVF|D zOYHgHfA9C_@LS)P*vLN;Tpsa#{f2k<AKZNYW*?XNj?ee*SHGWMaQw6IIlKJluIo3w zzx>zm+F#ytH$N}h20EPg?CQIff26K6Uwi+%<7)N4sOq@id>g;bO?q40y7fG-U2^=7 zp4!B>$^BdVg|EHOJoL-fb^Ye|m){#6`(>N=v2TC&&#LOU`uwi1-=|sB_x#;wUUBSw zb^BLa*=yf>EFOKYI%FHSr}1}Ti+O$O{`WlVf7(8&_{aLYHfQhm?Dv1=+->R)*v{K~ zaj*S>UG^8}JfA+R{^Gm%>~r6z&-(mVcU@&&`(*u_zun*ey;yCZ{bHqU^}2gc&P_Jo zEoYm5|Iq!@^>NoD_ExW{mEZ8qwtDs6^|fpfzkNOg{yrrAmOEQs^_pGOn){deUVkq+ z^2@d_V*l|kx!-D8@7pH+-It!fedoV>65IQ?-7jwnu6xgV?faUI`<5TvTJ`wJ`nTV$ zABfsL5}vpJ@V@k;?-h@z&&fLXS^MlqHum^!_k&wO9r^crzx!95|15lNf6{YT-TTLD z4X=HFzT?SHruMyi<E!7B-~0W!{MJu)t6W?C`%lmL&zoJo`|}suw6AfAU+*Qn-F@g* zdHdG$w%6?Zq3I%Scl_^;jo&6F|DDHWd%oU&<;J>x-fQm*zu8&WAKYubX8-oXzpCA9 zs;2zi=U;L9eeK6OYybPgiGTMQ%ltW0ciuAZquBex-p})If41%WX)E)A@qAg?{(Jlz z?)iT>@!313_G(=`II-?O%6tC(hfmqx{#~rD|8kGtKH>iKkAIl_D_Xz)mAv--`;Mgd zFWKMPRDQZscVce3e3|X<j>x^tYwmGg+c!PpcU<z@%|~vfcb~r@ZkHS%@mpf!H^I6q z`~3GWXTA15v-NBBnGJRQbMHNWuV?q{-`?ys`?nwD-2YyTzhc5qdw!XX`_k{-{HePC zx<Gq<^8WiG$17U-E1I9jZ@(YhS`JI8=RXVU?Mr;_D!(0)QZ4U&sA&|xzXg<Dj#d9} z5S}Ohp`qD-$M^Fa-`#(pT0OV+(!A;A^FI6U{QPryY<a}~_qJj0g|F>XUsLNof8*yZ z=Owb|Tf&m@;)A!2J3jkvlJIw4)A?I}f7zbfSl6Gu=jm?oYEUX}`T9N0V%LJdzsvio z_Z<CoJ-YX+t*zY8y>bPnx87HOv)sM^AY<IWwP%HE9(~_%<aYke_t|gv##g=HzT@dn zS-<_c@8$P?cYpu)!{=|cmgn_9yh(V!e#1Wd!@vG<wcG4JDtoW`{r7vnPl~_!*O@o> z`J?W4fBrLG*m_d!gbny^JcbI!*t?}$zC=v@{?WihKuX&tBDi9Mnuqg;MH4yYY$_fe zZ02Fnw|OBVx95XF1S2!cddFt*d2ZaG4Sl=sJ^y~UeC?9|l|Qc7{hl*<Z~4`|wfW_b zYd>+F`~N@t$BKVBYUk{hUgtlY*|+xe$5-o%T7T>BIQ}Sl&%Z@A2Snc$d{I5R*FXEe z>b&Ii^%Xs~a~?>E@339}Zu7C9KcC2c)7LQHaj<UjXXSTSldA7AZ+kws*6nq!J^$@G z!EL{f+<3S7(8=@XE7!!a$`$mc?+>VH-@fB`^}PB6H>Zc{|KGf8{!{iFpQi6fsO|c@ zUSt29C;4hWtM??-cJtr(d*{a=$!(v-D-vp3POpFUr)JXrSx>&F{hCx8_dNIGAMWJ$ z>T$J>-;BMDJ(L`5TwCy1Z-cJ=JYhc^j@4_xHbQ?SHlP`p>X_$!%3S8_ou|<jyO~ z`Ml$hSwH)mf_J}8KYp&e(Kf$1cfaknzjM}W+<*5tX}5oe^s~~&%kf$BjQ1R}ocG`B zBUk>+cHO@H%YIt;eA?}~?|bN7{nWoQyW_*_dfxtFx&5<s=GW{!hj)9{CBNSJzajdX zb^PVpPx&wYFx>vBxb3s{oGAHy=RQ9ud-O>9amA0b8~JZM+kN<2{`#v`tG~-c?@iA6 z>a*eM<^#p6#qCy!Z>uu7@yak_&iVZ3B6p8J(>!3-uehyJr|@jC>;0g8w)s78E5mPm z)86nlJbCte*=@hSSZt}gUG=6u`uTT@1Fz$=_f1cGrg-$AxvBh9mHOn@C+|P*_^SJE z!|%Bte;VgJ*WYmY)gF%g0=tv(zMr=5NUQCg9K0po{N#J}pQqoysw;j~HlyP2-ol!X ze>eW_Jl*{0+I!w@b?-mUs@i_z)!Tm#Kf}&TZmZJ!5V-e4;NA^alUvuyMdy4DNuIr& z@3zgi8_x{?Eqph<F#oyx<L9LtZSy;F_p@&MYn1c-<i@+p4~c%>cJyMt$$s`dM`X+D zR`2+p{O+{PnU8OxXZ1@&*EQ+xKVbLz`i_IUJ?o55%71A5dd|OO{?+ZJbq#O-2;Bau zsTVo_;Rowe_kBLC_T2Y9c<%K}+lwB(NPqmppzvz%<B#W4XTN8O-p@TJ@V)7_s`neN zZax@xo>BhlYjLahE8=dv5=>g{-jzGgDChH*gJ%7r+bT=ySAMQ}7nodqPj=h$w}l@R zYVGYG*nX>b{rjUzC}H<`q3Sc)UD+{@Z0|i-bG_z$;TfAPJC46wU%Kz<`3+C?H~fx0 z8{DEj$Ny9K<UhLiHtsui`hDj9yh-={KRw@n@o&+!-#32m`y`z6Jw9pof4TK5tN%p5 zO)vYkal5d7y?Nj6{nOXpH_rJRm$16LW$iu7ZB^?wTrKVfC)d`sa<<#5&ir5S`)1AW z8_zTkn)Q3<eBN=GeV+C2$3H5IbIwO6R`1W*xHq2f#=FajyZgVZzl}FoU;O63-)HH^ ztbQLD#Vr!#u2uAxPyUlO$^Jp#*K_lCo_G8#nqX~zq^z#Xe9ifszx-478y4TsnsonB z%U5=r2RGwO<gM=?E~{%)e)WnkDu122-+!yV>U!zw_S}8aIe+CgT)q8s_oub@7`Iim z?O0%^X}3x|E@JP7tBVhX^^4uMk==0i@*DYG{bv`q=gJkwe3JQRzxCcc^8?@Zc<20H zdgGh+#=GgwZ|$mWF8mjpzW;j7?*Es5M%x_zC@&KK;3mJR|HQS&ch3BCRi(aL`r37i zzZ1W`R{Qx^rT$<3-Tb3f^5^T<{9(EMclwQY`;RHU_P+BdZPNbvPxR+#%l+Ot+4*jn zaQbqo<In#aeye-*=So-f{_q>W_8tv;FO|PCzJ2XH+ig|n`Y-=;|9Z&%>!GlI)ooR4 z&eqrmB+XvVcH8FN#<R%<Qgh{t*E4T>{&s`y`tqo?+y6eh@vVE~+482hcC3CgubY<r zU-9$qL(9AmeEd7o<>p*|Y4>`5#y+N;pNiZ5h=i{>U+^XPX};Ret1A1CdS8>@@Y(q3 z`Ix)M&wbx8S3a@-tKqtjS(D;@KCwsp$A7x~dXLoa<NbI2V&){u@SoF&-tV0A*Kgxh z>%(F1dAC)C-FS8L(c-Fyi>u~(K99Dw$@$u`;cReE?!2%Y&o&+~>z6IF+-~#vN2U3V zdFB1N`^9e8y^EZZ|9xiS?(>Hpoyku5XrH;Sc+W9ynLm*qb?+JTo1U{e8xGFhpJ%=c z-*E5vzw=Y)Cs@~azWrkww_)EA_B%eGzE7@szQ(FPVQ=^8_!pp@#+~y#ztHV&^X5$Z z&xwz|-aP*CsO7PD8_t&Zuf6}dIBW0K+sDJ+vqalYzwv74F<7wLZmY6*e(9%~zuvY= zu8n6OGu^hy`_T8i>-^fkZz_dz&PONQ&hO3LFT3rx$&Gi$4>~GKZRTEo$Y5Q6(Wbqk zIe1U1oRQ4`pwAm;J_(=vM{M~T`-Jb|r{>Rf|Gx3FZO(J|igUjt-hTjxWA>d*b?u+y zU;n9_WbgCo^5<7|cBOCB^v;|2xj&b$o>5WEcy#T3(QS3xZ@j8K5cd8i=c(;gRX1Kq zJ_Ltr;%fKCwQ^gJ{`{AcURYOuGkNxL#@jY(;#d9_y?cA^_<8HY-}Z3l{C2(Z?exa8 z-??tr<QJaZbIn51<h<;;g8uaS(3(2MyZL8repvkIeWrYH+WsTc_8${`?S1DVI8j=Q zU;BA3zjR)=xy6yPe@wT3O0J8X|9Hvux~nSn)+gu3xBR=X{p^vCuEz_XCne{r`|0`3 zxqn`N<Jan5aHVB_<JHdNVgApBZv9^o_XAXHZ9EXx&vV=6>5XSA51I8x=X|#KTv~Tv zZ`qA|=Xtk1m)-F8c}&pHZSf6n?G(5DUUDNn&iM3k>6!zgaesY3-+k0mY4PCZdlUK1 z-WT;h+2;Hd{1z$ym~Fk<&!Z~)w^_U{7yG~W+<V<~Kbw#4++Ux0cYR82!(n@k`p&mM zgLA&;>&~}RnVx9>Ca&yF)uS&*3Tw(NZ~l6l_!?AX8E&gu5301n&P&O!iK|EjWi7^N z+dmH9H&=3PID1*>w#~8|&o&;EJwG?T?#~|Wob$VnZj0~D-OqQswkqfQ%=pG%QTq#j zl%LISO5StCHZFQjrG{>wbob`E{K7XefzNl>U$NP_<B;OE&*C@Eo&V7H)iUm%=kL(T zf8MJ6Zz#T2e&hG%PkXgr)|LH}x&1S{JNWud&o#$)cW&11fBboM&)tOC?`^l$Su4I? zxxTwvCTaC^rf6H^nh^b(5dEC5H<DI^BE59my!ZZlR==t<>n{ei38d#~@0fM%_UE=6 z^URNKi*L)_&%5n+$c<;akG``vInN&R@aEOhe|*&yKmFJC7aXuTQqdni`A^cM_{V); ztz-VUe!lAesois5J@4K8!@n5x*`Dfeyla27?7xuun)?qJ->d(8t75-v*}3wS(Z+>! zYYXq}|90c;k=3n_uDxf9-k+WG^<LuY^8U5+n738^x_%K}T!}>6dV#Af|LUC2H<D%_ z|By0w{terWw)y>UE1zG#T6O#U@on)<Z|%HuzDLfUd0nXb|D``>76+>L96$H9<lme1 zv(&}&3p;e{8+Gju^S&;g^UoI+is5VCfB5tM)c1Akf8YFBob&v+MM`Z`bd1lZ>yvAq zv)!ssubpY}<9y*CgO65H#}7-Ny7r!RTV48%S96nBm$$B+7oGFf<^ecQ-Sv1LZTsxT zE5X@6f1hT#Z6kW)Sz&LkT(!;PJ7;^3gQ}~0V$b=nynoJr<MH>;n`dj>e|GzD*?f-j zGub`e_1XKvL7PVk&XvCTE@k>|X1i?Me`i==2H#zuRNJt)UJF!YiQN9F8n>ygd2YRC zz5U62^`Gy~mh3zJOLE;urrSRSw|&l@6a4+dvs>ry_{JQ2Tv5`!u{!6oZNlsA_1<f@ zSFzuCwf9Kad68&aZ&*6W*m(8u-l^xdSI$bDy}Wg1WzUAQ$*t1!w0Ca(w)uH%QuRI4 zZQs2+-~PRnu=~8+?b<oFGyb<!mVRt-wy~c2tg>;t#o_8_ipOv6H;o79Zu<vKUuD0| zy)0k-zhe8<zi<9nZu^|PC!w~9f5(g`>(zf++ici(@af8ue+DPZ^Opa<@l%p-MYjD% zwTo5V$G6Mh-g)?j=(f82jbDE^ti30@t*W~GN?q7_%WYN1e!$9tV`2TW+bXj*oW0zT zD>v8rrJY&7_nt@hHd|}woqwHJeecAdfZDp_75@w>Ti@ETZu@;@M`B#L#b5rj#r@$G z2R;XDzq{}H{k9Q5W8Z)0&l`_So1b)Azwp#A-ZKBSfBvfMpZjEY`PHAr*Uo?7)88;x zUUu#Ezr}Yp)wO<pT=K7MQoR4Cze~@(e-Uk3ShT(H&fecQz8*PUt&#WFZ{ye9N5IwB z`;AvO9}V;WJ`Y}e2_~*~&nw(#9~n7k|Nc9VL_bF)&vt(wXJ!8+j(6MhyUEpY&+kRu zw{iLW=FybD&N-j=9x%Hv$v5-*ookP|zE^Z#Kb~pF=YGwm#KNNY&0Y8JoBvpD`y=7L z#=oGw+w))5r2U7_WtPS@n^(+uV!iTR@^khbX|)}bFK6x-x&3#u=Rd~$7pFh%yLI1Y z_x&5YJ2(ICe|(QQ<@I-&=>5rip8cJku)4f)?Y!)quXkW|L;GikyT@M%Cd^(gaNB0- zjc103&HAhFe7>`qy?6fh1K;+T&NIAkz2~Uyw%<HA-aWRD_#J*GyJ7L=jJoL+oxyMB zgnblBKXaJve9YP7b(8M<eY)%O{P&vq57|JWnP_c)oc-GR53jyh)mxujul`e3FY^B5 z4;4?(%P;zU^QYam&)#za!rw29%Fk0fCvVpGn_s@VKX+er&fl{C-mll*GtT)Mm#{j$ zW$ipmyH${m!j&7ZE+)=iE_d7Jto*{?H*J1_8VRE3MeTxKzpWI%G0*(4=d$zfW%zd- zDx0sn?YGQ^<9o_>9E)4``Flm*=F6G;l4XqfIo}l;o{abZRDQ#@`Ps^YqH=0K&n;fF z-^%`g^|khjO1HPulXlmeoxJ~G&R5ZI=a&2_n^ZsJ$?^VW^RMLEe|%;5xZ=Z&jr_vm z{lRyB?LBtwz3jFs^Bb>h6IL((T=6#jD-W!vpnN#2pLbj3svO(<^S9i1_OT&XPTc=O z?X<G|Ie%S0TR)K8zyE7^RD8U{M+SBE6W%%BJvW{$@8o_~+FTp{dGm4ZJCB&l=CAn7 z{4BBUdqtzJ{h?{|ANPILj+qnrdF$LK*C+qs%a6E!``2cT`hO~S&!79gaqj#FEnmHD z9(+~VKj+DA|7-tvYc<vQ<{R~u3s3i#JudD)dF_3{ZFSFYyxMyx?7i-`s%tl1-2^3r z)~l~yTHSy3FnP6mQ|`QJH=bqo=E`~Je6~pFFJA5R{O0e<?zewy4$NlHo@c%1!ROo6 zZJ*xS73X~K{CHrG+76q&&vqY(-gE5Z$FBDua+XzQ<=D!dd18J3O0ACl0p8cnbLNHq zUV7u)d9|O?dy;BfpQi`cS)a7``ILVCQmxaq>K#V~w|!2|`F{W5)T@7Q-a3EBe^2E( z3pxApH;mEy=l)kaS1+%0And(B{>u2SweuvmRpr3~_;JHpxy@VOC4YXBID0wAZJT2^ zo)va~w|V}l^49rz=10x$tKPG9_`LU^ciq1o$IIr6-LAd!Jp2EY%DIW(d1l>bo%fjI zeL-LKv&7cx>DOy=)qj3f*?(B{b+yb~=bxX&U(}WD>wo))ML%-?k#m+)_s@GWeexf+ z>NWQtOIhp7%zvL`ZGT*`R90<W+>)AZb$z+pwI^>x=lor_@$2rxVejRl_dZ|xZFAMH z8?R<UDvZR{?wxDpc(+yFN}jF$e5uv_XBUr}^=s<w*#6yU?e^z$ldJDZ>^@W8q#cv6 z`@Gcc+A^{9IO*>BfuC(3n3lz@`^}ObWOJbUnc|U~J5Ay>xBbbPwErOMYwb7lqkq0t z`EPJ?{$8i=ksIzEW|v8{wm(+(UsNt4{=ucoKfkKfo1f&5Tlu;A*S5J8KUWpje0#OA zTzI+k(Y5y_x7FouxVrmL*n6>PTmBobEUSCJ*+VnhksGfz9y0T1-B!73<Jrf2w{6bF zpZ@tx=El73N5AcP_j-%nzWsLl({~)_&iUTC;ja2|!Oy!6$=*wPerDElsr-WW?Pr|( zAAioUd$#`iU!D8Mr_Fyb<*WCbdEq}_d)8T=%>OXwYxSPP=cJE4-+kj8f8pt0tmn=z z|Ge3=&hF&<0@wIU=C5ove_yt7cIW3Tnfd!8x7Gc=@oR3v>hD6)d#~Sk6`8a;y&2qb z{Av$Mw@ItrD@3mE(d~Ow)Rrq(ob&m{qsHfY_l)Z9nQnW2_o3qTf4q9~s{A*e-L72y zZSzmQg0p+tEG)a9Sss-<_aSH554QC)+qu}EU$5CR`OjgM`fp};)syzNTSG$fAD6ZG zg-X_Y52_~ZpZ(<fj<mlGlZCg;|6g|Z{5k#&bN3(geq~eNer@)5;q}`dSA00PF*@gS z?SX6WZ|>f5@73ExP-l0Z;kK%EuvDAe4(jYyN^Lkh`G4Ns^Un+qn1M#yc8dL9{q@@( z!EMjaer);~ce85G(X#ncw`;B57_Ya@`|!thPJitltJTk}4@!RCaAY%gmff|<e~KpE zPniF;`cA_0y^lY~<~(l~OaFW5QSsdQk#l}O7kmCsR4;P>(TA;5>SsJDpZw=Z?XLOh zrSo>IIR5d&-{f-P_4WrofBL*pD0;ti&ewa%tG9o4d>U;VoAZ_D<H1+0;bHnYUr%hj zy7-Wpe{|005>Qvn&Y=Hdd&&MO)u6Eax#7{bJ&byCE6=|c`2A*j(r*8@w|3GoQTq!k z%+BU_C)XUXomcDfy>liz|96W`7O#`LAB&dhuc_23XnpPZFK^QQ$Fi^4_w4ET_Syc_ zUkm*MW&c&rMb1xpzvz^{`p?;(|8kc9{r)A>_T!`f$3E6QI(z)s#}|(`eYHMz?Y-!> zD)AeyVv|=t=Zm(j1~+Zi`@g7J?cT5!RBTPTRaN&dHfi>8-ffj7b}RorpR@buw>_rY zp1=L@PjJ?Ix%4x?{{-JU|L$?}?Ds6Y)34j;7q(unX!qV@(|*m|B0=t3MgLs?*EOfh zH$H9Ok^GlQT>NrP>f}E)lj0xpzTRF@Sol<4?dM&U``IP?TfP?CJdn8kS2*W;eu3lN z#pg5ZKN}Q&y;*4U^UjTP&r@G-?_PUfaa)!C22gkRyiByMHrToPIbUCFxO(_^)4TM~ zCdbYE4YyVLY&e^o`_rcUm+RZ<e}g{Het2{J6W)7OMXirNpIH0!^Y4YtPd|Un|EBuw z`FBUTc^~g@?%i<J`dHX|(Q`AuGgUvo^5?q60qZ@-ZQp%p=q}d0fB$veJynzSjO#uM z@mm~Sdj7foy$$!-^v|vRJ6S*bKWnL_&z!ncnfGdO^`$cLHMwu<9({V<6>V>R<JZpP z*UpPY+uzNf;BTLPqwfFa4HkcUbo}g#bN<F8zJ4w?{mSxV3zt`wS{$=|_wmo{UGuBu zezj}Qc`)mrPGOPf*X&;Jm`5Liy38w%*H!PaS$|GD>Q!>7ZR(q%r1#N}f8;%SbNlg+ zKi0|SY4_$==lm_%xBBZffAMI0=bZiLqZ3}IrxpI189k>i?8dL1N3We{UboV}ee&m( zareGg$k`m<{8?KrSzdj|K~}#P=cV{7+7EkQw!ia;QU1pHpZnfz-qmn!{l~UldVF28 zXLl}^?|J<9$ZYw(#~=HT$!*wcKUZEm?=R1Wuf|8NofnC=H$MIRb6IlXugJ(bb=z+I zsyuM*{7wIo`O|(?SlBy$?fM{f++xRqN7^zEnaXz@WBz;d;U}Y4@%_;@5B_ZG`fY#p z@0^|IxIeI!SLB!en|W<^d*|monfd=~-uykXI=<)eKmF9#=?!b=d*}T1+4yzymKwW! z^J)I}-^G^CUwicB^55EX^Z(9CeEpn>|BCvdr>!CTW%56A9TyL)=?;!b{;t$jzGI2K zEuVkL{%8BI{VjTv{JyA5z2aP*_nyP|@~6E2aA$Vs-}`-!uO2D1@3Y=7U2R?Xsro3` zwwUDC>78rm_ddORe(%%E{?GZ}_}j<k+~;q-@oVRSYv*~Y#h2FaI3{}UvF<s&WnbB2 z9`OAZ55Mz!@jLNL^DXlVd-gW`dnhGdaj-7C=D@}ITk0KRzX_|?%N@Vn8D1}WygBjB z;Uh=mMYq?j+xWG(eeHbSZFPLp{O#xN&$R#jOY%WaU7OhRzcZ6wr?;)0FD(=2^mTnf zcl4dd%yGY??0@fm7o5oNK0U<dknZ2k!n(?@`K{S851w#!U9UJ<XZ<F|>-Y2j7k+xb zdh)0EO;XbL_{TrW9zETE{Nv2xrOE&2^}mfze*K&;+P*sHugr$8m+hy1+q`~0<F-0I zT|fKa?#t(epvjl>Yv#v>yZ<lz-0XeXe$P?vI}bRPPhWV?V!6Hco7lj=w;r)vuQ(tZ zw=ur|`Sh#*uC6TIZ(7RTC%t=~h0R%ux)~L<w+d?tcWt!J`TJ($*W!0sd%s>je(gL< zwEgrOzjki3_^YGqXD^-e_fGQb<;7KV=LgG+SF5jzQ{)5P$Jk~)=kcSWE7H~Bi|ThA zW4C$0H(fn*Z~2~g+Y|R&#<ASmf7JTbE}Q0Svv+r1Htu`8*|>M_Yzynp7VqY|ZmT=D z@vHGMuua^cw3+*}=I@=zIdx`Y%jYw1tFtn`d;FK=gGs+yEcWbqHT981`i|q$Z{iyN zJ>=THW0^hsoS4YJv*w0=*WB2Cc(sPyL)qU)D=J;*KA-3N)3!9;|IOT58GZG*Imt5b z)$h$ImgzsI8f~8p&eQ3QYv*r$ding^r<c#)d-SDvgT>!3=huE-3l7{u-`b!#F`i$w z3fs&p$|gOke)Cw&{KnUkI;Z-yiUZs-ZD07eTO4Qq7X9$*)Y7^NxwrPuca{sgKbJi| zyL0pB-p8Lm_wSu=vA^NbwezCe>hd;z-F)oY`E#FMrsx0sQe4OM`?A0M?eE(;x7F!w z{94=_{VMp;AFWm4&DSgXn*$1;H(A*q(tB6X!TxLWfv2HY_w#JGIR5jh$odV%p>ww% zm7a61w9Y5?SLbK$-p7ZJ%;xTY{L%GTnVwZy>)QFzIe%>uUZ<!3{PXA9?irx8Qk)ZS zpWJ=<{E<g{?74IP#(<I&|CQwjpI!~w&zQflxNzS4S6%EDM-|J~FRbYD{x$jGhgYwz zvpwH&=$@%a{>M3g`6}8^d%v*Ler5Lk_&T+3`j&m~)#GBbWcc-L%evRjXV3XNC)Vxt z+WB(ZzNfdWoo~3UZk>*wJ$Luz^HS0F<u`uaOnyJ>3;*>S``5hNew^{#x}{&eV;+e7 zz8<#ch~nRy55(SYEcgA@p8aOtiqGP2%n!5Qd7xX`tM6`GXk94O^Z4A;<M;gb>^Wrd zE-r9e-MI~4iyPO@7u;6&Y})zfZ%=*tx#w=woVsgb%je5(tNV82m*xIR@5J>&>gBgv z9JGyl^tE(LU9Wb`qZcVhrSDvtZ+mY2(tnofFaJpGw%EDtkz(AY`%Ko?ul{4U3;2F@ z>el%;_nx|O^oXNHpS)UJe5nlodbMbK@f*KpCcaMZTRVU1)63`AKD~Ut`RL2^^uk{+ zW9R<9aXmWcZ%?69t&q(Frt|CE|9=pBU(hT)CvJY#<2nB<u3xC#)A~#F0ZV(u0nNCL z@omq|FZ}ywy7hj~^Lu_WaoIBK)#Ku-W#;Q1yXQM6&b<&)g5>;Nv*GLJtu?<YH`M$x zwx0R-<?{BozZY`c{te0~qUTonH}3r(vNucSf$Y7<a^)2VTwV6AU;VrC`oj7h$GmMG zh)h?{uI)5`v;APVbk_eTRk!LLWBG)aUzb0=yYsra#BuTemSy&ZlA3vc?<Bl_E*EVd zo%6RO-^1?joW$S1c7iLubH9y`Ly~TxXKj|uJ&&)i3VN#VJpS2RV*mZv7yfXIgQkBY zA3fRi>blhQ9Y-F170Lh5_xD>xrSRPFJ2QSo#O527S#B)9Tv%gXShl&artb4b>CJ!t z2}j${jd;CwzTURFwj2B8qj1!GvscC4(*@Ujvo+CbzSpptZ|Bl?n|JMm)O>kMckG;L zvFoeFJ^wvB&sxacFMGpy`}gIYb01wh&j6}qLDiA*<{G<vbFt;~ryhNo4o$bJyZpUt zZRQ+b72a~);@Cl5k@$xk;yVtT%Dp(xH{Cqof7P8wjL-kC+)-bB_x#SKu$pgQGq~on z?tA>%x_9sViqhwWclLMZfNI&|&b9M3x7AGpCC+1?UOvC~=*#A<7Jp0Lull@pzH!dq zm<Nr&Tz2f4`fB=P-MEK8u65-Xc4fc0=k}3r`j_R$6PMJToxUr+ulCK|fR8NaZ{~NF z-`G9-lkB`|<Bj#-3-`>j*mvCG-JRk$Z;zbZE3zF@c&weTx~<L(RLz2_r0UJ~e@iyj z+KVK;e$EtaZ~W%&w0{q!!Ylf>TkM{DO}?Pf`i**5_4kW^oqib~*X`R_uKcS#JLUoF z@3kKp-B*6&Uvawb(UX0TE37}hs(V~f@E_FY=MT8^Yv-|R=ViCmnceth8DaOgL~h03 zH?Pwh`s@B@7TyE-IPJ!-od+Mj4gJrxeaBH-y9Zxox72-8xV!w2T;IDD6)n-fgdhJo z_Ub;@^&JOJ`iiXoIO%WMjh&OfotIzpdHR);Cy(i=mB}0R<*UcpmB`Gu&3OYWI$l3- zSUdmU;jQy)!NCi%ZROFIpvoRp89i?KwWZ>~yZV=ZZq9uvfA0}%UO~&{-2wS?F3%VJ zmba=dx3DSO<^kXO8|#mM-ktUTmEf-Xvv#re%j}+Kapw5P!$)4b_df1+@4uV)I=z4G z{LjZT@YH&rBOtY&_f_p9jByWa&lR*6$K2_yN`9aAh5!1G2KGA-KRt_jZ|nE{_J;d1 z`tR2Ljo!0(-Ra}mdw0&Zs6Aw17u~yewng<Li+8r31ZzG2Yqe|UGwQAM?>Jn&B2Kff zu&sK}!FRSV<<}K-Xa6cb;JK#m?7T(4r`$OFP*<e?L*L(|J$t5~E1tjV&%9mh)xXU% z>zgjDKhLi3yKsJ2p-oj=&flJmUyEDU&S%|LcWs)#efczh`|9q?=MO&ma=Culx$Sjq zH-7CrV*1syuqglP>LZf(9^9-Ixt}b*eaFFfo8h(Iy-EKbiaq~vpiX;_S83gk9B8d~ zUJ_L6J@0$``M+GXec`^aJHK`wx^`Z7TivxAzivj_{e7c5zwX@p<Nv-~KK|`*ZWE-* z_ITQ_DHi+Jzq<Os^xoqKbzRoyum2P*D{ObRxiFtqFMsL3)E!4H&*iVJXr24}^&Z`O z55BNk*)P-kd*mng@sEj*&UPRB_~U4@wZ7zTh+T3UzHZ)J^ZRf5%D-=3KbN_E{rrtb zUyL_eyg$zrZGZj7ubqdPzh)NvWVo7tAo|UoDbK4HJYw`K?6}LWIq%V=e<>DwdVg&_ zXt{1fdGyg}oAmw6_a1&q-F3ce*V%ZxGSiL!y9#UUKfap!xZ=aAjgU(A`J1az`G3D` z*lVv03EtGgUy%`WK%U-t_}Y27YW`*QJC6Nx`+oZ|L;B2X`h|_bZ|q$@3blVpZ;sw` z{NCFa^6NJKmYTaiVZWr^1GfB?*?*#5b>8lre7*Pax!0gtuja7DyM08}di9gviQ9#I z{a)BDeCM%hoW0NM>pKpq_Q|cQXpa81`FQ1`f0k3vt>4%^`L?#)Bh}x!8$QS1sz1Q~ zYG2jnZ^pa-8<!atewYTD0r<7{am5d_n~-L6G^qQtMrVFqp6>iQIUPTH>+Z|`@88}z zzVBYr>+~MsSCbzwidTH&_|?9>qFwsVgD(@WNI(B_yt4h><GYT3xeA(`Z61iMzoCEl zbIkAG(QnNk@7ylj{$A$z>(1%#rH-e+m#a1}{I$O;+TJ(^R28MSuAL9AU!Pu{f3y0= z{JN?e`{cVe>;*U29?tnCa-(|6tI)>`??1?W-*K2(PtJGm{nfuK%a_2az4A-Hgz|6X zH;eaQ{CD#Amj6w=WYqj#7w(x|@%LY0&BsR2QU`u5lxol31y8kS7Y3{L+Dq-f7b2>? zbI@up7FzB7te6{K)AB1K*50bja^rUC<K@EX|IPc(+nbdoZ@XWg^m_T{!nND$cHQ_T zne@9ZqjBy0nMYry=N0~n1obVW?fG{svy=6kx1g$W{)5U7GUvm(j^8-WnOD##{A)Al zGKLT9zVL6aIKcj`*ly+THS<<{&fc?dUAMnxUY=T?oh>Mr&nmR}cjgB3w(rY7M;-;Y zVcTx}0(D8!e%9Q})A6&{?!FA_;PT)2W%+x~yURiH{LlS^_A2c;X2>^h%?~d39S3CB zeRQZV+Yz&>-Zt-J!{^<a@9N{e7tdStnQ6~K*?ZA*_b>SREb-Bw?#Dm=c!O5syggp{ z|8!BI-rw&6OmF5#$0fJhA6}nPd-AhRK}ET3e4Fr`$Bf|>W&CUJKhm{(VEeA1%zy3o zeXBlSujp&8)|7iJp}yld>%6<sudi2hmv56Zuc(z@D__t$cl}Gdz*Q9u(=Cpis}=R% z*lry2nD^deuJrH0b=~aGo;I9bo;5Em{(9}U8;3t$o>4#Nk;HEQ^nV{^#4FmfV+!WW zetW<E_t%O>_L>9#%uDt&vhS#A_%ywuJ6Og(;G@v;isrlQn){CHe$Oq8d-3y5zL9-J zTXVX`z5{}N1?|OeY$jJd<h}Pu>bgbcv}@%Shi&KCMt`ha{rl&Q<Gg+!81+BCvOE?4 zSZKOM&ALateIMn%->`IjzWe{$uX^hWI?5{=r)RG{U(i?l#@7EM<N1pC=l3sFf&%UK z<R3?7zwdecvHW=1KJ#y7kDh)%{_)e6<Nr#1(|_JAmj5l!+GnSKe7W>-@e0>FSO4qY z3H;}<M{VEiBinbV&&%%V|MXL$@Q?nBKMyMA?*BL2=D7DG*?V>FAH}90xt<>I@7}e~ ze?B)~`(E)e+0t_HHTeqLL+`{z%lTH_pI0OP{mJ=rOa3(0u<M`r9<l#d<G0z5&-y=U z`)VAwzR<7k!0UtjTkVc~^nZGFX6e53uO;tIlK=Rt@sEVHee(Xz8ubTX+r2vx|LNB+ z_o(@gbbs2u7LK!j@N@c>eRDGZvm8&Kdi{p&>^l!+%f6jDe|}v>M|1HB$#;dVvU_>w zGM8=beOw#)fAK*>J)5`T4?fv^;tPL1l|5#@Ki|GXf6wVJx7g9J=b-Go+R)eL>Kbwn zn|A41AN98Ry>m`nz-!|;{|{Y%x~{Lz&;DC;?&miCb&>ZUJiWf9u2gZYeqlvoWk36y zy4cs-#RF>^Pj{cPjEnWT_vp)`qIUD?6Q19{P&ZXyy`s{yvUjid$(!a|@9o@Db3pc6 z)Y0$L18t6->?~?5pFX*~Li7Z4TudCF-@`rHCp7a4eyShM-t%MYoR{(a<wb8^KR)bP zzq+D)(zn|WUTGC|UtWD`bKL9a*QY4od3ZM6WQT5?V0^sy>uj0W=yw}8PxyO3^S_jS zVVUev?>F;x-hX7=y+6FJGWMvr#lCrS9{*zdBz8VuqkKoAAHV#S&m|SRC*PG{(Qx|z z<XW5GGh`mh`W1dFJj!kJza{3OZQh4hle@|-eoT4uFW~uldzIf69}_JP+4_BarrafN z@oz;;V*B&eAE!OP_s}-4p#OWtG23XF2h4qi?Z$T=o63C<v$ntV`Q66uYMaBxpKN3v zSoUq~H<o!|T9$XD=E1z5GyXe%7TsL;Tkfj<;nUwwW!qHG-*eP@&+*8$75&fOPfxdh zR{2q;ea+{>CgwSh1*TUtX3IPjJ6>_X^!)peRjtDF>~|Y}{W<5|?jzZc?)i%37qri{ z|MYL)%14Ul^3>9A?B5ylklF6Rl<HjhWuJ@ZJpW`CR(I&N^qjd-A5GVbub*hXqssPU zv_}2W*V=pR=RUfqD|)})lW*heQ|Hzm`#A4M(~oJj<?$DO-8y&jiR`w&+22-I>^)@p zd+VNi_5nX-xBW`leyvt2F8-13&wIHd??1?$_doynkIQEXkxkv%Hixa>JY+lnQSSGS zql|VB1j;KKt7RTC9=}o9_HKHDxc*0;>D#Y<{&r>Y0nYQgcg%g1ZS#Qbz0lF{>bcvG zp8HsIuJYjYr%cuSQ@!_8>%7ii|2HVkzwkueXKRgl55>ZF>{|S&_}RuIM<uuX|K#_$ zqNn`G_Of@%TlXD1$o)iiU177hen?Hf^qogPT)X58x~=!rY<vV7mfSpD<K3T-&*ll@ z>%ESq->6;r=Ar4l<oBsvzbl%V-|V0B$9i>~^t*?Tp4{njU!yOls$TJ(t@8Y@3vtJH z3yYqAzvwUfo*k?Aq|`S2Zuq)qo}FXdL(BQ$tYz|xen_?RPxrpFKkD9thTnX1rhlqx z|9g6K-OAr<*K4SkPoArNa`W!fig^WX;ok%H9C~Q`l=<Dp@=4!Tzgt?-GyVHC@$#TO z+kSukm-S)R^YiZySMHhj=!4!V`wzRWuAYD6=geohhku)TY`s6PwD`^4(BGvy3cs3X z9j@Ja&vNgZd+~f9-!K-nMaMi;wcj2Y@AT8~+c~B7^VjbOMBO=VSlIvj{qv~z$<g-J zjh|xU$_pIp+I9CIjec}nTx<W)*WxG7`8-e6pXMyH-{stgO;g_58LsR2tsnZQ;qLU4 z_ae9cZ>rucKK=2@&$)6dezASC>N}|~w`9lD=Q|YUMa7<5{kJ&gA(Oj!z@8)9;=d)l zo&VvN(EA(pr(g5^e!JoA{FGDoKUB4_f4c2iXMR%t!?QoT=HJeo_jX@*d&d8^QbxXW z%f8-o7WMxqmtXML;Ar%o+8sH6?>xA9bLIJZ&AhLg1uiz#EswP4*aWTnIOlhCUBlZw z7T14CuGcT{-}hhR=-=WOcAwo(PqRPl{pjM8Jt;-=eoH)U-(xo+e$xEUzy2JuT0i4S zxW)bnk9fB|&;B^cp8wCzXXpFuxBt0WJm1!C#!mmw5qHZEygP5o|Lu?6IlV={TXv-H zXPx))`Mbhf`Uj$I?3aHMj@w@8z0o$l&pH0}>f_n_JFa^h|6W^p_gSU!&HQfuH{0u) zzD0lhb?QvrS(W`q!}@EM{+V?>*!bs1VNv@BFFZHZ#<ktBo<1-CU&_(sH+HUi1^wka zYF9mCzGv&pcW&+9SIbX_o#$G9#Ju&<H=FD|iue5I-T!&CLar-a<NtwOGTWb2ui5_j zuV>vipLfS!d)s`T(VyP?Ui@K4#qsBVpBY8n-y1Xkzi!-y{G!yO$!}s@;~ptaF`g6W z8~5;!)Te)<x9lH$m7NzG_Hoknw|ls5SDJ47ES2*-bp4fotm~&+B>jIA+O@vmOV-Xq zpL=T#yvt7c?s9kiaYnm6VINuE$E&aZ@a=0+@Ado%)pPa+e$!w6m;cV~dHaul`_rAi zdVX~r|A$F6?=8Eg->B4kUD$rN;q$-fk6m@|J~mZs4zpRd-}GE({=DTs#MIAEx3;Nn zwAsJsTy{ZIxPC}Y?_u$iyk+$(zjt3fd^n6>{<e*Ue%L>U+Ny8G>lS>Dexw*TA^)@R z<C|Bv*8LZHEB@gZU;2~uJ$avFr$^2IP}Qn!{eNlK`@-)=m4&+R+SwnqPuI2+xc~U4 z=+=Fet&arb_6Eg0{195yTYcwoQ*~6Wch1+f@oWFwnaBP+{L;^pvrYDYJXzE-Is3HZ z(jQ#cxBV{_&ENR6yyEbD+xmAujB7T3vrdo?fAjuN|D$S~>h3vrLY`aRh&>@&mUpc7 zL(`9{!=GozE9akCKUI3pe!sGHi~mkrKiyeouj9FbPi{Mp+>1`tf3z=ua`Kyfv5=^4 zf5dz*_vwSSTkETq&w2E9_Y>Z-`la7zUp;(S^y|mMn&P+Oj~Lx6gtg~Acp+BQRXykN zr)gc{@1OnqVt)LkuSonq{qIN5Mqj=EZDrT>idyZRRkI&$Hb1S}m#4n|{<?}nY0K*N zIrqZ)wilIVTUIQWd31AkO8+0Z?N8p{U;D$M=J)<jMi=XDe?MXSz4PAU=dV|^e~+s? z_xVj>)9LS;a`vvjMRzFfTek1y?{oDziJjg3C!}NQgVufcBmGJ2`2DHbdwy-)bNF`r zDc*1Y6yFz?yyBLz-hcGx$4`v%=d{Z!$`W@T@Af{$eD00-+Wpt|Y~wx}`^N1P&+`6B zwlV9TSmw>s`(077PA1|1(V~vY;itG`_QdrS{1vl2c6av^mTLJ4(=C44TOO@cPT%os z(wxWNq(5=(zCW2;=ATR4<1gVwou}<jNZ<M6yY555D&fAb+1-CHpEmVN`@|>ye42F3 ze1-Rg<%T<t-b;PT+_z4p++zQ(JC9$bR33QCoBG`R)&6Skn@1Cs&KFdL-#q+u?^DY> zIgQ^H6+2`S{%<Vmn5=%9JH{rsui&qZ<*`^z^&5}nSt1_2@a<Y|QNMf6!&kONeYg2f zYQL#-(ku93S=oDe{Rve)|B2>1EKi8a)v4ug{2#sZ*va`%W%d4Pn(wfC*L3_@djD?Y z6T08d>B$$A#O*x%v-OEw_&%El--<SUj{a3Idh_VRtxqKV*6UaA*wblq{OsBkdH(VV z%x~_;@)Z`vS2lM0^cDQ|usnA6@l%#+|H;!Ws`}<U`qNs}wtD($%e;8)^&5WM7d0L( zKb1Y_T2bfe{!`L-YP{Bc_`q7({dfIoQ@?%6?+ZRE?>zWb|B3CpI`!>0ey^@MFwxlF zOkeh#zxwwbR-0`ee4DfB^5y^BC-nIAwayn*W!^meRP>2u-a4({6%i*GzbRWDIeGRe zt6rYA`;L8^WgfqqSJbqZ{e-uSt&d;fzYNR6SB0O-Y;WCj=v|av!4ILz-pk=9RrT&G z<QIGn+j;2l?x(un>@}`i{G74p@X_=qY}czR`*-J`(*3qiU%uc=@Xo_O*FTjDub;wx z=U;4Do%?_PTE*x8|37{7p`x<w^5#==dbX8)v$;>%$?55_->?tlD=eC{=fF|ZCmh$W zPffma*TZi^xp!sf;@hV+&rL6CTmAclWuCms`VGH1b{=^6_=&0Ce5L6-YNqWuc=q#C zE`I%~+i%qO#ynm!seDI8`<(~B_I{Epm!B5>rZ&j#!HdsD?Vs15vR$`Nm4C<I#y5ZF z{rewNR(RvI@tl9I-wJ-}SN6@m9P{JGpC1(#i)9l2%M^7?{(O=<CMKe<pw`p!SgZml z!EA|s^x{_6a*O?qa~{50R@8Sp`n2|&d!Bj)75tUGmw%sB)st7sFPMB<RBoO^{>J|$ zJCB_#ek!XMr((XNu657xyRV;!Rp(FSx2T$a=i#5fMg6Pi+C2DndDCb1U;kBa9(`#3 zMAC1+cJ+=w8*PrC)lZe@-#?xC&3|9M!lG5(GP9?%>cv<d`WpE}qWt+J;Wu*?^b1Q2 zEsy?8eZmtSKBf3hoNrv>_mrIn9`Zgl^-JD)<muZdym{}G!Yg)6-*faI^HV8x{^{jA zDthib_~rRYuKfIj=r^|hb`Mrev7WO(>RsVix5@)=Z>K!(|MK5<Q}<#1lg4xEV!jpp zjI8XNt$*51F3R%2KS#d8PsWvv-S<xjuKTZYe8=zhISKD~bj!`2uiAG`VY!9<>^To# z*%bBN-nr+{I(>1AJ#+RPdpY%~(Ddt5%y;aZ7xVDpu}@;Zw@=ERbI0-C!$)~V&96i5 zJ$`qvsC%#bDRvp#xOWBrLUta%y7?*NcKr!+?JxeHep-(&Ui*B(ue6(opN7qQ{H%6U ze|!H4#(O)(?XRsD_?|vdyrM48^3X@lqMmT^$-;Z~_Ut**u6;^#UTo~S506qTkKg2d zDthloQRDaRlds>{xjN?2gL|LY^yM|eEB+Q#c9-{0%)VpqdGFy*<EL!L&rkkd5qe7Z z-o7Zi2ZyDf@}0k@_r2nyT;+kA?J3XCzxw~|@uu$Y^QWfY_}TU5;RBJ%zUk~YkH4OO zlJVYOH@?FE>XnVp|4&htkuUE|{w#F;_`ia%Z^b%qK72mh@^v=*>*xCKes8sV_O<b= z<ZI)&{Jf=KwXNU3`y{pd`y}%l-|jzpw6N>FMgGax(KQeDv|WFF{?*?LkKU{<YXAHG z1hd_rh_e5S{!Q6G%~s}r<hPB#^DU3;z8w1|LQ!eX`6n#w&nE`oiS;=5@mWw&<7Dkq zs`Fx<*A*1o?mV`7_9<SzeC_Q!_IKZT_{#26!}OVN_^RsO2X1Qr?mwkA#wM8W<0ICh zmiFnVIq&U@^DF#iW_kSc_fxES_Da(${$}nxbTMw-#^SC!4}P&1b#IP8A^YvW0{@Q> z<v0Io|F!pf-~Wes*85qOo`q-5A8+Q@vQy6QD?bt~^WpQ?ukBmvnx8+p`B_aq?9St_ z9Or*jRv&o%?)TTvuLRnyD;qESpBB7VAKbU`bFJmUgZ@ud<NihY6@K=&JbbvY%Kq66 z`^9?>z5aZf^<Jz?+~a>iMV-&XCz$WBh+J1t>s;Br_xK6ZzIiI|3;yx!Ja{$g-s5ko zMcsG9PqWMH3w&4b%WUWIr~gke=h<mk*I)j_BR@IzO?}wBhfhv#YVQu4_xRWEP5ry) zpJM!0r`&GwYx150Kkc7zgfG8#-a7e?oWA-E+u3`LJgj^ovb@;x@Ke<%GUn>1v~A|7 zh~L=1Y|pWiU!Mqxn@=;}v2SV2!*}04iB*?R&X!sFiSIf8H0c;yr+bgT6&7{hU4DvP z#xD9@!7rwr$Df{l!f3vJ;@th0|9h2f+&?wlqH_M5hmVv$$y}d5rS?v39N&iTrj|!8 zZhy*pu3p1^$FH3-kGD-1e#7@ksQv%6;5qZc?EjxBs8q8&e%A7dsN6Yq@f-U)_8dF8 z^ofx8^y%h1_?~i=U!S)9#&7?f$1a|IB6}`h*?h;Y$$O5!wS6MC`}=f$i<%X89)1Zd z>OVcz=E1X<n?CdZ`X6)i=)dl#l74ZT)jKNo+8qBHog!cUeiHMWS_i(uFZG|8p7+W; zeC1u#b36Y8XW4#r{lXu@mPcQ%f5J1penRn`KfZAfABKERjz7WmW`11U;}o^&J1n;E zIrx>csC9Su$>=w+Ve1M@R4dy*uRhJY&TrcH9eWqvN%}7KiLt%&&ciS3iuzAipJvXJ z)3E-(<UjxP*f;i|2s*i`y*vF>ag4qHx5DsKjNi<PIu@Is<esxXwy)r;oaM2%?N3;C z&z~^e;?JBpkG^OZwVe)rB4f^8+1ft;B<r_&?e&G9(sv#?YX6jXUH|3t*4lUGI^Iiq z|E8#S@#j<4bK*Vn3Z9;>z7yw{x3OCEXT#y_ld^v6^}mBE=m*cjHhtFrRnLC&=)b#9 zCH>xMSMNCS#B!ay=I<TVdt?&cXBKrVetv>`&i;VDg0DrE$KGZ?W!e2-S-<dypXJd^ z-Si!QR?K<)Ecg=_fBXdQH}$b`kDpi<b#}|2l#coDw65U0b!GS7{ijU(Wd80cZvTAw zB=5R&I`0ds{dOLG$NGesPhYFtV(*qak3VsJYM8zH)VcjH|2vg!ls{=a=T6MGg2L#^ zzSq{L?cTl9WWQ0}AoJ)&;wPr({nM0VYy<lWqfc;_UH>H1u0Jt&&OWbm1wYv=kDrZx zDk^tRSNz8R1$&O2JpM#TeEvl99rsR)zT2mnzwvwR&SMw-pUR%A*EQeqYw@1rZ|^@9 z+YQPA{1!HG?+U8Ib{=1<&c9>lf;SfGmjC~+fB0xq_g~?sl74=ZY-3`a=RN+lYg7Mj z>647#@-*8mcJ1AB;Ac=@L8-juvA4}nSa$bMm~K%6iXzRTw$te+E%WB-umA8NXy<`{ z*-uRUw00gjYW;+F-F@xw9ltm1IeJh1sg(Qt>E#yxSKWE=D*BV$cKZp@Z~n#DJ=iea zdd@%BcZEOoD-WE_{PJ1hdB~UlJ)64!7N0PlGspj1L1AKL-)rwvcJG)h55#G-TkP7l z=fF?iCmiACQ<Lx9i}Kr0tzOxAxcY>q-+T4+9W@<u9;;0cuc&B>N%;Trla%`TsopVr zJnlXCC0f+F`})b~H+x;z6@JmHZ2$cIH1E2yPjczUUq83jy*DS+?!jL5@*6Wx+w$ot z@>f(Ydh@6K*M2+CvXAeiKJk3NKGoJ{|3;hRA9YjZ*XQZ8SL~kRT(hHp&w<VCrv>9| zocapCSy&#Nz5Imtp89EXlAf~`wKY#aX&JZAE$(5ds(8hBzRKR;-%qK|t9QBg;K$^m z*6{h$w^#fQ-Fd7%|D?6e?}c|B96kTk_FUD@qqFy)VBhn9`kln*TYmlg@kaT$-96d) z^M6}Z96kR$D<=8-m09fn*FLwL_Turk;G&k*=TB*#+pi&R@vAE)@x63W^X}j$eAn+! zz5BSN?j!Tf<0t)}aPYsM5PWA(v|hnayPbz#vOi^Om!E3=rY=UVAivb6_nq<Md3t;w zc#hvVEIIG7>9>z!>=pgacOJ9OD_qaPZgI@eub^#uMQ`w)qmuU?Uv)Rt-+Of9d3%4^ zx=EG4cD{Q0cv40GWAnN1ZyaL2C%oQn>7Qq3yQbgRyZ2jkU6oA#$EF?EHTK=tx_{L2 z<6o71hoc`IO`jsaH~OdLyAFLj>pu31I`(JG@9!=C!>4{^`~2X2N6jmIZT{|gr1*c~ zY8LY&&*LSIr{CDQ_+0+SZ_HNvH-0^GJw9aL(Qpf8xmwS{|A$ZU7rNfL+5gz~BlE{u zKb|c<wSGgn`d$6sn?C98J7QS)U%RVXK6qa{_oH^tn*Q=5r`K!M|K)rtUZEgYpDPpp z;K8|F^M98fz3Z=CuP{&OzVD;xJ&N<{oqui)`>C1N$$nqv`15DGU;H^&llyeLh0?yv zlj{49X+Jut*(H8Gu&yQh(dPW#$9(TP&2Q|S_bL0${m}2xwb4Jt&VQIx@k93LXK9W5 z$5lVp3E4le{rGD4srSlHr{9Qu_2=pLV;?2-JF4%8+w9%=DRz$Veg8++dsO3Orqu6P z`KkDhVEms18U7D{@^;;C*d49C|1jsrxBOG{Kk~*2``0h|@u}>Te}Qw&zsH4t{xkm4 zTX-eQ5x$@KPU)35X+OVyJlM=XZ<-jJ7K{86Rb4TgiVJM~a!XP*ScAHF<Z3=VIM^)0 z#&1`lA-0BvKR_WsrDB5op4V^x#ig(1`)~8Q_-*!m<Ii(`pQ&V-G2ifVy<EYCNO|i! zcOP#`-+9pP=d<Zi+iQBmpFCVY#r=EO{o|rP-&{{W@BV4t9<}^;tLs|tvcLMdmhG#q ze}T)pziyQU^<QJ>O#WV7*<JqRX#Ump@+<24)IYsAv-vq=oSnz{^FQZ)dg^>-{)ymE zcjNXQRjvG1{dIN7Uy<@Br|sw1#y|Mk{PhQOoQ>08zV;_i<*#n9X}<pC;|iOqIlmOw zoqS$zRc&`zxw3h-&tIu({)?ZZ|3}uI4!2PJ{(q5;g=;Op_>;$R_a0B%^K135uamFn zZ}=QwTlZ=E=I4TZC+_aoj$e1Ryuj_=yQkKBRL}okSohWBtFg@l_RBXvtHv#<s+;_C z<|}>+wfp~q|FTwgnm>8De~S3|F#BV?KOfysKmWYqn}Kaz`}6am`$2(X|0;UlG3`$u z{!e*+J{%k<`=Nnye^1C?uHF3CKS%%duRSd+GqHMe@tmy>_BhpwKY#K!?%pHW%0j-c z*IR#C&O5QVc%Ci)hfji4b?0_Y@n0WSujGHSy!xlu^d~oWUX9=D2M(9d;BZm?`h!{S z-h#Sz=TD#Zyo%m;F#FSwnp2a_SIR${Rr79+?fi!m_Z++%eP#W|@;&qJ|N8SPdfy?= zo%M`QFW$cTy&&=LXPMG#^Yj01RzCO3Vp95<>N}hEC))kq_iZ)zt3Pup8|Mmt`Q!C0 zarNIPPPg=DxLYLDpSG!A_w6os_Wq=I4|@K}e*UC&EB|EnGwVI6`%~lQm;H!bZ@$0d zT>gyoiiG{?`+iP3$FH~QZ{JTLeYvIAt&QSqB7O`1JWzAM@b~7=uRhP8x9acPnCJd) zrdJ&PH|Ou$=q>Z(e17wNmN0*#Kf{0h#ea_;-724X{$tM4=l2uj^>1p|tv`SLnb521 zGuJDQ)*aYm@BI6r>elzh-wTh{9l7&+;<xnam+DT`r0h?(Pu%xw+qdj*$<IW~<kw!` zoD?_b_leE__JmKr_EYmSi~F1PGr#}8_Wbnjo^Qs_^v>P9Z}9!!qQCcwp6TA(7;hB+ zH|%%o>d)NIEa%<e=L_0r6SzM8kmfzPHG7VHO?}Guo^Rsw{)gwk2Us3?`}B$FIeq=> z77-_u=WQsTbZ&NRU}e+X!%vvb^G}a{Bd2KHA6j3yL?+?AS5e#ad3%mp+xcH)=eK)( ze)G|f?oZ{^=TCB<Q|C8tu7CaguwI+vH?KcojjQ*Ld-SKesKMNRl5)%+AHRa1+Lf)- z(@$l;>HoyWUO(Ae=AZYyM=$C>@g0AD?RRDO<j<#V{q&XkD@r*lpS}2+zRu?OYv-q| z^Wp>JlD>NtHHe2#R(=!f?f2nRMrG^Y*Qc{>_D|k(@N4%IA^-Q&wr{K7wP*8R`BS?0 zz83Y*jz6WGSFe5k-1_VH{|3%`{BQjyCjS3ZrdRw~FZ1AKO#7VD|4MVLi<->Er-kp> zv18A%r>ReP*YQpC-m|x9&(W_FKk>a^r?q`Y<SE;{{z>k4WVO%h9oTPfKJ9r$X>n!a z=IGPjd-gTUJouwm)MCDI&VxsGpSY&;Pq@CLYQ>&|x7|;vu9MfzFZ^Lq*}gdViP-V% zoySiaKNVblerme-llT9-pIDxYQ`7(OEA*4l^Yzo2_f&3{dAMkj@SQtP1O8SwFJ?cH z{l+HZ-h)3bmEDs)-}hU;zWrO)?n7Pr?{9VO{Cn=lc3jVXU;g6&SP&%ekeLK-Y{dHi z1hw}0U;6FY`Sx#q_uIeu>9zO%N8Nt@cm45i|ICxB|IdzfS%3fD|7SPu{TI7&@Bg$L z_x>~GyssDB_I*Fg?cevgZvVb7b^G^yw%fnsVMesS{k#6?w}01@tN%-H-24Bm-m2^Q z@9T@_eEPTjz_)+fk9_+lo>2Y2d&A!UsyFWa54&;i|Fs+U{+r#n_unh$eSLJ!`+Dn~ z_w~BlzVDa2{ri5$+rRl{*I#e{zTYzLBX$$eLT5+K{C)Q_H`eaGo?Klox$*Dcxv@U$ zum9V3cH`fgyvDcB3%zsf?YTGn-J^5p+voKxx6f}5yHRJi_1L%1OL(`<m!Ep0?%w93 z-#*Wk-S)j%?DqNesvP@%$&G(&Qp*bW*8Q$LDRcY0z1WSqxQ$1?eePtqeO_28$KKwf z;4|Oe`n4PO#;+~h9lGz}L-vaOhj;UzjDB<d6JNf)+V&g2m)v>$>GG$JyXQ}B_I~j- z-%kJh#?PvijnDVY*E>7+6T|J_ku7ikDjodxy|DFd-TJlX_di$N_IvNqvi;>Z-j#R1 zt^0oC-S>=rhU>pis@nV0w_<NaS#g~Hxjaq%j}oUC{qnWlckJIgC*k++Er$-rPF-JE zG-uDjZ@+tlZok*xcBAh8hQr@}w~F0<&s~*c|6hLNUz_?e&AoNMznApAeSe<m_TQMK z-=BFm{Qc>6<6n*N?dRu<TebJCKT~k<dTh4wd+(iJe-?AEU-Lda_?eyDo8Na=YsK66 z#sBBEIQI1Fl>CC4(wzrCXP?-7eD(bV`EZ+cwYHZNeph84`1W;rab|7Z+t=3ox370A zZhOByIOl)dlN<m3uH5kV_qBxI--`}>d%wO|(stk9-|rHCS1BI(_SK{7?d#bx*K78M zJm6iw`ABrkL$Umi9oaU|E8l$(GPgLS8~2bezo376#Q|Npj~(hZ&mW(;`aHc;R_3N$ z+{3e`JMAla!|(JjoqPQId%<n%r-$Fz_wQc9@2a})x1azxAMKVCuYZRB(r5b*U)i^< zPglx`*I&D#_V3n%-@e`zlmAgAc>DT%<!$TppR*V5|5166X}66(|L=;<<TsCb*moRI zTvym)E%TUD{l?SEWjBs%%RJ^uuju8T^H?gspq<<1;RlVo{L^>#Yrk_pduQMMmmBW( zbKd@2puhV3`n&w{xBqr*yxY%n`>)1^yZutP|Gt1q^Iv>?`|pR1cl#x7|2?tcZa>rQ z@4=#am2;!_95#LTk?(v(9B<qMG4>sYIp2Mh;@@#Z`QAg$?-gY$;{NySY!<KRV}A39 zMgN1;`E~1m2R`~3vGaKy%bVNZ_j7K0zx?`*eewDmYya;*{OxnA-0kz)Y11#i-Z;Nr z=R@QB@C~*9Cnx;=m(~0B_g10X-|MYw9{l#sxxfCL-umO)-?xk3*tb6}ssH)g#{!@g zz~>5Ev}GQ%saG7(EPGR$z@Kh$Bzw*yndcSF+A$B<rdJ#gE&CC{zKdUO-G<p8zj74s zmS^7f`=QR4pId)FOx!K6xb64Eq}}qC+kOWo?w04>_S-OFxBNNLFF(rcZF0UVA1SjB z%K5H*yv$xJ=lkMg(Q)6;72S!D-(GR<^P3Ms-z^R?$2}Cx|Io6%qRe&gJu&NwBgHn$ zpFdKKd%#g$(K@}NIehz-zc(JSxZmmTsNF5Eo%21p`E6a!#=HGuxBp6PyxadXv1)Ib z{j?kJ+*{t(t=Vw5pLg5ujYrGuqjJ6{A1Skc*8ckA+d7?%cl#NBf7?~iqde!Kgt)~) zQ@_IY@QOo{WtH=?-#nH%UeRnE^MGmkj$@+lK8X46IHo7R_x8)WA7;lbzb{VC`<}eX zw$A>k+(Nw3-G2o=y8o`&c(?!9uRZU?zVA3}JTDw{j|!t4=m_y+o3&qlopR%#waszc zvX5uv#Pv6{Gw(UV`R$_=`;H@j=a$%)?JzC27y9=0_ifW{@AJKL?%#j+rlv0bcJl9k zWzSxIz5RVVch3F$Y&Z7p_uWuizx&v?zqy@nf8Unf_CCKl=l=b3>((6K{yrZR*Ss5Q z>!lw~xGwluMBU=J=DH7D<`##U<C5E-&rIgeFX-T&^GN9VjzgmN9<g1oIAHzehMc^u zo!If0buAXhg})~!<b6N9v&(KjG(Nw5?iacJ_r%7#{am;IW^B0I&v^T9!Mat)w_{HY z7avYL@7(Wh^T6_a@eyyEhaBhE9ed7iUD5o!qC57^BUZVM?a#mMsOYSfdC0K7pu^na zQ1s{868osvkDs3W^{eFQzPtUsbI;e;Cw0HA+j8UG@s783XV$Mf-+#AXb=z;jM64;o z6qNX&DMH2KS?Lms!`d+q&iwketFXP;<{@v{+tNh&=@#3rHyZCb%DS$ww|vK8-gyPx zv9}*DKJWg#ahJT|JUNBscltYb{{44-?=rjn-+rgc-+sS3IcNX>a~uBF?LF}A_b;j2 z@2^|s>|Z~7!{2}BV_$uI`@P$4+x_rkH|pePCI0@K)%f=NR?}_w*~@R#?YD_I_dM~4 z@x1FT;uU4Ow)+{ZEe;m%Ii{!gk?H-0_Ub)HjODH$=azZE&~9;1Fz&I$bc=)5d%R2K ztM(jK{hoYw-`#$p+kbB)?v}UR_S<mxHoN#Td$Aku+#BB3soZ#XT<Z2;jg5EvWw-sl zn7mtl^XnI&6u;qaKf~?69vklVi~7ASeJr!Su(kNk175j;M%ilF8;3>tK8h{ham@1G zLoV|j2X*BN+GXv&)qKh6?^l%HEL^ewfabd$^5;sw;?3oORW-Zi<+lA6Oxi84x$XDF z<lXY3+kRh602dL44=0{K|0ctBzUBGL2fb~M3zmK4(*M9#UC}*#$5A`E!iMKI>jm@+ zTa;xUi~4<FTVBx}zT@cW$tCt~dk%b7fAPAX_jj57wj1x<yWiIJ+<15VPt~uQTYn>x zcFPNH`@Qi{nZ4PKcgMwU|IOHVw_ot~-x<F_j`7a<u6(G>J`5Bp>3i16h*vac$2{Ph zUU5jYta4uTo5xbeE830kJYd?s<CyHbf_`<Igz5dt^0l`gC!aa~Fy(GPPr1dDx*f+~ ze9qoq|8e8rf2zm6{hrQq`+YoD&i?w98~^@WdidM#>72LU$KOf*`s3SgarxWt<CAjs z*Pq<*_utY3-+oV*y8S-hDrbNF%ng749o@N4uKw8PS&v!E3LC^N4$8$nR@K|sUv6>0 zmhWTFbJ2&aeT5Cea~_DS|Hw7n;;{9eW43zp7yUL&-ghwjo%_i<?~e1`{`+F%-Ts@r zUw&@+z41VqJyXti<%4DR*KWLX?|fVLX2acnx!Zqd+zsf@|DN3VwvOe-JNKryb!#@> z?dLsLIhS=^LFVrrhnVjrwLgzc=6_$%AwB1j*z+BSMc)-Rv)eonw62-+<Hg6qGUeKR zPPY$h_RU}Ud*Wk(>v#HZe*W?O_#dyE)~)x?Kd^j$%|bC={8stN@Er=@XXanC(m!_i z{+IjxejgA0s^NL_cy&o#)ASur|1etnU-@}Cy5isaY3z6QI={QWs-|lFoky=ic2>^* z^o+Tvck}m?x3_ES+bK=A_%k6U<kaQ<)0SoSTI=sGyz_s{o<oP#pM05nYVP@yhU?0U zzUV!@EB=(>`TXgB%eB}0O}3RW|MV#2>CNj;Ex+y4vVI>ZSLZ$N#Pa+T$~&uf-Ff`% zcG35^ukG(o^XlzWf4}Y2Yx&dBbN&UaySLot|IC<#|I0r;`+Mqf{Hg3Wf1J+QEx7Z$ zcg~}C;ctrj-|Vj!uMhYw`B~t3dRG1B?e_l#&iDW1@qaVD`ZsUo!9B-bzAyP#mS6uj zac;a}{KLM#ckk`{FZlNQ8C#iuanH9`|5mO%yl1=J@4xrzLSO&st8CnB|Kd+!zWvV? z-`JlC>fI=}D|)&4U%9~P`qlI6ocszuR_;9VQ2gn+Z~Jx5|6g49vDEU&+43iXzn7o> z=kxz>;``&DxOUr5t)BDWr|kT~+ULntwr7_dFSge_|4*~p`sVR>;ZH=%{HAN$d@bs4 zH-7pe-fI2t-E$s4lX-6Q`t#PqySYzJemZ?(yT|$M$6gnooVxmsb(~&-?>w0$dtx;E zKX|;8TT@fhRK#BWd|I@OZQ#9!EjIht{JO2KdA`8$-JOX4bw|&Ky-QM`-t2s3{tka{ znfc*AC;fdR_U7?}r$sI9HW%X!<O_-u-(GM3RF$t6UQsGoS!((8uIv*Q{pXv?E1&+9 zO%-4M<mJ83r@zgMKVNrd&r#LCMGHR%&%4idUVqtf?)3|7j(pZW^)Ywq`GTUtod=(4 zKamoD^7`<V{<xJjt<gpQ3!Z*`JEgi}M~h98{iNzWJC?-AF03o=iFq)?#xCe5n|MuJ z);ss!zq41|fAduK-bDV2v&Sd)tICUqUwZttqEA|`Uhc)dTaWcO+SYBD?tVkg{-E&l z<u{%tAAfvw$HCR!FTdv6*zW&v>yy;(>C^sdYxjS6qxGpm_Nj8EU);p^A7&ljdVTxB z)zT~W?^<;(+R*=lOMUj|*V+4zKJ<MmCtf4-BjWSL-LuZU-_SjMdSLy5&x@b(Eq`+L z>ecxB0hNv3pPopaGB*#Bd)Rkp@3K$13+@~&4xd*MCp^FZrtgnmv#(#@WWQ<qVa2~W zCqExPoqf_&?nB4vZ0+xSmmVL#K2g|a|GJn5Y}+eprxZO;`1*J8Q-*$v!&kpf$uE3# znt9&ms<->9j~Cb#i|gyHKK}X5=VIN;&d-Z0>~=gjZ+zhD*D3857H)kX4*c!fczAw# zlkLAhK{@BkTOZGUxrgWZ_9@l#_MhH!)NsAJec1Z)=Ebj19}N1-VE*JB@09I({&m)r zb$lz9JO1u6o3(yW+=H*LpU6I6J2QXd`O25PUn{it?3ftyknej%aq`o53$6A)iuql0 zwDHrV$`0jk>E?m+9!tId@M_s9^*48Y;^O@JuRj(EHxIvO({#Px`_mJ#Q=8qj`U~9O z-3zKbe%+dHUVPkd-E;mE$}LpR=P#}MlJQhBZZiAAPft#sn!ZDA{@tjZ|23W}|Mb|W zynTK9wj0qODz*pS-xudy=(KOo+M?f8xsBYP82fGN+M_>xDtpE5f8lZNhGJIBeS1IM z>@4bF{`6CJO8&`o3+4O|e^kC^-&T*mANW`HCl~*dx+OJVBfi@1*|ErGmc06R3$^v{ zmehTcc*=Tjf_iz_zB5zYcPN&>U$p0G4f}b%%XQt?`=^)RP(S~ERo(fYl5rE+%Y*k> zM*dY@cS1cUKA(Sw`u%&ce?N(Q^?oC#xBR%~_Vve;jejbI*;P;a_S{1KeZdc(r@Z$j z=D%CG@3+X)Y@3Pg-$UlDo%*|?vc+ci^rH7GKY7fXbo~kM^Y9%i@pq&D_SGe+eSf#u zrheAB@{fN!b{_wC`Keg@lcTj)<xdpvQR{!Vd{0H&FUEBz=e9gAU-PN6MrHlUz1o`j z74foh`usa8m}_mOZmJHh-5a<!@LS;@HOnJkXFnBLo<Fhp&Aw2*f^TL!kKMcdlr6tf zPB*WxJ-z15&m9jQA2{C8uPh&4Gv`Odr^4F$+J9x++N15_-<|#P>+R>K$-irk+=$C> zd;9fC#j)eO^5Qi*KO{bEe3G2>nf=AjwI7A7=h?+uKRes~RBm@gS+VW^lEpQR+5h&& zKkAy-FD>(cvHixw$8Q>|>g41q>ln|kS9_no?D+Zuw|!L;ehL0%$=6Mf+vo6?ciZo% zM-zVUI%+7NZI@Q_MQ+XU)f>9m<<=knTl}>`H!dbpPtIxnetyfolZ)FyqmgZU9v8m- z>T~0to#plm^QRxauP*-nM)U(Y>y>i(=V~5(l#<JL-&Z+r-hZ+4>y*;@gYUn~@2dUu z^ziw4`X9P%9u&U)s&eDszV!u%@BcUb_G89p!zYgq{FHoGaP;xi{tKTu=dFLf|DbK< zACITszUv=(7rNEn{@<IQJnm0K`?J?C-}wCC@5>FJy`KA496o+i_v`cRNBQy!4?phR zam=#+{U-ZQzr-x+7Ru$<HqHA#=O@?u7uEeib`MpnzwQ4nchdHmt>3+spPTpo&06}o z<{4|=&HiuSCc9_br^r2g5Nl<B^LDxamAW%`4n}_qKl8Re{_dpZXUcEb&iils<6hlA ziMjI)&ljBgmzHmD;rZM0v+BGX`rp5)e`@;Ka9Q|E&9*ad>)-FMU2|^zjOjQ2Z~9hV z{rlzPE%C<vg-7dlZ{J_B=bQBG<nJbP@86#D|9@lOp5%KE=KL+pub=$#PvcK1|2OCJ zmj2FPZ*CL$Tllw~-~L6<jql%{Z|nG*@AJgJeSeSMt2?{z^qxZx!%P27`uU0Z*WdfE zMCQIX^#AZf@9DvN`@Xxp{e32O&YtMs7w^^i%NgYtp03-pJ>JIi`SCNwGILgaeypyY zZ?DsC@oU1In~x_vxA@ml^k4JU@-wz`F3<n)Cis^8hhM@)p65U5p1b+}npOSbJqJzy zK3aKddi;e-%X@Wxc`qXGJ)HITP3EiXXM$}m%%9PJ|3c+Q`5%9|J`3pYTeIi?;!kI< zKNUOgH~H`K*B{dszT4Oy{^XtUl-rLjcPQn5|0MhF<mdEN^0mSJ(-XgIZ#w;W+S)gX zxB2HS-FLXAQ~B4yIQv_#{om-%<hNKJZy)_!{QlL);Wz&F&AGJM=5Y7rsp30-8Ge>= z|MK{`Mt<FrzXI*{Q_R2U=ofxTe)_NYYP|9HGhftg{yP0;K3AuA{fkcihhIET?|v?+ zJG$p!^sl3zr&gzD*&n}}|K#30@t+;rEvg%T>3$aZ{^hX!%erUvS1ax2{p*Nd@h_#n z`EuaS-%3xv-7haF@B~c++?n`X`s?BP7khZl|5rJmzjnX)(fdzXxBY!^^ws$p`h_0% z@4s%Z{@YpG|Fhxxm)Fx@{5iY->krX+|GoWQMD9EEu6~NReyDxYyvGyj{un*oUHs}l zzy6VfmQUu)d&<gpe(9b1oxdcD&->ILdi-;%{e!8>`7a~)9lpsrrF{K`pQ@kP!oO5M zyX{?cZ+_`~sb{M1^w-?^zxvY)p-t`O;uBBr*PLH?kInzdQSGlaZ0F8@{Iuv*dTUX& zou=Q(>Fcek_Z?9E+3<Y&wMyA{C(^fHto6}bTGN-izh>(>`N}$JoB7%IZ@>Os{r89I ztKDauWiFM+uePy^cy76)_-V(yr=0I@&cDGwgTJC~!mqEdOX})acOE+DbnZpuyvIL9 zr;4u+x8G_jbD{tKf<521$S3{x0;e3lb!+bEU%hYe{X^HkmiFwd`U5XbpR~<;DjTOe zwLJgHPs98I&-$b|+0}nvz2CLo_A^uY^}u~|rnDbF!5tGHu6J+A@!5C7zH1%77kFy3 zx%T$spem>4^v%z#dMDW5+;Mnbx<j?R^3IQ6r)+b6KaDQkcep0mF6uWp=^W1wu0LsW zgt7Mf+f$1jo?GtNI}x08WPc0)HvG(JZ63CNUCF;+VMVR!+rcSkqp+6#J;(W#eYL-$ zpMCpZFSR%Lx9Dev=i4t=?%rGbJoeW5nf`D5-)yh`ZT(I9S@fKHanHY3|Gv4qwC=c# z>1KPQ?f?HDdb;wK{0#kqGV{6iyXU@J`Ii5Q@b9@_Z_a=JcKZ8^KlwiM_Pt;CS-kr9 z$Lg)`jra=>|0~L`-*<K2@tU^3k4x${Y`-6IZqG5%-xu%w`&DaYpR(^z-kQ&o_tyUZ zd#itDwMBaU(LFU&ekoU;+H>4<Rn6Y*@wE=m)6a0<*|h)WZGXEJf9F)TG`GL}Q<Q)I z?}TsM&-m_by8qBFc>lqZkDt!E*C?}GeR6%o^YAm;F&EC;Evngft**=5e_D0bwEi6l z_Uo?Z&urhZ@O@oK<%gWP&mY`1{`j`^zHWKp;eSW|9r@Wjv+l3q-2GJx&#nIOqo(p? z<uvw+r2Rj3{NjFQ`7Lfi-5<`UPftG;(2sNTJO4?fdVRpV`k=oTo>?rjfB0?dE%|~k zQkA~vKk@d(FW>W9Wc~cg=Hg#Rmrp%^Z_!_w_4if6zv!$lJXg0Zcz?pYN3-_*mwbA< z{G|<l{(Z&mUv%CVp56C5@@x4SS(^**3qIA&z5bw1+y9GB`MU+bO*X{W`#tA>6MCa| z{;!+Qr{>#fEw6|>&3$fjP3PTy&Hnuh{>`cEaQ^j9yt3B%t+mCEEi#vGPdr|BE`5ss zo8M>H=UiOBf63?L`kDI=t=2!KT{Ufe;WZ6?sjtG%EZ4m_9v`;vN82~&n7Up&+tb=H z8{f}gR(I~sVcEZ&?#o~AVcdRyV)+-H{KDgP-$m!{PraA$e7DX1^;+@&BhGz%<8C8o zds_X?AD7B+reCd}X?}b8JO25{^B4BDPv1Y`dHI#vJCpP`{P%wP&iu-J!}0>J{fGa~ zyp><@Df;H}^vaIQ_chl?&wX#WzQED`_p(nv<G0LzbawuemU&OLV>Zawd;jgI?2!KT zZu?aB|CcKH?)et^o&UsS{>J}J`Wbeci|h9<{v7^%$DPRkw$Hix|6l$YT{Z3fha+_# zW50Sov;3B3rEfR)&P3-u7W401)IQ1nW^Vk>|F&PHXFs0tujO`kR{epCu1_MD{7(KU zbG%q?|K*>`&&@5C$JfOFd}DO${KsEGn~qmb`)!eK|GV**-e-~PU;fH##mDu1{_st} za#4C^Q}(yJ@+;*H%0D>lKYUWqYW=~#iA8SbKgsaFxo!`dVdL7}e))grr?Xd|h@4-q zHT{du{iN@&PCcG~DP8W-gnhTQ@5j3T=HpwZYyL&&{hK+jwdUVgKa<~Lar}Ru=l#dC z_8(fE{AA+1r<<)`+NE9(kNXqzcivu^@Gp<!HRA7t{8rRszWLPVv@IyM7VbNI2OL}5 zuT?VV<*TxP(djQZUiaO5?*5c}3F;yHe@y*Us`9*|%-wQndS%;OYt8d@YwOO~9JQ;h z57=kx_ggORUhKUKoA(^4TXW|x@A>m`;>*8S-?<<Do7eB1^7Su#Pb~Jz|I9C~JG$o( z>)$s%Pd~S3*dLwEe$v+Vw0q13`Tu@@r-9??{Z#hjuWi`h%Qe1V^E+c_xAZslZ|djd z&wP1p|KiV8PpkEZ9(R74^jU`=6iM!1#m^MKv32`f5fV2~slDPOOHtLd<u|Gq75(>r z)oym&@Gs|f|I7ax7B4@QT{SJg;7`fZyYnmmo{^q&S^wXnnr|_0pI6L1Eoyr@d(P(h ze?$K^Rd!|n`gebde*BuxHhXF(-nq1S&vDb=@9tl{ZwQVf&xN0*?(BD(_agG$9oO%f z3H$%GpOe3_dc$w8ubVx8KI1LwdcJ<T_?+~gLc5oK`Z532`I+q&OWyzMzW&|pt+hqP zYG{_aJr$Ov{;&OfJa}vT!>hZWirSvOZc*L;>5=^_^)tmW7yJMF|9s?pi@)$E<F79| z>kE$V`yc<c_?g_h7ycH%TFyxq{5Gs~KmSRr{7pG1-9F<jvpe^B_T1NN)^lH<Ke^cE zw@$zN`A?kI^TX%Ob^hB|*;V`NpXJni|I7Q@r^iowUVgQ9OHJe7^%~{pm;US7*&O^! z_TB!Kf7#U6zPY^F=E%$KQ?5V1_EYXNm-v^>pEc&!$N$#6SK|+^nOT2-ti0Mk15^gi z^RK)Bimp1P=U;U63l9G~Qg^K8fbid27k{pff4zsRfB%&2Uv%z2?EL%a&#UQYir@V8 z`ul_FN&N)pJwNtBvee(eo%N2eEVVzg{=mifr#J7G)a%TD{NugNzt)m}Cw}stdnqm+ zX7}6v?emJi`8OZioUWa-d48-*?R0RAxlYwTU;A_Ozj>7v)qb#yweuHaF+2Yk>p3w2 z&rNsO@1JvVv(0hl-(QcG)ScRM=pE~e_?Vy19u;*x7oY51H7$Q*HRschsxOTB3q9`d zUGnL!<E`?72d8*#Pg`3*Pk1W)+w?PI`j^+<FaH!S{L8goPrv_*&iuQv-!*qPeR_21 z)%Q0~cPx^Rcl&wha$U!1<<#k)b-ouI-S>XgdxP%<?)8T*nwH*cxV`!`qwQ(sI~(uI zF8MtDjP#or=f95<p1xlEROWo&q~NM)>7b=AZ#KO;ekS<M<?sA~`}QvUX1ixk<DP}- zm7Ra3HOu**{=E74(UiLO-?Ov#A3S;X3AnP_uCRa3x%D%`cPyA68~5{1*)9K%&&-Pa z&wmmWe{-DsYxFb4ycfH}1LW?wKDVqWSFf4#Zt+vSyc_dx%(tjFxV(P-iqGNSuT+Z4 zCBrH!3!lHNpJl4Q2iV)iR94no9_enGGw-S9H@O9O?46)>mD$yQ4U5~M`O4tw-HChZ zo`Iq%JjgCO)BgBt;U``5o|>M!dEVwL_cP6PFMo%J?W@^y?(>Il8;iWpf0DcYW_NgC z{qZ{ong8;s`(LZ%eK%hNR8=M2UEcWj*D9;}g!PBsO}=t|-Ljg`K9)y6r=NgSRq2}j z>(@c6D$|PE^Vjz^H$OkAxL39^=lLFopGWqcuQ?$3_tz||`kIyBwD(kX?pc)nQz~6Q zI8NuP|BUCLSn~e)$P|>Xw4nK_J{Oj+swSV4E{Hy5T{Ug{j^zEj`_A1r%HQzWZQlLT zsq616KCcM-Sn)+?{)W$fPj3dkDhJ0>|Fe(VZ+-vpY44{$a=ZGYKe2whzx>YLdB2RG z@%g<h-|@fWoAjKTh;J_=<sNMbi~DVLKRNDUQ(allr=QEW&VO`P{VBAjl3!hS#O4TZ z?e|}&n)P4Vu)nv{N6k{d*sSWmn$8V>_)+`Qw0TdhZ8pZ=3HUpyvTyJDRr2>c{#X}v zh~J-PU8VZ7Vefmb@A+%$l8a?7&oB7x{FdM1SEJ4D<?s5R7R&taIL;5tQs#knzgxc* z@A)_LP2s$!cIR%M&s(+cw9Qe|+W%>%rtg1hvq$y`s6o5#W_kX)x&x57s=rjp`|iI+ z`WKz^9}m>ka?hP_w0?v2rTt4komE+0@sEG!lJuWqyZ?vC-S4vdEYSYtZotoX^|#6k zKE&@_m|mIw{ES$7t-a~Scw_kjxBdIJo|8Yn`Y+e|bIRZh<^5gLdj6+JX3z|EKkVlt zrCa=km5Q*M>b_rX`_6XjU&4KQOFy&SiF4w65h?eu@9(>BR`n<M96YCbRsY?Bn%a&z zk8h?voigvKWEo%Ryt{#aXMoDTx+OK$Ge9|N5hO<)p1QmI5iCco&e(tO<WxxWFI;v1 zW@wJO>-zK0ty}&d(=@+-(UC7aSXUDc%~9pSax#lQ%k0@Lw{JUYj(WfDb9m#q)gLO9 z;W^3=oTJRc?E9up-#*EC&kj{f+ta~sT2KA0ULk)==J*%&JNF!aGxz1`uYVc2@8Cz- zspa3Fd^OH5@Y}y*`lp+Bx6Xh3HTEeqOTAlLcMu#)cBiI)zgQ`E@1A$w%gB3=XVre+ z_v-x&>xu>UA3kveH7A&#bk2KvuC`=8+qc)hU;b&_%e9+7#NK;KzMSInihl)_2P>!P zZ~X1?^yA;F^T9=5ZNsO#ouJstuw0T}ne*Jn4W6YMt?F$ee~ZSYe(IX{G<%M%W93H$ zX!DOhY+uEyZ_Y7xt<dJ5z02P@kZ3BJYyRL{<fp!QPj%njcrUl=^Y$~+Z)|2ipRN_Z z-{aiJH?5G?U(S?t{^0$|^OEN8`(GgUUlf$3o__kX50a(gSKg^@fn}-sx!<Jc><I&B zsV`qoy>@?9(_h)j{p;q(Q_u6S)^(ZZPZO@1w!YwCT}{(3!OsHbU+%hV^50wgS!Pf5 z@;R3`?>WHxTllx+XGZ@oucw{^^$bEQYUb}*n*Ni||BZNm*#4Ax$?yNZI<7vc-iPnw zqs2w;>s918d@p?ZFZoJ-PG9mb;XM1re;M7aE6eQf%AbC2@o&wicPex3i|Rm4_2jAN z_pSLWvwpuy^_=vb{l(ulA7A<2$iL9{e*K<Lsi!L|ewV^(D4)L#JDb^m$@=YE{#oiy zeK@#_*!cHX3OGwuTm4nnxc|q+@8h52;4WfW{VE%~R!E*w*Sh~V=r<qVxe3x$)5^=v zt)Jrm<~q2MK7YyQ<M%<0bmOO>rk~4q&EI=JJvs@^Q1-4rAFT(Ked(|awf<`PjOrbW z?H|6lZ<T-Gt^L!6c~1r3-FQE56}XD}<Nfzh=Bew?_Z)ij|G)b2&BZ4)ZBHA&nd4pg zt>kMmxUqgdV4v;&Z?=0%p77e9_I?xZu=BUiy!p0zpyF>nsG4$xWGZpx`f1QiWgGqT z4k)Ik!}?U`pnWPk_sW7wP@ihykAJ)Rr|sU6Sf5n?=+8l){dMck#mlbztYssor*C=w z6NCR7@$<p;2W^hu6MS|2e0W@3EZ@gRSx>&`_-`!defp343V$v*s@AXm%jkZ6;`J{& z_aFSwczTx`+Ww1MQd7qK_IX9^nwX25_Z+kQebWuv{_CEqpTF$0&7QrTu=bz#6=?hK zWNt}Z^X~vq`_IM!)c&hm32y&YXMf#1UGx4OPrr{glOXNC@-VsmF@G(=C1Ci6UrBGR zEiC-_UcNn%Z2esBl=`>YXUykbTwj0X=i|Ry;vZhEg|z>?r---y;`|J1q=#$9+khJB z@;>wDJr!Md<9qyCSeHuu#U94({Zn9FDk(^ps$$;#?5XSJwVzj%2|=2E{lWA0`azq1 zuPgtkXWc)1I{3*?=2H3XPq^RMd+z+r_BH*C?3_#Q3;vh9HMgjo1!?;kPr1JI+;?z; zVsGHjKb4>cg=LY``A?#HH~Q}{fmT#!Pc=_}VZ;9346RFbf6?dg^_MC|<>EELLo&j@ zk49hBpZWZT_LctoZgHm+<MueddolG1^S65|?$q!8)qA$kr~c5}+gt4)yvY6Z#&MVZ zwDW~AAAd|eCvUm`pyl63vZvDDU#;uAzWkKj^T>CP=lp#$_tow*!7-P=-(OnuGx6Nv z+x({lZBGlwY<|Bl8kVQ*R)X?W3@lHDfb-OE&R_2X_x;>6=h4sSPbSTK8vUluvGPyc zFYqAF_EY8Y7xy(cUj~i4%$@r=6yECl{_@Yq=v(VQyqf*V<NT+a&871<<!kHTTVHoR zu21{dNA@ZDbqoFqOrQIvXx>w~bvM4-uYh%@>@Qa`=hbV$x>Jha?$q+|{XaH*`l|4} z;#)SPJ9S^<yxk&5cWQswzQ2pV+3xw%45_06cm589<);6;ze&&e<Gb#q?1{o({Ga+? z{^<f2e8;Dr|G)aLNd7-%XdU&36Iw^j50RVmyeqx-|I-I|cYbg#kw+P((44z}*91^K z#R#jXery2`Q|PUz+Y=lY=fwB%(IZHf@_hO)9hRl|ul;LS-2BAU_O!XhzBQlTZH6}e z<_FvDUi7VaPuvM;m#Q`nnx(|2=&xS`%2JbHSxOw%r8*h(+;qqOHk*r^?^LdMclkiw zU)8zx8^RO6&w7>K`|0f0CnD$jr$MsRzE3|+L%US-j=kPJ@tds7od9T-ItI^D@fUwS z)&*s$vyd#cd+Db~tD#-0{mW_!tKYWo*rQupH7$Hc(*6Itepwdtmw!>0xf}YNcgNn% zdlsixc3Xejo&VyG?q@dZ@`pdSp1S^hQnAf$bx5B29yYHw7@DWHSNvhmxPSQc@6(?{ zz88A$JMfQr>hAkt^~dfU)cni$o&R!O^W+dnJ@xR^>-R69^;G%P^YUx|isZ|ws(;am zf7tZ*N6A;^XP`#<{b0EitMdnUA3tendz$;s2K$Zk4dp+$?0;AC@0BK~?>qU4uI=e^ z(0J0mU#g#Fs{O<4|1tjD{6s*1o@V(MuTPBC=fmFp5BPfwGM>Z=8c(XJ{AK{Ir|J~@ z|0~1lss5?w<JSF^Ss$+jtEc9#cn_|p{;&M>G~1FtKVH}Ui;jQ6*?qTH!-gnkm&P^! zHa{h4d%D<W189_C`5Emu`#S%fpPGM9b9u!-K1k#5eURM$CP+nPJmvkp<+beW(U8X9 zp7@_f>dt~&=+mw0*MNGy?%*Mc_Sbf)@}Y5mykJ8V+VO9;$UpiNyy<l1wCWv6_vNp^ z>M3tfJth7GT2Iw22G>(Hfqx%uKXpBRda=##S>WNzbLLah(^ttG`)~BW|9|eMx8+;k zKYUsasiWqvyYtr;Hc0W`<2SEgod$T2;;-RP`4Z?LMgOVi>7YT1`KO_S6#cKZpK-o( zq5S_68;juQmKEQn|8U%OKOGB-C;P&G3CrUDM?PQwZ_Q^dn?Cp$g*bSO;;-l5AL~z@ zu0N$1=L61A-}RsVyAR7y>FsmB{=L|+`2WiN%hG?c9e;kI?tA&%{Ym#8%&Ggx|J2z1 zw`JV?@O3XD;~vlXn-c^b`tyH!y8D$4f4;sBZ0Jw_D|G0uD0A-h2mdC0ayei0eBv&D z=HFlUm;O5t*Lv4`<@~?yKc59y9{ue6g!Oskz9a9RPC5R6IkZDnKlMEys6%!5$%J`N zH_k0?`P5lE|7U~oudn}0{#As&4X-E_t#m#A$@JX1WqW4K{k^7oy21HES9`nmPe)5Z z(G~UOWn|pLuG;@|UOhi!d*|Z(f|51oqzhi3hIXl}r-Qpx#XRTV-#K;Nd}6T;cZE&W zH2)hrSAKe91MO0sKmE8J)cy<m^rzzPaowLxzqg0Io9F*mvX~t_s1yhqR8si;MTfso zN^`v|bnwr5|EHgYpqeTVR#WLOfYww^r<#9*x>WCU(YjPlR`p*KKwYXvSeGg$0^Fry zUnw8o@@HaEhj{-4NSEsI)ZgNv`!`zlz4YHv+w+ZGX0FD0zYBji*7QA|T=8cotgcEr zmA*W~{`l+3sr{dIz89XWD=YjO3-13;57<{D_59)6sJ<7G^B&Bq{XXdxJ9MB{1{7O2 zVIzOjFa6Z~%(dI{uebL7oF^jZ<5eI7e@9O}K79c^@b_Bl{$5b)FHR9M@aF{2R0?zL z_r?7djoa%d_cGG1ddj=tZ){JG9)!03;=_JE$_2Il#9*yI`9-jrYWb@@jN9K&Vy>Fz zz9V_Rb;xu5ndUbtxBoi&bjo^rjpr5L7$H>^zvg+p^^mHneqqh;!nf5GKMgCL&wmp7 zJwM=GT;ucf{%+7%lKtwxZ0hqTW>>Kn&8h4A(`B_k3Ec6W@#$ygE&q?pCPGKk?P8!K ze}bo)^FficuQ438<!2Z4e7zMYl1gB;)Q(BuT58|&x;L8o^;+!}ABDjKf3K(h4iB^6 z0*<4<Q@^px{0)k`yt$_NalH2Xb%$Q3gYr{h=+n*ipcuM;5?V{e`a)}|t5fy=gBpH+ zyJ5AIDZJrV4{G?;pA@z|J=guU9q*g#*RT9!t`;r(zqW2$$Ue*K57TR9=REpp`4p0= zc1}HhJ*fVi%@M`g@3&5si(lB+-2C~pqV4Hq>*r1Is_Od1pO24%s;Zc{i<@hDH+O66 zuUlPr$mY1{-ya%JyPH8d$_SRDGQL6w|Dr&xzv+{qt-o?xNbB#@EAf4wzD|82bG~m1 zJ19qeoRWTg#eG9i@n;|Q^P3bXN4@&=$K|g3Y0%!>qVK<6ou6TCvGo4Ke;RMiEq1Ph zwEZrgdMypBsCcKI&tLIZB!8VgtfF#z<qj?a^Vity*m<t_!@Ff@jX&nO`**DcH7T+y zz0ZG=op(b&Z&BSzo8$L#uk`18)ume=>9#&8yLVE}fqSu2ewznFbJX7UZ|pKhKfN&o zH~wn;pWZ!N3LW>`9$>e7|F_~j`{u(3DON%UDZ)-o*L?o)tut(p!uc!nGd{T&<rbAw z&Se+W?|}{Ztt|x)`Eh>9UtIIq!t&_n<EK<@PjA0byXVu7ZC}7+wD<gezVih2dtD$E zl}#i(PkpnhuW17fCGE1gw7I5i(!1bqK2MLzUU`4>bfK&LzQv!8e%>no=+jPEP1O&t zsg{Cjs%}`1>K$azZ;#90N9RsmUq7wbrg|!*rplg@ULI(l2I~CQhd;e-4r-?dfk)H- z&7QKmJh(m=lBcvmZS>tSm#rr}{v!LN{Q6H(@bK*8Dd)F?3O-xdnBT@<y5MeawFbZ4 zqR%pWehbvCK^vi1`8j+(sEux~2<uIK4+8h5)UWo>xW4>hHE3f0!JFb!llRs>x4YFp z)Bg?soAuwmmA_ejX8X1Od(Oqbf1CdO>QBkfBIa-MXRP11_TQ(vTjyu$fB3Ze)aku{ z8}}sKdpPOu+k160U;OF%$##DKvd`t!zd!!n8gI=1an|2yJHIP`ea?TO?r=?8`+JS| z-@i$J>Z|O1{64Gx&h2>nfam;Y!gnOrf3vt7UTycUd5ir`%NzEw&(Gg{fBwP86SA`( ze>#3j+$?>6)rNEBGsP?Z3BT3<{%vx5#{Fcuho-*|-rM&(;O*}-vNoIK4fX5RAJ0DN z{LEVBzgOj^?emvg*{98W*!K78y}AzuZ<n8$e&hdEkazr_NPcDvf0IAMy*|ADcfs8G z#`+)s^n*O}MevsXjN=t&LF?!~db|xk^SmOZe*5<O6R)3<p3_rhr(68I{?oIHx%Um? zXa8Tg=l*Se{|j};?;QWP1hh!uo5oxIGw$ECzoqwI|GWKp@Mims@rmE(Th*WY_pZi$ z&ey+RvzC6Aea0Dgev1CLZ+qRd@29?dH1Y4#dv*Tr4D$<%_~y#Lc`L5|s%Cy=U-UQS zXE$U1zA(JSKa>52{r*oW`S&e7e;a=8{M+^S>b-w`f2aHu+bw?K=j*+H-)yw9PoDQ^ z&R^coPaR+B%P;>s=O<IYcyQdixBO37Kl7=JU;kH@zrSYBx%D&h3r_#b%eOzbCEl37 zuz+*!eIxmG3g`W=fud)1^VIL4wS>p_9DA3#)&AYv_45~eW`CwVXS4n3lK*di{#c$- zpLE}NvwiyhJzV|n?+o4-7R~r{^j_Upm$~na^b1b^E6TU8ob=81nIIqE^`D@)Ndd*p zo>coJxp?=#(`-`q9e#IpihA|$pTQ+{XKW5z{$9QJZ^GKYQ+^8hzghqNoBF4op9z0g zzy6ss<9xnC{`^&+i=V0HtzW(8&Taqs%l<Z1_B1cH$j`6;Sn@X9?0EFIa<k_@OP-j1 zX4CJx_H*soe7*d63qMOg%bs&TpmP6qdAs1>vc>ZLZ~Ci$Z%)swPq5oG|DMP5^M2R< z=2zI6{ucf%`tHX3&HMN5{k1y%bxnI^Q**Rd{F}GcJHN#~)4R86|DD_KPuf1yjl1LZ zoW1(@(c+SQ=WUKle^Y+;@9x$9d8_|UsBBnnt>ORe+uY}w_Gxw-=idtic}VuR=4ah^ zH$WNZ{B=;qX`guRzJdIEZT<KKps303hewTm(%by+-%g9a0%e{28~5M7J+6PL?ySv` z&DAgdOso7FP`U3SC~7XdRPNt?e?s}0Y~$aL@BRCA)T%yV-=T+pOaHy$-1Yxk&7bL@ zs5xx<yZGDWslSwW9^P}jyInK>&D(JOt3UNVGv(iifA>~h|MI@$HitI1zy6buf4_F; zx7ufXaU10g%l*UltMbo$U+_KS>B)O_|G4JL8=o(zSoAHt`u9QYt@DlIAI<vv_1-_1 zd4}>EzDvyApLowM^q!cNeR|xZv%*uRSO0#wdP}@P|Hn5X=WgGQ-xKv)^s{B$#{IW% zzdw=vjQ8Em{F%?sKmEGL?Ya4x>lQV;KGo&h*R1_!{mgFNP5JNN($`=9cc3Qaes$Zq z`EwWj&Og6Atv+f0A=cl;-<qed+F!NzT=@+3if=VfyZ6?<=e<=vb9cqje?|HG)xXc! zz9ZfK#%+DCx$lk77k*)~o!{~Ei_{;tx9w$o>*AN$+`L_WZ^_>q&)!t)U;lUN*_{2! z_Nn`hvi=6;nX{lglk{BFsy_Mo9@n^iuD>lmv&QZ9tK7NWeuDTJ>pPqG-@UDWf7NI0 zXVNlz<DS3&_U-ZZ%>8L{k7R%Ae*O~j^?CkvP|WPzt_dsCxW66W`?qu{D9gOr6Z`!9 zycNIm&mXUE|EB)UcFsoo^!ks9U)_t^EBk+c*8oM$`zxTRaesdmoNuOs^3A=C@^9Ym zet&J>`8x+suRYg4qkR6P=uZ=V@`=j_&U^Fr`SMqPW>mJ#jRGaJ2e!BTXX+Oo`&X4; zziH0T2KH~}XUyX-J<h$q8kBAN%0MMr$!bupDSwmy{hRpv%XLR<`lipn_;dH(zkPes z?md|FcUt9*Rr@RVpUa=Ie&grVr+@F&{a}1sex`QLM*DYf&)co|+xYXuzXSi?c!E+{ z);INU+A{N(JvUCT|5jW1RdMcn1OE^2W}gzT{(Y}~>wBZ}kDsD%#lL&|{fXRXvFUF> znZ_NQX+Gz_{?k$U*Ej}J+pvRco5dKl%|cM|oGyPElxe`VP2K8$pB_VM8*z|#5Vg%u z&bjf1_aFR}w5s2}UA{i(x9Mkr^*8fpR@VpC|I>VHekQ%bA{drwWd8esv(062w&DG~ zS^f3D+n+y{-<tnM=FR_k&rjF=&zI}Jv(ZxT|EikA;%}?Zzo?mB+4#KvGAL?(t^q|& z+-6YJ%)bnZ8s*=DpC8?EpZE3iZE&IX@&C)8D%HP#J}(88YP{gs;ReOd#{cni_usoc z|Ag@~=5;sL??3Un_{$&dnEBy)FMdvYEUUL~N!>Y{<H~<`Jp36K^1QWT$A&$Nr%&2m zapd3htM`q*e|V(y%74d>Ej9_?gJ#ToDjXN%R#`sl-1`~k7K`F*Tq}!Ld@GibQ#wC? z(eJ#UOzzWz=hcS(mHaHS{^jrZU_04$wfyPrC+%!cJMXb`+WEWmtL-zsdzaqp?4R{b zIwscD??vSk?L9}onwQia*>lMD@0w7#c=zW^ckG@99z3`G{n7mD`5EmMb-7>f=4+ns zpDO!C2D13-^K0$-H$dyq7R1*_Kfi8%v99&6!@C!eb`KsbJJtPu#eIYGAG_-Q#6ERi z@mFB^_DSj4pmB+c((I@IUcTy|^Zwzae=T=CelzR6QveT2cz@gd{$<Vd%I4@_uFGv~ z{GLDEv2(V}#mzPcb${RdewBa5@`|$ZuYct=&c8eT`RL`nPkZJ)wVZd?f9G%Kuffmw z;_kUuwy$PC&HGIb+=Y7d)OpHv`%6EiZy)CT`{wwm-R@cTM?WfqI-unimT{GTguiA# zQ@nSfynfXkOV8hY=lrL*S4}%VZ`JQDiS<eQ)AJ8l|0-So`EPJZT<hz};5pt8eSaUN z+t@#|lzVyHK75{?@AKA*2=FYg&8GMNo&Ks8^Xt!B^{=J-`3dH-VsH;i{Cw!WxeGrh zKjZCtA-+H4Ue1>Jk3YD6I#%^WwJ&as&F`tdvY)M-x$l4W)63dh-#>gKTI75F6We<3 zr|%Zj9ldif`j_mtdkg*^`xdVb8tnTx?e8yhtNp2Q59if=i+=j?c1c{<?(HYeT?P;J zov*7=TR;D^vrYZ#U+>;edA__zuI!$!K4|>>{Qbo>N%aS74lw@x_1$X!zXjj4-_$$w zy^Ngq=z;U8Zu=GTM(01C`c)rdR}=GF(a&CO{oBfk`5#^hpZXj4SG1Ua`WN*#b$-8@ z_3V_vYm!dZeOI3wZ;)T$z5j>t`u8Str$1gcF}g~zvOjvxU)R5~pGD5^TeI(f_|u!_ zsr>%;C#Y9V`)~he38OxP6YRu=n7h?CY`*?E{_$$#M84aOy&A7?C~Orq<;-RG@igl! zj9cF0ye9bAM%^nSn*x2Dq^B<2prN+B?TAIl>l;rjoYejr2>HJJuzTJ6*FX2Ie_j3m z-_G^NpMR~ZO)GnUzI^xl>iqBLE59dKOaFVH&VT>I!N2Fzr0vYqGw&WeJoOV-`qY@D z_feGx>Zb93{9yI;XZ_at`_DeK)YYv1^ooC0f5DGx%LVC`9qL;@Z3w=fZhN5k*Sp+P zbN6T6J9skwiKgx8^onye$N#i^WfTAM`S#9#_FLlHKL4K_T($4%jsq|MU-6IdFYvbC z<6QYg{;l|fXZMR7&wmoloB92HNd3uO?d;oE+<*9mZ|A{x{|#(UTifgit}LDYZGD9P z#~F21+dmauzH2sTGYk9d6Mw(IxD#o2;PKfh$M>E!|5CNay~53YZ|-s4%0l^X$CoPm z=ZD{WB>3BBdx+h^Yx9%(`wiupKmXqn-=h5HUi9zYcL8>X9$P;xaxapZTwSg?|J{;1 zN4-BCwEgl!`m<V5J@;9Dv#GUja@70_yNzRV*X=oW);6{Mx&G}Szin6leO~*7X?gT% z*?GCj{M#?rT$!$Hb1y6|q27Auq2{+w|9y+;e|~jdKWka+Q}37uhwS*j2k$%dckWZE z=R1y0{;mCf@AT_?*-yOV+cjUZm~Y*?)q9TC+RZ<A_tzg*|L}Vsf4+XJWd3Bc`3cc; z?4MR`zuflu_FFCe_ln{CQ=ZR0q4%>S*fRP5$Fw3RyE`#_H}=>2TPE!nTQ9fd@9k>M z`43+0tNNpO-hJC*o8yz`J`t*37h~i5d*_Yk|6=CdFZy<Zx4*bycW?@SwfvO!in?`g z9zSxbY`J~@G^1RMR)1m9Qkh48)IYJ9+fP@v`M25T=;ql^B=qyArSI71>sPSh=wdUQ zeU^ROrv}H!>gaDM-Z3ZfzeZ)};(&97zm#?!c)GEubNAyXqTlZ6gj>|K$2@*=x2XB^ z<tJk1=_h2%&QGgWe|_J3!vtBGdbf9v-*9j0UhVyqv234e^^O1YY>r&)PnBP8KiPf9 z9zVW<@YBg}Op7`W*Pjxe^C!Hopl-R%(X(m0^MBMFyKy&Y-ou1y%Yz@!eUeG%pA;NZ z`H9Ke+4Atex=%vx{!@zQ*m>#|RJO!CdY4hzy7&1>QNDPk>lT$u?>wl{+P<T*`%dC_ zmYwBX^Z!pyWj`)I;kSi#a9Lq#pUr`D%TxJ(&!60G@oUnX$0pO=ckGGbEBIbp*|T@` zQ-SvVQ`9Yfu9bQC$N!UvdHv+%H}`bT7Zl8%^I*sKjK9B+-`x8|Bs}^w_nS8w={N4X z#yxtmu&D2{`$<;4@6){RywzX7p?pHjLxTy~b8KSs3jXQrJbIS<3Eyu0>D8R`|6f1B z*LPpzd*L^;n@6v9eqyj*eoF4!^G^cq@>6T))CI{s{KRB=;O@~+64ldBDDv%B^e^}n zYkBA=|5MGpu%e#3Uh^J4;4f-=Ed9jt+&{hLJ9fFoB|PV|Jp6B2b&iF-w@j|$bBnuS zdIc{}slJobSifQW?3jlI{5y}GOn%DtynlN19T}za8?~$MSWi9w-#CT+`1y&yEoPt4 zTNkIuzu~jh&BOmbeiCqhKc#lgK6kl?pK6O5cJrT1epBniS6DI6=AfsVyT$)SHb>8f zKb6>he_Fc5jv&8+Kf;xLo9Ca_Tvw;7zoB^VoW%cbm7R;j&J|Xzj(PA&Z|9M_&#Ln* z?6u$Is)k$Kaa>neRKN4!&*rCM=KT|_-@H@(?)Uif`_p`V{8N5gRBw9o=vC$?2J7P| z<i5?<=P&#cbo2Pj)lUTV;`P~gbU!uxmR8hpIQR*tpWk%lIrCNh3qKcH9((um6A!!i zNzT6gI_C?^X3lxEYJ&I;&8IxSub=Ri`RjGA@R#+@15f8a6)HDBsr}|}^tytI-7$}! z$Q|Z=zVzTu<?TCey1m=5d-9!X`T752Q`wL6PyTIjJGQKFYroBbbBvqX|298W?BknU zJE!ldpx%64_8rv=ZI0dBSk!U&@e@wJdn)c0^}B73p7kv1xqJDEB;UCS!ZOQ0$)rC& zrMXUTiujG6O>+|e2UT`1&Oc@8w|)Y5%s&sk!tcSAt$SZT;cDL+^Z3d7qUO)_Cv@}v zDVN{a8~kqX{PX|Qs&g&u`TE`~e=poN_syeMb)Oil`6t=Fss1G3UVX~W@B38u9W_ld z58ee-_Uu)DBGB%BT6m64cwfQ4q{^<@9(^0Fr>0v}bkBM4BleR_`unNDF?-|V5}x~5 z9{y+fNyvTvq~bYsVR{8Sr+D9~^^Hq>U$pbk!|zX})Wc8mo~u{fzT;=_oy70{Memtw z{{2_8w)i*w&hbd~-8*(g%OyOItIoHum;1JTTD!%r^=}@3Vf@4~oqr<p9orze<otZg zBM<+5Vo_JNJoGd8iDq8kl;k&d-t!(lU@dBTJpE+xoV`(gg<sh!8|Q96Df!KR%5)2_ zCo=r?+s?N=UwvTm>nB3zvnyM>_n#8Y`>%Mt;zRt-gO9DBik&yBKGXKPw4zdeXZbF> z|6j{CHUG9gU29V{;mxC!>ii#){BIs!UHeq=oo(Q^j~_fgarpO5V79T3l6(AUXHmy( z@zcUK6-#9vehiuS=w|d23H|%3=L`PESswh$f1=pND%kJi7n#aN@%fXC_xxJ3=g40D zla_MNiW=3YpH`h$A0PMdk=D*<&kOnNk4HY#w4c9v=KJ^S=hrX!e);@ot!u(D#`ibA zd%vjid9xM&(Zwm^$E#1sZTnk$M|MHYp3Up7Z+Oqq|FiPhjLP>uf2(Ia`1Sac$a3)$ z#y0<&Y>uD1{)AOeZ~Al#y{An4^;+u-is!~WKKiw&`|#{1_hQbw{}<cYf7<#9<GkE; z_4&?k@^$+Qb}zO$c9Z!j=e&wfBD<?k8t=IkKkxCAgvv&D|Ebe=SV!r7`1JD=m%IM- zY@3R;cOJc}*je5t_x}&e&i-Z>xd-<oKM6cfKdo%@cZ$uid#;~YuE$SYZt-`S&Edz| zPc6&VO#|KG()(ji>74CNpTj0B|1z!O#QF1!*;nj8&>Z{3&OZPAi<^&gPl&GxuQ*n7 zuBv8I-Mp9wZ$fr%xfc`n@M2|A>++c~kB^E!Wm~^bYx|A=jdvct$lCc`vG(7k_)~oE z?kar$_~HGgZuRXam~H;gfAjF2-LLO<NA7*w)IZtzso=T!TI@S2+iZ@#D}E}#u0KuP zqVEYu`ufSscUZ;sZTzfP+4lGQDbBuo`sWLOC0ZVRdi)9NyK|F`-_(Z1Ju1+AZt-(g z%!5C-i#lg(KNT%oKS^8WkJGxsKhir7-uznBy;}MypY{Jq)$-S#-+!ON?p}VncFw(E z`~M|{JEz(lxXZVxeJ}e7XBj(}Z-t*cDqBA9eZpYA&*s=W55B?=B9%>#m7j8?A3qTs zV;kSM@v~87+u!ihoPGBc&KLd)vpo9LC+@*J5zE8(*q^HM*(*J__}LQk;7`rYBWGPd zaqW(us4Y_yyRPt$?9PLcn%j5ma(lO-c<mkQ$>;ySPi1$npZMG2ul>!VPxn7%e3v)f z_D$}h`Q?0t75O)hd&bK>tWmc-aCY4%iQW9uon>sI<~{gfSk$ta`HAK_zG=yCWc1E& zsGl<DfyR{Q7PS-SJo*$-*|_`h6Q1h)Y11ug+UJ03=El#Lp9q<!pU{3YPdD5m;)H3R zy|TH*@1{GCUIpzud~W-bdyzH&_N!Z4{MvZu_{;Mt;?u96WWIBCQ~O>wxkn%7Z|a}? z|CI8a`<m=KZu;22mq{-7wmfq1+b5Re)~5x}$*K4kK0Rqz*7k|#_wSROee*QV7yeSQ zJo;4d6H~eSX~}Q%K*vG~Ssp*B{gml>@XjM=qo0VD`A^W6nWJ;v;$Op^2XB0K9=&Q= z)IQh$^xpmtKmX5p^WcSdk^J(VZytRrs%+T({<Pe;b<^4{{w#g-_{sK99M9_~G2i*) zE0_E|&GN{>+)pgWZU62uK6G>M6U}worX|05qj7%2{_Z&sUM&11v%G&&aLhYh{etcL z=On&YsO&uKaIWxE$<6~mH$M?F*Pjr6qjqP^!w05Cy^qaL@t(V<wta_H;JXdQtM2^P znE!w0n+Goz7s)T5KP5IMPJzFmeB+zM_d=B&hx1R^`R&v0FZkzddEl&<T=Mow&N6=- z=RNqrW_jr5{imAi@+Ky~xubY~!~T_Z_hvrG;I8buocmN{`no*_?lM2+sos6kTjrhO zbBoF~F%SNHEb5%?{6w^DzE-$JMO)0{AC^VUn>+73dL>%aKKJ^`y;IKr|G24narr4w ztz1_4)2<R!E6aVeQ{ykJnD^$f%4ATjEc4*m>QA6r*;yt=)4$-4u;rnf=bwUV<>NPY z#?4Fo?`C;0Q2Tsg)#^EqKIv69?mqkV^EsaCXpl=3o?GnPAM@bP!J^LD?kBY0yi*Og z`0!NfIQuEybMthz@2Hw}C-J$&&T_N)|DT`c>x<L+UieGv=Fz9GpEABnx_S7XkKCgV zA~%npeEEsvdHPAlJUhMqjn(^P9z9U1Y<jGJTJW6S<mEfGo=8|PwK@FHw5Vxu{K?`u z|NQ(4f2LP9?w<XGr~3c2=@xrp&lMK&|E*bZV5jo*9XH+L63f+h9y++LsP}REN#1j1 z)1zbFDwp4|ba+?WbN>IqP0foBKe6*WzN!0k`f27ndjr00C|_f9<YD2a{>kPimFMh_ z<tr$jWOM9YW>LrM`LCZ_3*Y(QEA#N;*H0q;`BRejWIUCOyQ`(XqkOx~@x#@xp0C!N zm!qoxp@!Y^@X^0dRrBO^o>zSE*m>ab-X}umYbsl(v!4=OH(%%aj`}5c9$Yjm>Rx_+ zy7iulm3OS&=l{RDI)(lFJy7wsc2l>yab?5v<tOCy{HC|>u=W1-@qzFsj{fu0nQeA^ z$US~K{i(q9=_iHn{O^-_7^vz0@rzXD#?^Io+n+p7D8BRQ^Uep2r)=x&%lzzXjrXtb zZr-`)(7Ty`>U8t$w7%P~dv0#;F8An3Ol8ku|I@*5YTf2NepmcSXnOpFaEq$dcar|k zE&4CKYhUpqn<H1NpBSDKs_c6F{j{-hzxF}Rd5>k5?>NML=ONGTJI{rFTO3!^E9mi_ z^GNhuVXJn`V?Og6Pm@o(-+%T);?qX^3zZ#({LAO`e@Z-cS^xFVQyV`g%-i3tt-q}Q z#)p5mMEXzsy*uT1e#pCrGxo$zzh2=vukuy@y=8S>(w|N$o1Hse@j>A3{NEl=e_CHD zFYwqGHC?>IZ=Q|U--n#9j_**Bf4i^H?#SNuSHEP>`GtT^zx#CYRrJ0C!JjhtpEiGA zA^)hSX2bJimGal0i2C`5?LYGFa7q2=g}<hq|1iVmhIi%vkgv6KCVc;P&m#XM_oq2F zmGgf|zB{q}_lo?VEd288et(u*yr+EEFTK7KuS<V&>7TfKe3kt9tNsP9cK6C3*R=fJ z{qonjx>M=TSKgn<{^aPcnoPC++FyOY1kasp|D8XFzrg$6gFNo9+HWd%?LYeX%J!N* z^(PM*Pc4sLDgR)~p8r33Kg-2U1SQeGGS>YUzNY&J-2bF0H}SWBh}})UTK?lt-tO9S z%=^<Dhp($;ulN@_+ezlm>Hqj(;x7B|3Qr%;MG6s_HFY~y|1#7&>E50*hrjTB;I6v% zaOSVlZzk*Whu;6hI&YG@e~_Gw%U^-?C(Y{lbG{e)#yxzL_-gu%yRqNR>$@N!!ZGFd zykB;HC#u_X=WKt%TIsj1W(hbz+E;@EWbN<I^H$xd-hvb$%2(pwtgLI&{`B#(**W(e z``g#OmsxoSw5!=hLI2uQZyV+Q`Ro4uF?{82p)TKNevW;IYJNfCf?uNFzFqmN+ZS~I zljXb#?dJpD$*-wv2>$f%qV#jyJxcl?KLva(e#2Ax_ongH?KNHQPyQL6+Pi$kdw43b z_5OP_@zwGjit}x%AJ_D-SHJvsCtzoF%P&5@li{yFF`Yj-`S}Wa`=GxP)1NGUo;QcT zFh4(b|KW3iugq_#$J_bWo))k0lCzEf`6lD5^PGwNaT^Nn9XG9P-JN_zf8*}{clrOE zzUt0@Xju6{>FHka74ILn>@mLyO(k^`!GWS5cK;KnoSobE=X+f$e<yv7m6>?`^(WD| z3Cs0E?W<<~;#_y)xc+Sm`G^0KOKYD$J@q>I>iZMSpORwt9Wnm&BjW4f@Ky2;=hXb@ z>ix`^cT##!)mBibT>Y!7^i_BMW6sJSUQZ8ZU*#|Gx>tYoSSA1Z6W%%T_n+Um+5i0c z<X`_z*UeA;mi6{}a&4FUncdsYy+8b8{l%L5-wqmnmV5o}w~6l0hObikGrvb}zyJSa z-{pVK*MjdD$ZyEof8V5X?KAf^{T~lpf6N=X{r>%;_~kzhU-R+J?2p)fpFe7%e}w*r zIX`EV{;pk|vg+^hHOF_P*d^Yri_iR0b@qIO{G)sScKx4H`nz^{U(LCy=Gte**WP|# zIxYXgKkjSd6{l)`xIL9TH*@p-aOpjsKPP>)TQ^fba{K-A)hD>GdB3sq>tCL9w=O>K zU)tOKNwsaq&zP^d{r)!V@$7wRcaL*^mVN#0ci7(T8T(TArtV9)XBqxq?#=hDMbE0& zr0+<+mwLDEezsKhf4kShHZ~6Z*OTwo#h<BO{fvFhZi}S4R&k5U+0V3Xs;sY9@A<}m zPm#Uik6&fm;qsG~^X4dQzcKgZJ>f0)x%>-?7JU*q?>{NrqIUZmo4~(EtDgv-yQh7; zqH@!oBR@5Zn!8=(WKKMOT&Z>MW$uqk*N)SZpKz@Ao0uH4H{P$Hwr$R%XUauww~L?1 zlymPqvX}cQm$mpw-go*>`SkTB&TTyxUN-a1qo><b`JacMu+#IhJn%HMs3Y8dvT{tU z_VFG6*3NnGtiP!1w)7L8^Ldks=WHqJeExr;_MCfq<`sq8?>x*g{`>v3rGJ0@w#{#n z-dk>JU;H@r{<HH_nP=~J;QRQYxTxi?{wYJbSS59f9X@^^f7~u=JFNXg#@}n_k&B^4 zt-I%+;(ZsZU4G-|+BY>`{rmsB-#q$`cT+pN`ANHPQI-b||39JlEq}VQ&93Oa!VNR) z{u>^gs=R#1J+E^Go9E7XT&BMB$X(_qT;Jt%%x~QEeOFLle)H!Rx&6DRzj<_U-=_Ax z%qjBE*-tU*@lAD(kyCT8C|x4+_*I7G!BUOoJ1QIJJp86w)VDkQgz7t4_3#^&&36)h z*M8x<{eH3a^Vc75zhAujM&18A$5+4qP}P1t?)`^bujih<{aQAzdhg-v_2m_Bzw)i$ zd+*`a>(+a|!4!ZbcRTc#<C4Rx5hN-8>LbX|Pn^HLzf7$D`+4Kuf2`M@f7Rbo8TVf) zXMMflw(I*@ZoiK2diz!X@V8&nldJz~Z`}JY^hVr&shsuovfHlPKR2%W^X=F3r0Tz) zH|+iQ^G4i%rkwTlircR5=eYekzUS>%{e$0rO;4)+dwRp(e@}13{rAdQUoX1t`hK?C zujAX_em#Hk%a6BT&maBv>p9E?H{$*~<*ct4+;)Ax#O>GdO>e*IAN}@gdSdn8(;N5x zn|dSezgEurdfsi<_k)6@HmtuM5de4Uckip?*tqwf>y5bhq@4eC!p8COOK#NFO;7w? z8Qu5xdA91d`R$=M>i%6#_+1&+e!b4_tEFw+@5;&DZ=XBMZJW>j^G02ra6+{$$Hu>Z z)DC_7JWb;E`Ea2edwtK1fBz^S{`R>?=Jt7cuN?dQ6&wEkS#a=MT|)cYucvl;^xwbt zzIfx_`saz&_UDd&`xn`8{ht2eZ~wwt-u_)9ar?JF=eF;&gL2->r&b($otgLS??R#5 zuOnOEeqD6%+xv}&%l6If{`%u>P4$g)+xy?vu-`aW-to4^{l>ZSoM(Smu-yLbuDR`d zw^7df_fKxzJFmHMuYGW0_5P&?zujNYcl)>Ix#wRi-u~qmzx`WQZrgYDnw<CUEI01i zb8OrjFOc~AXMNAx=RY~O{hzn&+VkI?Jhy+I*;rfWoiqPF*X`%qH&*`p-Y6?~>w9DT zg~#$YuDhniiT~P>fBy5zUv=A3=L@yh)JN~}|0#3){d8}eKMi-JU%o!f_Pe5R*C$Or zdyVvp6Mt`9H%`up*Z196``2{)@8`d7s%~2^9)4rr{k)BTzc2dlYHR=P{(Qx4|M&H7 z{QJGA>+Syi#X0|L<CA_@CAPkOJyGoTb@RVV*S-Jv^%BGGJImW^ZH_2@E9`N%ILdhL zqeQsH5!rbO*WXG1uIO@>c`R|hpi6kpW6N~~UDh@;Ufb+g^S$uw&l8c`*Qaae#Os&e z*!Qn&|B~m|_s#phv39>e((ixD$G&}a>bkz~-+^ymeOlkXUdng-di=ZTrM16*ojaZ# zub=e$m+`T0Uvs<OzJAK1Uopo!=7G%h9S3Z8=i406wmBp^?}60$f@bj@M_Jz$^j61T zeEfdny9bQ#9t)OzWa0n76Q2IEMyH_jZu6Ha<D`4%j<ekUIU~_po;&CH;sa%NSvk)a zmv_qb&+TX2_Sx`InVs5=bH{mZ|BOhmmS^4eIWXB;ey?|Ft+hOJ&hz9B+jqB*Gs->W zV7EA!Jm;an^ok~FnZ)+rbCURvTO2T!dB~z((Pup8k&u2tv+<h`g>`-B+S}7B&ivGf z-2T2^I_JK>b^O)O+4t91-`Mw`f5YDz#Y5jdcd^_)Z*R2c+h4A2@9U*<?#ElkKfcdx zzy8yP+W+DYw!YWjSi65#!tXkZ!{7dv_D#2W$F#nnGkVXV+IO1|ImbLU%=;j+y`s;0 z&yl?`{pGE)cOKcjyIlNHfd7L;bwyWuMPKvh61!<Pj&nXwKC@?Tzvi~jhR4h7rrkJq zoa^?_jE!^q|D{&#DYI+4aqhUp?VmG}tmTVy?w{A+IJaMP+vkf(*7ASXzy1Z0=lFf6 zyusP#m?2+bm%7Dq!F3-*$}NuReYZSp=U342+~Sy~-$$nB7KcUS9`QZD@ih6pv6Z}C zp8gc>bM8lX&F$yA{j*^I!spk|wfDcRX}NLEz2|Msk{jpT``*@s+&Jgn{<dbv{VQMJ z*8I3}&b{Mp&5;}D+`Hb^Jh^esy^~w+JJ<Cchiu=iKE{0KfneT8mhy_W>id@-M<%d~ z@958d^JreIIRA!r_lgen8^?`p4sp+kKT~-?@|}ge@UyoyXEx032SsLrwY=%J&li7h zliQCkzE8vAyX9?7PvL>b9EC0F76%2-eGr*$ag29fV*78O4eie@4rum$U{bd@EExwn zi|`<8Sx#TSoc!|mYd<+Yu<-Lg`<;00?eFO27d~g-zh8A@-+tZ=d+P-gfB!Q(`0a0Q z+uPr_g>HY}&b#e>{@nL3etrA9w*Bqz+YGnAZx`J5K3_QJ{{6HY`}XT@tgVlJD0<$x zH~P*4yYDw2Ewt6MIkxxxtAERH94x+b-22TVQMm_V)jRU9A9a57*ii1FCf^5{{*OY{ zJI@{G+HLWu#%JyM-`9(Co+o#{ty!~SZok;=pD*GUK0ki$xWMh763N!`!8y;9``*^* zAjSCmm(UmorHBU;jtf4N@GtC2p7U68T|twz&2hc&Gn3@?3p$Kt9;^Bl_7%rG;F`YU zu=bl<GV--{*Y02X$?=g(-$GvY^|xOS<5uF2@#|P)y!CC3$&P208HMNHN%I%HXWzA7 zu<QfN_m4cgD;n8%9FSZ0QQ-TIb^YuWE$$Wvgl&!)%RFM8_kd}6_=}n=76(P2Cm-9h z_y6t#-~JUfzWw_ObT07Tp!3uB?zcPet?n<!?cdqLIq$EZ-LUsR=pf*v#<zb@N!|Xv zaIgHUU*G<{Vz~W#t8&hJcK;1~_uCv5?AJcVIqxBl`i>*TcOHmX-+9hdZE=_}?h(gx zi-WA^3Oc>_9O7NK;qdWU{qxR#G<@**N4u50pxncMiobpx{yA}8ytTaCw$FkI*7Abe zK2J=rmY3Z2IWWmuo_E{lhkqijZ~y!-(ORBy+h@T<YkAIXpC=|-%d2ktd@;dVUN*0? zuFC%6-!D7;+4&3Q`!{wkuV{FF<FL2Q5$!jR#rg~8|K|E|L5^MSv4H<amh*)z(<{2d zZ|rn?zWC_ft3?NX&M?kLjrOI_FXM~$)u43mobx=n=WUJ8#<~5HeU&ksejjC?TO1XQ zd%%}|<Eh>B8^<mA3LBGS9*L|k=+lmQC{@1Wpq_lR-7mA_$0zh3)i04t+H=JCTzhpd z$m4d~J_{yU%NuU{JTb{y-g4XLz(i|#*=?U6t}Cgvmd7dkC*=D3!uVR71A1}~8RsSN zpZ_4BzoDPo=8!30!TEQ>`~@BE6;0|Ehm38Ga?3nsI$x0cbA72@)f#t;=QTPx_I3NW z9r*UoyZ7zi>G#fmsk!xApE>9K{+<nc{~I0r_U|;$?ce&`Iq&z2+_+c2a^v3rmwxYD zm;Zi04@mydw|}QuZvWOd&UwH8OyQx&K80!Lx%CR$r1u=*`#v+7U)<t=YTpMg^&N*K z*A;e3-+3TrUGwJ0i;o+>bI;SCeOOTLnw31K+=FSK9~$PrKhJvOoO{dL8kHO8+}qyP zOu2E+z4>j8#r`XQZ~gqRVQxRe?VlYR=JvDP{&`}<+<u|kKQlJW<$oT&Yu{Xc@$Wm& zGs-3L_kR@d-`M}WqGh_p0d1ROx_pK6f6sZK*!Mxg|D(w9ioW!UPT@U=itjvnrf(%* zdrkX|?5g$t&&@UG&&Zz|EK@e4t|9!%-`i94PgLKiob*jU=WoHzV-KJH`ujpGz2?o2 zh);z_>v;7(bo_mMyY#Pw`t19~hyU{(`S;JWr`i0~ugle1+xOcW9efu(rTu$QUVXpH zfwQ-#^vgWWuAk5H{C&{7yU{!U?iCVOSJ!->zwpk#I#2#~b?x^X{@PAvt6r|T{{7;A zx9%4oyvsgidbHN|{qH9|{>1b2^x7%6?^j1Y_@eXl<+W39-|ttku!{bfBmMN-_k?9P z{<nNuvHs3KJD-lZpQrS156!FBPitBIdFt=mn%nowKYpkaY<DAW=U>~Ic76I`@7^r9 z^KYN0yPVF+^;cgv|NR$xxTgOrSNZged$H#GcdY;RezV>2i%VyHzjn6WI;-wLRfG1k zzono5&NZ=5+1uW{KI?zN-5O83B-`VbpC9h}Idk>j<7@mkem8w9zjpTb_sf5BzLxWw z*?;})_9wyDvfn(p^MCKx|L2Q8+FIU@T34`j@tyram5)v_o_nW%zQA-s@tZel{`=O~ zy*YW4|7nK*&SMYbKK*b%b-MNm%W~`2AAjEuo%dGBztC=i@o9P0>lSyz%hm_jTYAVn z{=u>Hz{Sl^`P%g-w%gc0e*A=^eWT2~HTV1Z3VsX6p08j1sU-fX+_!Z>_a6OwJmq?Y z)4aXDe@`wv6+CB>|Gjm4Dkgn0jGMUoeSlp>R}s5)`0HQA=R7UL_8s^b_^Ios<ZD*F z^?~;3dv8X4R*l;iu<pYX*P>5;cm0o5_597=8vj90PXG7M9cu6AF0d)s8t=VtN8fM7 z_a}^FCT@TIZhiR6uP5H+TV4LQ^{)B5|53mB{X^n%m%irz(6i@|W!#)4Ka1GUp8xpD z{FZ*r_D}y@DjVkB*LuI-z0&dCVdYOk)z+)#KmJhu>ioUcb!GNXgKgBm`-i>DUv=lO zYGrfv{_Azj^Yq_K&XRwa!(F*YZ~lXawL9#}en{N5k)OW&MV-=~19sQ_bLYK&eq&wy zy3^V@*YiL0^y|ut*YpU*?mxEhrriIPr%wO>`19aN`6oi+6?L<osh5BHc|dmOf4irL zqjnb0J-66)zur3Y>z}Vz?|){!yZ)D8?fjot(`NA(p8G8SkWqeljm%A%-~I({;&0^Z z9j?#6yY_c)LF#q;*ve}E*!ze7+Gp)MYU^Iv%lzq0^DBM}f6aLl&+k~@@mF|vzTEwk zb#-TcNj(2D*La70Ov3xByYYV%p9<Uj*)Zo3+xicWe4d`0e}(`2B=;Tv1uc)M#yz^} zKGpn&|I>r>EB6(21pnUi;m?!w?7F<yY0svA`*UaA-=aHrRmE?-eEB&r>ATz~E`9Tf z+A>9Q*)sRNE1NG@pVr-0KVMdFd&s@tThCQ*@3r|mL+*UjPENf_n|GF{s_QTQy<Sl{ zd(R=e%3p<N`+t0&^+2<7{_ES7MTIi2>ezPJhRM}C{kB}wUvR8WcHPHMlV_FZU-;|# z{8X|{#0gHhP3vvrd3PSKYUO_R{Q1iJ4<7`7`mlai-22N#Eya5dT>d;Y{KkHVZ}O{; zzkXP^_;1vm3D+$Y&g+HP-|+t{a{h$+{J?t?`wRWvrJds5Cs$P9z3-6rzImD($``-O z{}=hyIR5(lhnzou6rXzgdZD1-$+_KAZeP!q{n@ogVf*^)({B8ny-q%U&A*iTSo=fD zpB_G+s?Q&2cfhc+c{#hr`kZ++A6ei1|9I;84n_X`D{8*6JY|%-v*h<{{i*!(SL|tb z{v>q$iRHY>=l3o7_s8Mu@*OJQ_phlr`nPh&_xd^Vdn`QuO8tIvGyIBt@08^gUUoZO zD!uKFN$#v(`RU`qSJpe!;vdSLuW!7&|MkB~>sRH+=O3@|vHLaalU;m1f1%U8#NSnW z3@7Use_if>?XPZuyIkxt8~dQjj`sf-{_^fyXTv$=d!b9*!)FFhRpTa{-@mY~YR4zZ zbtjthwZ6anz2m=s?Ede%PY(uP%|FTfY0e(y^B;O@e&v4MtbAqugE@D0$5j3>d8(Ip zGW*SkKWjEzfA#ND)9=Tx=d<{qXnwEZ|8d6ks|J_8F1t~=)aLNT)lXQ<;&sJ$9MOIw zyL``4(Rcsd{_pjUTV2yI`}#@cIet$Kzui<nqB!pXi@U|);FyOJ<rQtVcHj1&{@h+& z@kQTuKlin_Keaa4%A0OGe*5=Yx%Ia5?EKcW8`~UE{Z`N%ey9I%Rb%oy{e-*q^XBd6 zP(MFaIL6lf+=ljIn<vQ!j~mK++xh+6@#yg#ySRnV^E=bicJF_$DgXKJ;(qB*_oklG z{-yi#L7MG<+1G#5U;n#%|K-oEpI<$k^ZE7TS@$@;=k&eiEo^gMXa3jj`){Av{HEtm z?(t8#{rvt>)ylnk@el9q|5~9Ib6xH{&+YxoE&JjZzt%4}aJ;8qQ2uhz_sNe~e#hkh zaelftdCPqc|C4j&HMf7hJuw|LX5E?nY0~Rkk2Bxc{rG+_aen>#bML?YjE>@8U+^dS z-Sn><ySKmiDgDpo=cMDt2a-Rr-QK^SOZ`drYS3sl_wD(wZ#|ZL{NQWVzhyfP8^8Hv z_;B(0<CgNyzbm$`lYh)mURJ-xf8Ns9sXLzh`M&<)zsUCwAJ%{Q^Tfi)IQ@V1`<q?Q z`@d?&?G3!Hef{ij`>QnvceT6cU#i)fw@)>GW87oa&u4f2e3O1_f12&lX7lX-eWkze zhx^y9`#J5aq2ITw_l*nxE%==J`JKMW{p7m`Wk0{$^;5KR{#PdV-ygpRT|2A3|H?n+ zYsoQ&?>FcDul|2=y@`EFZO3N*Oi+d8ZkM$8;JbEH`E|47<3LrGe!-!dEqQjUOzabF zkH~)hxa;S^(pmF2-8+79=C1iEcWYejlJ_1F{rqy*&!6kJ{=ajruwdG^^Er3_$z9Jk z*`ILtxaDWd*WKkW{_ek*Z@NEaZ))AAw5LyZ{haf&=_}9r8SAf|^{-$4H++r%hZhz9 z>@M%BeE)sc`pEK+m!5shSXS5CUH-8mYi{}J-#b$F{b~M|f7AZB?B69}cDAm+kM5{l z|7PR-r*`jV^#5D2?{rni-pMcH*Vh#8dh_TY`x8Uk)9N>VFDQEM|EmAZt}o8NjIXJu zXWPlX{5z%NE6aKNB{c;bKE-K$|M=x_(aEnuzbx0C+-(24?%KNcyT(`N|4DsZ({udE zJKj^>{h9X;a{g?3{q5xR8_&YeoX@@cZE^g%*WqTz>rTd({+;txqWnv<+s@*3@6I1T zss3czyr+BZU;Q~d^Lt*%{<L#PS^u*A7SGzx_5As1z2A}V9ya~_lz&J5wPoH*b#u*r zyY+vQ?!>6{zlgLuru+Hg|CRPBwnv(~uYUix&Sr1R@1yU+pLEQ7YA6@8>dw#hUy83~ z{~G>%A~5&-yXaZ{g(Wj%F3rDqzR-2v-^qV}*0zg$-@oqPlDii2j~nj%o&IUhgSwAB zHcxl)Ri=G!3_qj3Mtqz6-=x_3t?TCBS@T*yvcJ&V{>S!D_onW$mp{J_eCC5i;=SFj zf4fS>pI<wEcz(uyF8z9y@9VEuZm(%5es=nQLH)Kq>0c-7r~22e`<oQ=AG{6uLEFz4 zVP9>pIluYm^S9&c!S_$U1<YM;_<HNlsCEBSo*vDA`8ZMax__YE-?@7Z3GTf9vToU% z_m5b27SAr)J-=^{5@<T)&i=mNyJP>}l;2@5GeJG3{ODufjc57)FRwfEi{bnW{p(-5 zOaHe0WPL4q?qzy;#{W<LyY`E)Uq3Bsds^D&-{wzG{C=%DUg2iH!@Kgk`PttV`vTwn z^?ZKulij?#OYZEB{yXh&?4Bj}6W*tt4Y$aCxq1FmyEvoxZ|}A~{60VCT5-%}|91;* z_W1rjx?@+U+~v(RP1(;D`(Ba1Df6!U)%l2W^UMG3->F@w?2TAoP_X>j@^<a><`@64 zRF`XhH@o^(=(hOsC+E^^;?`ZCxc)?U=}#f+^6dW=#a|zvE&a>Ue?mNFd&+;+Z9gB{ zUY)<$?ttOnqS*aW*Yjtbk6iy@QeBz;+xR=t-={yCsJ#7)&ilWS&-HIORX$n~f4j8M z$9~85Putd4%KLAh82fGWox>luo!YB=r97g%(CvQu-GBcweeF_eTX+A?+MjT@rb_;; zeUk0Li+!{Bub=JTe&x@MuY&7l^j|w`9i3TssOoslhRNUhBe(C5k1()Lt?is0YdU|; z?EdZ7{uy6Wmaz)}W#ETqvp`N%{d{xR&$gO_Rjq#;zn#B+R{z(n`jfw8($7qP{p@hA zY5WGcgzt{G_D7lDzwh^1`&zX{;=V}p_(|8-Jl~PLFM03&{p-wc$Nk>E#{XlM{?l{u zCI52o-FN(Kd(GD7Ry3$AnzrYBRqymO)vupz{+;!o@%73|`M2_KSJc#Oka={~L+;|{ znjY<E&2z8FZ+e&fT;Z#1_|G>6JC)zF7P*}NBw^jIo&Tb}EcVUi^Y51bot}JldBu;C zO26};SikiL&3kj^??$=D9d!luPrq(9egF96X3)W&s(nsR?=fFFA5mWDwg2E>@yvaP zK8v3)wLKj@$0+{YqQBv5p6^&P|IMnJk~QDD3qKm&-0ArIVv+dtGw%E$`;-4)@VPIo zF<)-^-<Ui5qU1Ksf2w@P@V$-4-^R6<zsD`E^W0Z4dCu)W(oZMMdn)+t-Wr?g4d3`9 z{0lwot2#biy?v9v|GwJ!7m;?yA6idg=YRTf^Sj3_`#u{y-CLf$PkH`a@R>)2hiaVd zQ}-U)`Bi@1-}dF1`$hDHL0h7wo}W?Qy)u4-+~c;o2b%NeEc{y&Qy=i|MdZ6bq0d() z-m7l;#apab_WYH3#k^CZ@9IP3Hq3wO{AS+TJvB?dz27|VaYNlF4Y~U}XZ3%4F}cWL zy#jyXh5)&@>-L?m>PY@|Z}HUa;#v2OUgicbHn#ZJQ<TpCReeqQ7vo>5KOb<<I{)!e z5_oyJ@w3ggTk8*1b!q>)81VB)&|C3GSHqt)fsR8olz+4I-=tD`>**`rzgb)J&3C8r z`&#F`7i*v1_$g-j_td)X+Fv*AV*Yc1Vk&m${TDWRC)scOpZDpB;j8Zv{e>>}6>C2I za-a46<CFFo^PV#H)vdX6JG{2PRDAgt={x^tKGU{|KPg-_&A;$e&CdDX<TuZIJgM%H zwtVdJzezDM+Uj3){0mRkY}x<I@U`N*7uEg2c5AoJ@4kKiw0G4s@g2$cEFJ!~uD$&I z--5c#pYcy+`12-cS8;!0tM2{u=HspXDYi!y|GwZn75@K1O~>*2>DA`1{zTk6{?L9Z zyMM_3)OvaKFLRlve*d@nXJ1WOH@Ld-o00!w_nL5v<@0;D{QuzhcKV~I|G~A5MY^4( z$6vwMhIud8*|q2AZ2R5z{&sClzS42fi3f-Glz03Re63ez9=iYF=ietp-{mXnzlhwo zrFL6LoZPCv&(}P+STNtlvvT9yXUR6Vrh(2plF3<bQ?==r<!i;hm(~7(_wM<B)|<Br zbkf<q`z7-p&7Er9_{+3d_HR#}r(MN_XT^Ie6s{-RpSGQ2IA3<zU)gKHF&F#!!tK6o ziSG#KpYHxeNB(iw&j%}C@kf5&xOw)kbAqSRt53;R=JSIN59*n_@Kak|#`U9if8S)@ zs^7W(S+Gsb8k^<mKbe02*7Wat@(&VQyFUHm1jW`z&?a3WcmI%gy;osbOC6N8oS)2^ z_f*$!rhfmWf7;iIV=np6TUb-l@~ykDaL1cFOFn1p?Dl@Pc=6TuH|$L=f1kIqrfSQ# z;=<}FHYxU}-9a(t2F_aZ7J{<Yx;eN16oU>95d1c8xlQ#nSk~J1>1y{){(kjOJ@cLx z%j|Qh6!`Qb@_V7v{mQ;i_q0v#Pdp!|2s%v+k+bHn{@Wf5imhzW5gyrdjOW|<|84pz zVg9B0x%T`$uD^fosM~z!;^sR)?dL9Ut9;M;%KXgE8@6$O+0Nfr4d3zK54?_lV|CM~ zPp!B1pRej;{&ljuqUQb8njZJ}6Pc@6D?6GePjwgmT6@h|=JNNrHG69JKZ~`goo}-= z{U^_H`G9wOT>f&thQyXd@Mp`o8V|phk@Ft*{Cu<YmVKh_QPICIQcoR!p0QtG{kdt} zpwp&~)_f@XI(^OW8$W0KI=Asu`us`OpYBx_Ip^yhKeznk%W!Zx7<cfi{pz10{Kh-~ zm(M+}`jg@J{NQ;mu*|jeQ`O2!dH;PKFC*n1yzoA?H+<#$jqe^!scZhd^O?5I|5Y{% z(|?LAKQn#(wSURr*os?RQ?e6O5b9bkOt0+nezy4WmHtTnLihXCYd^jG4$58cPkKXg zSLNJa+|kS5$F8i){25x*$8J9nlDopS&o6`JuCJ%U_k(hm{q*Yp7k+Ns(|<T#i~rt& zy3_yc{9ah+hur(U_L=pko9k2i|LS}P#n=7Ry@xFSzVW?P|3e)VVe(HwjX8^SyUNYK zl&>Y<xxn8SZufKcx6g%lPZ-*s7QSQfey{7_j#Ba6=Bw^M___ZH*Z1g3$Mc_-zAfJT z$>`d@;%mh+7yR$7+VjFh{_(}N;Jxn;%${DnedT;4f1%I)>fN8d<(=JKQ8gX3MgP11 z+~qsIzu>*^Z}~o8f7-gm^DpY3WdDAtrcZn}qzTva^Ud5__aPA$d+K;}_I`o&+or&> z7t7c7HNS7%^r}r?R#O(gQ~CW{1@|vH{TnwghG#FAxBF`vo(0?N*$>HHyEXj%9znC$ z_D{bQLD}mdBzy6PzT4~mw+B)h)=zn+ZByS8b9wWgqne+eR&V{^^;Ks2m&uc-_J6xp zXQ<b<>GCU^sCSPh{d^(v)%qL%*)MxtewNg~eg3G-zVbxfwElwQdx}^6Vvb(^{^zUd zPr4`0x&5cH$m9Gcj%s$z^M05AF}~L1d+Gi@cwZIQ?}r~JKb5pS{oI0Q3j6!#&}xhE z>E7j_YRlFad<Ig>&$hZ#RjtLZ=db(Qe*RLOW}IDc9Qfp`&nMZh>PPe!I@{0Cp1;Qd zl)2`CGuQNU!H4&hw}I=e`RnVNpX*Q7zEi)_Cc*x6`;FS2pFTOmGFN+L&H8IKJ?`r# zK{D5Mjs9~X_tR~U7}mbmdHPn`<o%<Uub*n#o=&%@TU_*9`IUdf`xoh7ygwbhZ8HC{ ztIqN-I_C?H)qD$lJAcExM_qMOwB+Zn`0KRC%25w=6u9W;gEwF4M}FUE9DM)hj8BnD z<xlnoKWV!c)i*a7mbK13{3U;-rmKDV>A$l}<)%Mjesg}Rx_tQl<5fM%zYeNTxh{Wk zPrrJ4V%0Qui(e~>-cNp2y=J$?()$noshh?>{CWH-r|s$G7ODGe!hiQio-g#YkJONl zUGO(4rY6eoMdUnCMA>{zzUF-A;&;2HHB}qG6&L=ttn@tpiK+aI_585<#MfW;c3;ug z{ogg`@xkd&yY4mZIe1U?)aK7y>rYm7IsdxIdMZ5rdQHdi=_mKrzXsP_=2O_kSKZ&V zzhC&*x%4UD_kn7zuoH5>Bi}u4`PsJbbX9BdugGP8+wHH^X~z9?0JnFGpG`i$%09{V z81LT)#i!J@-#&l*E6Z|OdS#RJv%TF{`XkCWR`>qmofoeizT>|(Bx?n0>g&U@mfiY2 zKlVOLw)rIsIswVzNAsr_+^?RmX}4JRzBaJ(xA@uJ6~EGVE={j|FE)4i54NZ0g8t6h z*;nVbUq${;>}SikJ4e3WIA6q{ekMKs(m%e}n(JP;$A{VNbN$^~QM%b?QTk7b@H6c3 zf%PYL_0O$e{XS+<%{Tj<%J1*$fiqTdJ3M0rz1@E&h5v7?t<9cxNY<LI<-hL~q*3_6 z^y$~VrtcqjDnAFERKKOQNI%>@`R-B8zii*PU#)3<ejC;%j9Uh66V}B3JaM(oP_Jyt z-2X42IZOIg`5XCJFRSl|*wrrhR$REd8&+}soCeNXZ&!gTt{ro3{{f$PBlxW>cwTMv zZ}v6IEtbf~uB-W)@$K;AyO6wf$GI}#1Ek{m+4t#QGN|JEHqp3h+H;G<eGBJrihFqH z*Zr&i4j<3nFQPB6zWxR1w4R?&xW00O%fWriYl=F)eJ-q>0M1+M!Fg*bIB)TX?LYYW z@Kdhu{FB+=r2iDy?H?Lf7ytWv<oQAe`=5;c?={XIuP~X$46e7*HTu1v^;X@AJ-nvx zAHCcR%~^d#&)pF@%jL6W+@1hfwRId;ZK<C+zWzd;V%**sSk?*!XRYEjzs_AfmA-ze z^{0D<kZSAo323#o?%}WeYc*Z%`ICNce+6z7+Q(IH3WDY>|EbsCU)a;Ho`3v{4u8QH zzo+-kU-=Ggz<<x!cj)uvC(yk0XD75#_=)@J;_sRJCB&DX;<P;-9AhN^H|Xz-uL9*? z_Qq?@uk-l5bH`j*t8ix+xK(&AVE>jsIhMzpL)N{}Jt6(3)~7No^Z%r;BJE!mzn-#Q zY!?5=A2B<xzXa#3%2UnptD!k7f68~Gv*k}VbE8*U+i%tXa0OLb&ta9;&$-Y_t8RVG zr%+JV5`twd`xWvV-z9wy`YLVne_G7Lf96kFZBI|%c7FTR>07_ehcw^apC0`Vs<uQS z)t1-Oi(jEx>#t|!?_^NcQU+%&v$@NEsGpi^zdGLd`o;4vrax((pKW)%dw!8EsP8EG z`DXl<{fEIVc-~XT_g|}1j0^qLG4E+|jG_FW(7%eW?aI~#-_M+K{+~wqlf9txgyfQ+ zS3TwZdjZ^n@4Z!jGsEua;r*wItETC1s9*f)31}F@u<r%Cx#s%+peDvYMR2~lqV@fs zKdi>uANpBz-hcOXFC*_gn)370`&;!#tNOfuowT3Q|L#(qq29X*b8nZ*>6RCstoh;( zt+K*?mVCDTy8rdzx63|F_^TuTu&?rY{44&Px%;-y{H6Lc$=h=K&)+8$ZBHw|$z5u* zI})0+{zm`Is{VHP@!KchTI-HuWdV2p{8CU0{=dfiyYZi8f4->$ABUuV#{5h0HSIak z|M%aj|B(QSEeBYwwf2?xn&Ueb%9or{@4rxIx2}A$b=5TM|CcMXW_-^J-k*5x=(^=~ z&Ci{89{Owhl<{|@-H~^3r%vDAT0j4*4EvYK|3CitcDbg<{r4%xy-hYp8rR$Ga;}~K zRp9uSz1CB=`(N49z1)Ao@-I5`AO3K7dM*}`u_l|&e|&Udk=OZ8Jn3iB%d`Ktd=&}* zvUuL+hxgz9$h@V0WcBh>thT4acO=>EX#eGXt@e$~!hM@NKixEb+P9~C%bg3+r#BY! z!1`OuV*Xdo^8ff@XVHH?NR#k<_`IBDb^Tu@+`lxRoqAm#RB!1Y1Lv)vr}u2H!tz!) zs0Hsa@51JquHa{<t26%_zP8kRsowYL;_I#PJ>Az&LbKN0XlT~bo#LPT_W7f46|idS zdmy;l+P)$_s;+6y<Ab}OK&maD%56)(=|_S(#<#qFzDhaUUhyYxXZiAT{ZDIU<}I?h z5%qW4-`Y7B%C`mHvyKJD*56IX>!$q%6@>3M#XX!;ckTMW!<)CncTJa{cKnOZ{0DP> zrbK^r2S-=V@|sVDpuCk_<a7QLi}{)A+pEEOO9qs;w!}RAxBLktZ~Z)VT6W9-IpEm( zt)*|b{HH{}o%j5CPeu9kg5=(=s5@5$>Kgl<x~-pi@95>FpeAAY^mD;~QlIv%t~(p! zZofEw62IQ%nm+OP$5n6AceWcp+x$DTz8xH2bEo!mgF46Cp9<QZJ`Rek{lA>A)xL>Y zx9>B<)6McHWGmnQh74pZh1FU);&1owiTbQ57pHpuW#qmC|4dI!j@@a0Em`IwzubyF z|CT<hwfWf!+9rSG;`%Ao%wLVK#m>2uU+}+t*7^^B%t1T3#g?C$&JXKt$*rmRlKxiw z@uRAnJELI(8G-x30~ysTKE3q4b^hqvUU=3@1ZS=9=3l)(9X(r=_xb-M=c;M$H-2yb z^yc9$`vg!2Im7(v>f1N?`>iY8&VRDx%MX{Uu&KKSiYvK=d&>JCwyoc6_37r_JMy-t zi{}{Jzu+Iaz98?fd)EJji~k1i*|U7lqV&q%;%AfHp+gxPc7Hl)cI*7{uVFTFRnx5Z z)|%U#YpZ;J5!~at8}*m%eEAgZJNwr|8ijW~D|fa-M>965_veeBJznvJ!E!<Pgm#M? zp_L}kHetU0)3?r`n#&n7no&2SC_NuOnvqa`_Ibre57=l%?H1^0M)H*Y?-%P7<KC)@ zgN{w?`uSiZxaQit{nxpDr_%c;TYtLu4^ne6KKY`(TkqS;^xuVln@#3Fdg$@)zVq`! zPrC#E4xSQ@|10+zR2u%)UeA2?cf}_WpYxv>+}kz3%Prq`d{=kyFWz_kpf=&ePc8GF z2G22yms{}nIC$X6Chq5po453j9W?}<F()$pjJtn;eVXkN+1mG=r<Utqsng4wudn|y zGVVcN<#UIx;@kZ0S2zAz`c!{<u}#Iyn2XU9%x_qSRhnJ;rwVSqvunohb^EL+w?FD# z?xN4hmGbS!HTn6%praYiQ@-C@^0Tkz8{5rf`_r|c=qis~U*KnN9rBz1{<^<GdumqO zEKC2%^8Adte^C9AsvhZI2PaSU_q$YQw=Nx)yZi&~lkOgU*ZIo4qHa~p!#X9%P=;S{ z9NVq>bKt&l;;HVPpf;fbxJ_u`Tv@UMHj)u~uP)@X-n=@OyqA&pZihVA^qXq!z5-rv z6@$t`^&Ox#E2wjv3F~WlJblc)HNGSK`Dx{<Y5j#qYd(~K`&v64YSY)$e5>54{61C( z+$8+W3a_{VZ`D_JJ`1+_(*<b|PS^6EcM#GbEZFktm-MXfAD_I2^tJAXyt564*IZ7Y zMd#JSYc7em`;%;stNwiwdCJ`$RC9UVyy^4&<Ns>C@EL6fHG1^+1Rc<k=vpMPLS|jj zrlP)2a_>J0Or8pd#UieT2F@!KQaC4=FbWCn`>cH4_WPY>p1!@?9}50{IPF*0@5aO` z^9k?oyqw4DpLdk^o#g!%?Qd&yzxK_%ynE*_!F}-^#+&Q)`Cjz!Cw_OdyL+*AekBw8 zmxt?W{vEO1@m1s9CCU5E%^UaZKmObJ`-2(xZcSgmH)H>y^7%(K=YEuw*&KgK{S3E- z@!!UG=J!hU8`;-Cnse@l;hc@~@~h;H`X5ZXmw$S@ed+n;<L@7JovX+WyB~aVU-goG zDd*eX@_$vm^IJ^3;IH?ag7ZJva{iy_?<?JZ&ZfEc*N>L(v+w=+t>5|J*_0d0r5`ch zm_L!<|7!IaW{XAT=bxx^-`f80+@2lI={4egVo%o=f6aX+TI*$h$lCkX`3H5eb1q2E zKcxBlW$U}+XM}ew;CKFct?M0Y!9E?iOOo>sv;O|K^cQ0>|F)d3*QVB77YzS#Yt@b= zzrSzT+O}N(OmSkr-QH(ErEmE^IJRqt-SYQ^4dn^vr}pPxxjw_az~evn(c}6pK1k<4 zRNk@u`se@0jaM^_6_@3xzt%AEn#plo$T{&%vi$EG$EOKCRY}z{<Zw=L@tHP9AUQWh z`gdT8Qjb&ee2-)QcK@|If4nB&{Q2+mrMvgbufJY>t~B;}@z<A+o<Fz0Z}RW||F7k{ zKKJ#@^}XvTw|Y{)tN$_cmAT>>_aELl#`>Fi8{fs6_RqUd2v!&S7aXkl?Q~bZAnx(B zzdC{O_nf~k-LZ4`oQ>w+nYN!fu79cSRAq<yx4Gi0)xTe^HIsX<y#D6w>Spz4XQyZE zpL70Z`McGB%ig)4FpabEcy~klC39I{$ojZdzoXA_%WRV0?^FA^;B31^#f+RcYu>-V zqbp<Qbl2YNxAOODi{$$?J9mAwIP3o<RKxm?c{zXj8U8o7=albA+wWDn|F_89@CRQf zKW*5%(|t#pU3KfX>2sD>B;2=;vh6CJ-~K!OlxB7D`40#7eD->Ke9r9~b<@7F&)FaF zZo^lmohkY6UjLluvbT2XyYrUoH=eI6>!~W9@+^2yjpXk)XZIfdclzq%<gM|=a*w9e zKEL|vvEi)kg`c!4Q}W-nt^6KbwPx4OOLgb(9H~26=N&(1!B45_$3NS=o4vbP``PNp zCHqfSHd=pse0>G``s=ld_vYy6-<)0DVEydx<E`(D-X(q(c{_W3X#8H+c`uI5emZ0C z&gD03d}|Zz=gjZ6{kB@T<o~a^v-CIo=Ce%Cf2aD*FZ{gUldpyI9?huzU;65AwdwnZ zE2d<Bdv@>951&%?%XLS0_Hut?&zZaSC*O1VDb?Se**$9d`Ay~S{)c;x>HfZX^400B z@4O3(`*PyK-yh$3dq=9Bo$GJm@9mp!mdCB1R}=O9W`&J^+0OiT-ZqB$^H%*mT61pS zXU(_UzkKR0vOQn#$aiygb<f<-S67?AsGI+psr}9I{E+)KQ-2qH5Uo6V?@qByo$uZA zKmS;MFF3aEr&{S_>sj+(tj<3vT3x)p@YtUNbq6ckUswJ=yX*en#&_BuemPYhzIUfO z#(4f-*WbL)HRW!6_Ydx`TlCxJPP~%;o3nTCxV~TBSowVIE%_OnH~vk2%WtvY=iP>{ z+?5Awi_16G?yUN4b~nE;E_wdnH*ag|_Ft^&n%?|o^YshPZ~lkb>TP*n2#zt?SC3az zN~_mT68`pV-=UXNUp=$lDqm=~F@EFyOX8ECWyi$nioZF#_mJr4t1oxiKi+dd_V>r# zSDOF7+9#0zPuKj-+1h67XMfAH>(B0NKl?x9zKwJ3*2|67|K{0T?0tQLx%&V0jXRC! z{>`h?`*vgfy~Cf&uh%r*y_=F9zE}SG7uIjz0?vEAm7cTQBJF<t`l@>8v(*;67}N6K z+4X%7DnEB+-(g6c-3|FHd+z&0<!{gCJ!ty*t#+6F18|)EzB+yTrCQCrZ&QuGJ&SuV z?dK1jx69|WS0vs4*-*7<{=3Z|Uz`A?fCrtGpPO&#KQI0^_jdOFxxeQ=mC}#dnY?}p z{|(E4y{k&<PggcNe|x<7s`<QU&N6pG&B`wSPJbuH#~=FLe#zg7zisDi%&&{M8<ADF zX#G1)v<&vE<6XJ@g5O+w>|*$C%&u<9ezv(ktNvtV7yGxr#a9-$zp&%~?hemkhL9Y# z;T!uLd#`sJzIs4&nEUGO*>~p`gX1ga>od+-{vSVme6!j7JKt~rkbe7he`kFbSpLR( zet`V^WtGzE{!`t*J<EHu?tAdBlG4wUZ@r&6`^Nek`7gVlXYD)obaSQL_p^5oihjOY zx$FKDaHK82(k%XZpFqA)<;i<@nC}?AuaEkz_gu~_eo5`-u=u@B^Io`V9e;Dy_UN;V zub6*7{1fwLV|=aK_x0hR9F_&iVST~p?M}abY;)A`_rK>?eqYbLclcsw(b;=<qGi%w zoqN7DzA*1`)8D@0=O$;rf8l*DZL=q?_QovzeFt7YwmJN8<*fPJo;B-d)jg@{jDB|3 z_RGIH@%@$ip7EYjf40~BMcwSr|6+>{?>YKYYI=TX`L<`5-M;Hv^l$uXcD6eD^SkLL z?~C>w(0=xJ?a#)2Pxc&E{;c@?Xk=AfW#c=3i}QsQZB=_r<?A9p3qH5Yo9Vy(+1m9P zb`S3yxK~|Lzs>airSLh|cO>n5U}NF_d-eKP|K@yViIxvpzwO!H^_lyg+&QTI?Dg88 z6Zbvab6olJ+iNvnOYhz<h<mW&((9KN=JvYoYoD2aw%ze}#;*;g`Ss49|JL;F-TG|# z&pE%%zfAnh7Jg>-^~=BO>uhhDm+n7U+4Z)#bpP4P;(dGmOnat#E_TnVt8>@>?A-UD z=793&d)NN_y1ncE(>+HnrkCvBZz^B!{@MGS^PWVzCpNiT_y1V;jQO1In_5rXd$ZoZ z5PYt?ZifH%XP@7{{MYhXbesN#zc;V#*?;j*S22J38Sy#0?_b~dvG(lqIsIq)?+2{k z_AL9E_c?8u+ThP$uhkSSd>3CV_mKDV+iQD@Cq9!tm%HcBw0G|<<n<<2|7!cpyG}1e ze%rHd`4|7@edf6>o>_Nm7JuD}pA$c;o||E=yZ8U|>&bCzDw{ud{_L!r{rQuT?fS%f zk7{}s&tG93{rP2o$^WL$68ST}Z+mvv@3Y=>?mc@#zW<K?eE5##`wz2zPWb$x{Fc7{ z#lMWtEw|OLl*>QcZhx)j$j*M_XP4JjzE+>*Z(;u7NDVY*EdEW6^FO$=bn2d6A>W_R zQLp%1{WUB5-tU#qywAz*`O^%GocU*KcALik_4#f-N4(;r``!D6_x^eJ)vf!<`<(II zzg2cQXK(L)R(;Ob#?U_ZZ2k-7=e&LEul;>Cuj>E#_3FP{-<ezZ7arep_U9`r_P0O& z9{Xf;HhRwPil6d#-{+k5|HAs5InMZf?%DPF*Z=B0SB?7<4)V}PebfC<Y>rrdR(yU> zuIg`he5T!lJBKd&z4~~q#=YMnzi`jyXP4Lh{BwPm{ll78>t~zSR{rNYyZ%}6o7ube zKd9L=F^6Z?`RB#Yu3ryc|Ew4iLwh!u#OI$?-+%FM_BrJ_#`p8iTJOJFb9iTOuWf1l z@yb%}oy+qr?mxH@28y8jFF_Ghe#Srg^TGF~_C@=S{JXd7{+@mJ{(pXL_<sR7hGtdH z{(RzM9XRvoe*<S8`&a*1pBtW=35p>5%zIC38hfK()zxR$Ezq}oUvQ}A#Ln9Ex6>Dc zGf#Q-*NSYrJwc$%^X>cPnq8*(e<MG0K4)7u^Zd4F(Z9MrOIiPZ{jcfs*@d58>F=_C zZZorXLDg2%_pwWVPAX=XX3yMrZ<hSa>~qy~4DRQh4X?lYm-jjAyP5AT&c9py+%-JA z?r>$p-`%VEqd$MUZ*spl@6oKEnb~#D@|O7<if6nt&tLHU|DUQ&p5LYCEZ>oE?^#V{ z%eU$E*Y_OV+1>klrFHaY;o5^g8-$<jUHkK^eaZgQm7Ud~a^T1OS@A`3j~*24oj2k0 zOTkZ%;%D(&Y%i!?QnknQ{zc_;(Qj-bKEJ$H^DqDI{DOUlSU=yqw&%b6+4UCRH~bX1 z8(*-mt|{kF_-D)K%AZdzzOs7l&sjewedhXp=6dw!&ceI0|L1`6&x4D*_TQaVzHZ^q zw&H5D&$7=y8gIFO*?dm^hR-Tr6SMd2SoZF{<@thxf3}#)$9R8Me(s%P7x{g*`4v!s z@NBDTeu16ge53s0eHD%0q@U@YyS}4-*{;o|^W)q>rCZ?#9dPO9H>>=4@g0Nr=b!JH z2P)oXe^0(Ef9|>a$*1Rb**~y3ew6#_<F!98TmfaFvo4=sUfWX|dscmpv_-1j?OFLR zwa;bS7{nLM+aGm4`t73M#pi@&mb|)pZO``Apd56^AU^+W{}<Eewq@}Pf8SpFGs_%Y zyiNMqS8RW|Zp-dx=5yF@*!b1nn6>@;g_`p_JA<DsU;C4{_Q{@u%%7jdfwRx<dEo5x zLu#+w?Bd71pDtYjMbGTXS72q^yOXoNZ+mvV`c>WZ&qCAB{Eq(o@hdp%T+`fT|71^H z`<@+*pu%m=zDQW%CI>Fuq+fvwH@^Fees5k|S+5U@pMujh2P=z~ee0FZw0mBYa?dt) z@2y$uXX;z7PcsJP9P?|SoRhQH`}_9j&wXouwiQdSpYh(J+-}X^dHWvLG`D|W$shgs z=)GP0pW7UM_}kPz_bmJG3~0q8{qnE<e!0(Tv&=2#&-i}s(qFUZf7PF~Rd#<i)w5sp zF6ZoW^Q(Wk&n4&7hJ3zvZBMoF+1+#WKXg?>^UjA8H76=RR@$!LvlE<oD&~P|o_kK9 z%mb-;TAvA@<9$=>^*ufMvu^Ft%3kef+~=CtXVq_A|L(k{|Aw!wpweykQc&FNNrGh^ z8zWHG*?tL>b^6X-2h}|LwcWR0`;)eheS3Vc{I+LrZ)fbAe}3cl(zE`bEx&`yw{<hj z^Vfhg&+S)r&7VbXuYLDDe|cPO{I?C8CyKv0d-v$O)>nOtYrBi3-QV!<cx`h3;Rp9k z=kMKdmWf?-?_S*Z>N(df((P~i-+f~`|IwTDqC@xYgj=NB-HZP%_*^mXM)|t~pVwcg zHCtD&D*mSO3zzwgJ&V5?pHu(l{w=!dkNe&5#}B_39lm$Rydq`auF3D>i{l;~mER&S zzaZ{UDBs4~sWxY-zi{fW3;Q1XwtP;tMZ*0(o2!27pY<>KKYvr|>%SJ?S^55*{e08h z;{AuNzpotb+Q+`uv;Mbt>4BG~sr`F*{;nv^{rX1Xt@WJc6=(O=i~m}8r+xM7Q-ale zwg<D{$gKI>P%QoZ4Zp>neeYfuq<{Q%V|I08^|RZ~CH1E&d)M0D+`qbN+x9t0m#1BS zb9V2+Yj&?TF8@2}vw;51a=y^@;<L7IEa%=iJ6^o@!0FnnXLo1re_E4dpSXW1=)Ah# z$G1-%yI1x9%-utsi{tLbe(%2%|6tPJ9|onr8P2xv*s=Ic!Tme7F-Gt2H`kuC-II8K z&+=XM9q(qpcpLi!)X%Co{O7>GNuT+o*|YcWn*PrJy`p%<uHADsnSbYz{vL9E+O7WQ zmT%;iy}KX1ch~$kiO=^HoxFGF`VHHdyF2^86`#wM*=YaZ9mm;ni<${{Hhr&`b$7q? ze)aF{bJ=q?&%f(`x2EM;@Eltoxtp_hZ|uM4Z~6J-!&~oXau*)nS6pBEzHrz1W2GHC z^WX91%`lfg@cMC0Qhmz)rN{j*)|$%AgY>f8pJ~T`-#tgXLNL?5Zb6mcX~k{xUFUy) zp&4freYbwXH|KM<G8^*c7T((z@LBk7edC<X=HG?bJAWPc*Y!Ei?Dy4)yXvjHKC}A8 ztAPUd=<4aK)A_H}9j)xT{^mD-V0quwJ?*Q*Puf-&%YU3z`F!E6`vrNA=lx}DSAVgO zul)GwXTD$R=IrjRes;Uz{QTe9IeWu?-#mO}^Yzo3X8sdn-_8Cl6uo@aasKQ7lImnD zPVW26@%5MPtnC}Wr&*@tzcY-Rw=B;t=(pW-;oqFUb!#h@Kg*Wc?;N+${JV_$^3~z} zm;Q1-XVtseFTXZ#{>q;M;;Nv&>M_;N|B|=F7rcAO`#bH#^YU$=*kXUmTWz=ZxU|iN z`vvzB7eD%H8}@y9_Ra&BEp7Vu?woCY_4i4OaNFuR-`XqrcFPBUmtP-O8})6&XH7^y zD|)rH|Al==clIiOW4}`y_*s^3zryb~XKjyM{Q2swaOwWzmA%E^Hmk3k9e=r|YxDXO zoYlqg59j>+aq?Eb1%Kh;eZn9AynkUQef|C<?r%0#t<pJmuD>Op3;$OBZTkGA>bJiA z_suM-j@wz^dGuqm`Q3-Sdizw$cjP{mlNLY4T&6$KUFPejme1NLkJGCDo77M7wfXD2 zZNumIo5vpdncx2SOAK@xTS2k%&7+Ucrts_MDeD&&u-`nsy7>vi_4bpBa__X=Z`e8Y z75>t)Jb3kEQP=LtPesk{>!jbPZlCjb#}w|EngG4R>b{tVFKsG&=jxv@<=d}hZt;8C zokzc%Dx2HApYYw@KcV^!|EGq__9vCst(&HP=Wn!G-n#euE9cIB|D$f!joZH43Tl{d z9y@CHiD~=qQ+)GeZXWH^uC~~-de4!YwyE;h+fOsf^-Xcku@CCo@V~<H*vsIjEaB&; z1>aHq#5H^6oW%E6i~1f5KV=eMeo8gZf3mjCT)p)hKilm*_OSg4Tl(`;y6dtlo7+D> z<+~j}z4}dkxLm<6=gK2jmwsy5eLD5ASk3=>_1QOmZ_au6<Nc;y?#E)kKKI|Ao67EA zeL}A+Po>&o&&)kXZmvz0zyA9)qa5E9=bZb#eH-fYEsw3#SKm>!V$P#?7e8^$_Mc?@ z#@6{<;UAlw2ab9_5!&v4T6A9D<m)#qJ=Yb^IL&+Rp5FEycFy-6Jk;5F_?!Dvx!?1r zu+ORUlPfH3w0ZbWdeg=SzyH_XJQAlKZSiks&f`bdKQV2upCD@!tGfHf&*^U-eu(Op zeLr9IT-n6hnD?6O6&s!k+~&4C^zZExiRI;!gkxlNmhZ6kiA(%kSJbvw`H9SN{^{H? zHeq^&(kDgd%~M^!@w3y;V-Ew1nl~pu5xc&As(FQl>$?qq#daS5XZ@6M`TMEvF`=JY zcK4q=wy);Dz3%KAzZc~^{IPmdZ+HG_?mKZR))l`tzDas+bo21T{3ojC+-@HKdjAOn z|Nn{3Iq$cu|M>sE{-J;Gih3?fpW<AXr?h;>?xlMUJp;ML`m|-A?al*7_dXHY{(ZW( zjcr6+^7Fu=-oxrAc+bsK+rFc&Z_mNQ-B0*#ub)u;X8jY!<?AQA$Lw{SSMWXT<_^{S z|I1ISeY>Y0ZSikI&f`aqKQV2eKT+1kbW``-ux|xFY%4oAcc;o<x1Y*v^CyUJ<KJq_ zL;vERNG$(9K{zJ%@igmu`kL>2DqDTM&uu7Awmkmv>{BN3?GwH4+;d#_p=83IW9RNZ zm1_S!QF@MTKwhEj327S}w|5)<rtCca&-f|h^7GT(WA=v3EBNkpbBE~t|Bq9JtJP17 z_1#ywy+h*(Yu<fj>xy3uZ<3zN+&uiS@{>^d{OPqZ^^trZKKNQ5`PN$0^4I%`#PYp! z9=zhRJbG9Dsi@idX~u8<MxQIJc^mz<;=jm_8=-oI)wMehUB358%KG;yQ$E?92X8VK zb-#9g!YlVqvHXTz%)5>COKcu|5&YD#`uIuVck`5_w?F&+Kj-F=IHhQdI#61PsBF9~ zoWkzEetPViIcn7wHnDM!-uT=+{`F>2L;F^lq}|h$-^|xPZt-{4oCmM0i@J8ppU}>U z(@MXwJLX*BpXi+jj!rG=yzRN}!<YEV-nn!0_dfm`{J4OB=fRtCMcuDwpOUt@<MnRC z-u-uy|2tG3c)9RX$Lggv58p9vDwN*$pMR?F8{4RD1%F&_9y@yZDQn(*ZR?6EP+G~j zdH7-BC!zG2Zyx{ZEox}reo}GU`3cHz=BpmJ_}ek(!7JgSuHENPY3IZ#q*rWyom*bB zzbNO9gWiVS{V|U+;&&d}sl2|hxFP28M}eIOyQ@#>^6^bKuP9w|=iyi7CvxBWC$s0+ zhRS{Xbn{coWEZo%)$i+n7Tr8DJ2{nGTK$w+ng3LA3oGBUg1TLA9zFB9dHC_oPeS_V zrq;?_DQXCRep)fE-nXydzpUl4Yy3}H^!29(&xz4nU+^>9^6+f!r!vy@)4AVBZNL5T zpGM)9%`s<x`<Iq|F@4u}-@pFf#>%cc3GdGqbx*$hlvnTk6ze^f(eE}EuCqzlKFwXG z-g92T#Z$-Le)*ffUwwAPu83_LZl5;mTQ@=6;{W0|51y^x)P21Eq+D6qt@`8FlRFRJ zO_fhKpTcY-qt||;Zi7tX|A|FCmye(3TsKc^`Ht#sdk#J8e!^3|a?ax)SBo0Eg`Wy- zUw%q-p5Nr_H!7#cJpNEy)V!Jhr0%-&Q_U+@JmtIHdnft->rV}r-=9)mSEu2>V|R#| zOx?f#L1r7jTi-nNaek__c>Q$o9j5O#JpTRiL1y~RqvyV+@VA>!nr^Wh6jRrV8ruIp z6}ZiQQt;b*wc{3hx6gTSYI^V;+mN`#&xS>9d(}@`_RUum-%&9y=FvCRqSob$pPH7% zDPOm+@ysijc3OAceZBk-1#Nc{e=`^L|Ls4;>}RjpzvI^&n}_e1Ztk$X|3Ckf+P8iB z(H8Ggq{Z#0i|_cm_05Bq{F}Px?ms2R_g_`NuxzdMyNdrmcHDF2`><iTOj32e<)MF0 zeT7xlmItrSeIioMep)-{p7Xg4<@T1xKMEE#cDJ8a&6C#-ujqPWT9&l)*hB88Z0YVN zb=UPzG_R;wdnfVt*P{Nv+fOk2-Pi2jQMKOY;krq^e}DX2pDJ8Ef10jLec-mjkD--~ zxARZ)&C{C{`{s|!w}J{#!JnTZe|@pcgNOM=9dG}i68u)a>vG?B|DMZtpR$CTPYJ$r z*Cj6Tb68Q^-oH;|j+am4j;Sc>yj^`#blyAt^&3A6>^$~x@e{Um{|V7C_P+NXJhUuo ze=C04_FKFnzeVgx<#loD{yVChbKac){y%q9=iYKq*`j}Y$Bzwr4!k{{%I-gZV(gn5 z&u;}cPI=F%_5W5_yHV!B!{tRCZ{tr2eyay%>FzlXUdb1A?ar3j@c8ehL(fW|@>EZo z^Z3WHqQ>sor&aUvmBTA`HSalgF7}C3d--(fId|Rj3jaD(Hn%&x+aP<&_S<|Nev8^= zHjiHY{M530`l(~GKmP6aGTT_bC+Fdhl$*zn&VIt0$9?nQ<?0l1Yxya*GV_%63(Mxc zdHm~hQA4}_X~k{(Ro!pw59lkb>YVdn)%4&yv5M<A{8YC*d=FGH*Pmw9Tle-|#s4Wg z?uM@WP*PjjJGb_URQvB!yys+g9{tMriH*PggzUEWn%{5O$H)~_EwOp@O7K(5?&Bwq z$^QIjA8NMod(O>6g-W+~RP5Yy;O)ju&C7*T#6f5K^7&7mZebn!t*~~P%!7wHmPfwr zENb~1)mK=xZ_a~P*+pHu-=EOV`4@0*Lpdn(6zx24H2<m4_V81p^VBMPWu5>3o@B~b z{;YnzP<r(V-F4q5nOE#sc_;DrwW9vNf1ffgXFsL9j&G{^9o^K&el?)F`JQts_woK| zxiL0@aSy(|+|<1M`6<1!IHhU}o2a-)Z!B&eFI8i&s8}qM^!sg5%U|Os63f$1a;~#e zTE63V%br8eo<HHK7C&Lxw_j0w$Bh%JdG#vc6~AWgId*RSQ>pg)3DR?7Rk!c>w|vjR z!=_*NPrsO0Exz;kzj>b;f}`aMOSvl#-OWvX%&_l&`6S;rvO3Wgc0St*3*##rZ?m7| zn<uBX`$pxOHxCUaO}D6?_U7>~)}n^?=_eJp^-olOvp=k_u&Qg$gQxso|Nm1sdUEL} zuIbZHOWykvc5dUx^qmLZ-G3_N-uCZzqUgEuY1en$bYAyy$Mic7j-GuY#=d=;dBxWK zcOHIiEb9O5{FE{J`!x4GU!HQR^H1dszyJT!#Z8^&{!?^wY@D}k{B2X&xOn;rzH|N4 zV(-YPS67^Qq8j&PQ-AaJ(~NO*727Lnr^!70r(D#t*8db|T%Dg^VNv6rLr=}0@Z_5n zovxpsf3`k<-LZSEuUJ3-b^mtlKKq9%-SCR9o|V1LQR_ZF`drj}{PR;W^Z04z7XNqM zdH5o_sQ>Q!lgws%lic_0jF?yOGx_F@&G-0Eo=*|h*PpDL^EYZ+;eYAM#^%Leeh0AY z_f3nnkyEbTVd)q5=nu=y;}<=P8tw)^6_C!Kq`b${x34hr1gGCU)#VkDr?hkS#-A(r z?`V1aHUDYWxLO~*!VjF^=CAs1mvQd8<x$S}^4~LGiJHyp+nK)P`<J$T^WxdJ=|B4W zhv)73dOdZE1^V+>#%+H8s3*_Xd+$NrdCz_)mwsp8b-#L>%wxgnJF583|6cfP@$*y4 z+vclVi?7ZvXxaRHwRpu(rk%S#9JBtnXKRhzRsWRFt*>~W3x9s>`s(hrn!|tEKAV=+ zE%<42vG&^eClcGmPrvK_w_Sh3N9B3#wsZDJd={0f$op^e_j{wejD7sP4_}xpYdXJG zpR3)oW7)2+L8Xr!{#u$9c;s*NTwmxHzt`vY$@0?prs!SeQ+6HQ*W8<(S$D*2?{T@k zRXe{mZ#Ip8&?UF@Rq9f?2lMjwJKg;;VOQtd?9<YFmYG{DNO%AI%YM;Mk@PQ5wXZJb zU%h+BLVt^&D}FVo|N0erD}TrSBkxL0<mDIdvvlVx^of^Qy>CJEjwR(cVzc)jm9?$k z`Yl{OI6g63{bu~i?{BJaESEmM^7-?ux+UvhnAZL*{K|Fx%M;UE`t}Ru9!`8GvtF(y z=<cy|=ZgA!KR@B^dvP>-tNo`xJmOy-uzY2GzhCuT`Ni6Eov--r9{aY=Mt=7fUcMJc zUvGJT(b(o<vrnz1z5MRoFY7+5l+L%im|W$|{~|l)(&fop_uIvOKe}V_?&T|vzYmO0 z$S$uup!mJ~#`5Xwuh+Sp|JWrrZ`HdwYkt?nTwZJb;nxc`xtF@%{4Un@&b57VReJ0F z3&JuN_r~0<-}_89=7Rg4h2J;7ygGY}{Jd3vnPy*~yx4s8_b&|kFTX`!N#19t=J!&1 z&IRc`%hKnFyT7iql<Rx`HR1WxqR!7#V;&wY{3PZ6=DJ1lzJs%`XV_W$uD5?`bCh{~ z{?D+lKfms*NX)E1r2Cth@8#?5nf1>4g?|0_*WJ75eCLvQ>#pxww&xEWoA>F!y;<x1 z-QQd0Kd*iEx%|bxiTe(5-mPE$%jJ84t2zHAd-t_{*PEqt=B%r2{yg#TyuY*ZF0a0M z{d%q5^Xz@|y>0CE4}AVSsr+Rn_c>*mg885GzD~${+%vD>UVZ%e_=jJ%7WJK;{AAwW zj?cV#JJwq`pP&8y^aq#qH?k}KU)^!^VD*;xf_+Ec@qYQk`~36og~t`&mv6pOclhU# zx-)go^5D?^o>jl(_>RT9(^vU_VcnLu;<|alW4)^RB{!B&e}DPkpI^m$7TtdH_4q40 z_GtA}4}WjXFM9WQR_$w@(&>2*Cf-Zxk4sM1zq|ie!?)>QSlmnAH@`aPT~WJZLH~{P z{l}%NLNo0bh~L;V@m=@1F#eZ}ahKlmuXHaFUjBu5UVMD>^)FZ5x5{sx{~+<*=e_!u zUZ4E<r%rF<_n@z)-{RHGEqtHyn#C!8w>YdkuYR8t`|Dcc|2CUH{P|qn_<a6<y=~9u zi&y-6?%42rzPjMN`hV&b|2}U%^x59N$maj&6-PdwZ#Ombd+_;q-m&NQ-@kwQnfzX- z@(8aP-^J(e>m6*Z-0!b%Ss&aWZXUMXe%ZUd-e!e<_aC&~doXR@+O6;RNB`C|%c-nf z_p9w)Qor594?Ji2Ef%h~U-x%j+@r3%k3XM@XWc(`y88*M+{Wh9@+)>*{8;!V@o`dR z=k*)MFZN$~?Em8H%sK5l=EvVxzxsBsY5qKQc8iq!!@uoky|?uGd~NxR=XVZB{?_H2 zxxUajzBcN&;cw1)&-Q)YBUAn7^O4nC=NG(7D3{;6Pf+}e-0?53jBm~VUn9O_iTnJ& z_vIe+<<<M`eNrbJZGQ2ydBwi{Gkwq7t^eEgS7iMQ-sg{{OX981@16L2<HXal=L-7Q zny=*FaX>k4Ph{;OQL}fe?AIHfH~-kQzdy3#U*)zxO?LB5zwg-b?DNcmuU2vVaxb~x z&91*^@%i(N@{fD=|64RKy*MuE`LbR0Cx8C=tYH`bS?;-F-wgh3&%}G5)t=LhF_15` z`(N|=h2nGFdj|7!&OVR7^w;pYcFsMY_4oHX)c&igsPHu3w`SfZ`%A%9&hZzoSNQ5% zY(ID9^Qy{sB|DFOK7U{AynVj4&HozN4}U(F9Qu6T|MKPEng1#?AOE}`FB|irDlGou z^XY~DP3&?1C;j~SmHozp`R*6PzVBQ0JLa71o*g^w?oavr^ZxemihqBMZR-D7&a?l| zbbfw)=kxRX4}E^V|Jdi}@sGD$d7b&;&(GdH_bT?Wx9|D)D*M-;sH(=|s`Eepe3g9u z`{(cFg)8>if4*-2YuCHm2I3E<{T5rh>*T!F-sl%!zu#DtzrkI9|H9hY^B%Xz)dtr( z@)x+q*LdHZIluq+&!0Eu&hLNQ8W;aF_igp(&xH>B`xoz9^4nr@^!?E9FWK^5NXuN1 zwz>HEeWv}A^&57tkDI?gu>XZz-^*j)uO|QU(0?iU{MyA`>u(k9ewujt+1)R7Grs4} zG1z{<vh0J@?uuqxn@6**=NHC3?7J>sVE6xbP|NfBc&`tCey<db`}})_%=!KGS|9)X zUS>M4e*cvRKfilQ+x-8x;`rzH-JiI>evL2Mcj%h#&wsH?>@L{8x!fziqW{apeT%Mt z>5O|gEAMXX{rP7NtL`ziA3t@HA2j#b;{I)J_f^?lw!gz}z5n><>%9jZaeq91>;0D0 zd*QhJ-Noq@&gS}o@t4$hRc71nU-<jWR~vu-`Za$W<Q`9X_s_+4iT;OKb`Lu4J#37N zTlIIwyvIj#zx+Bhf0cTPe0$03^jDg94_NL!s$JC^{p;29TjyVJn&qv2UcI-<uY7~M ze4*p}LZ|h03;+K7F3P&@#l_TDs=pcEz5Mp{mFV3g-`;-tWmZvVZ)UL|egBnzcY^0! zoW4IOzIcDP^skoeUk&P2KeONN?u+v;Y?XOjGr@b#U$=M9{zdP6_)m0O-umBv?yuZl z!vFiryw5+MU+#E5-~U+Rug}*1Yc_rO^EtEe`Fwx9dG&U>AOC#TJn;Fv`s43awbJvf zKm7{Zvu~E%rtpWe<cjm=FF9}iv-w@OMgNBrdp^5=O}TgYT-~krg<khTf#mu7^ZD64 z;$IR%;y3O;BE73}X_edj7tj7x)j6MknZ0Mp^7?Caj{SvR<^LDOT@tVGl`n98|G`0j z{iT1i<~=?t`{mc6{j08nLn-U)&-?1Ub1vUr8~1qHzF)JyNx$>oQ0Q)ZfA+ucb?nt& z1h>EWZm}@D{`$YRxCdQwf1UR(X5X>=_WzLgFV(iaUvmBW!^V4$8sh$V|5mm=EO~cN z`@cP=f9lR3dwlxmum91%g@4<X@dudyTP&CSdH<(Bb#q^+-hKG*=l4dvdH?@zO{_oo zQ>y%p{`PORHvgY9uiSXL?|)p&@yZ_E>F3)&|NK5%aNhsVZ56NWzDw=We^Ap{`%XHo zzTrK4+{M$`S@wzc$4zY?->L2X#%;5A?)7Tjx69}Jw%E1#*R0R8{<5v-Dt&$HmcFHV z!NI!YKR=nA<-bwWe#hv3QQTwR-^Xmf{>!|%z2MxxS5{U3PSiD>WiH)+xaLFH&BNcU zKl<I;Zdv|e(%**9eSbNozv=&M^W4_&roUzXy=Bi+Z#=yJef8Y0e`b7UT7R?O$8LXk zw*3L-y(#*!E7yPIGPkgHyt{ARyVI8DA0H`v?fcw#-TFbx_tR}Zr+sE?f0MrZL)A;o zyqBkAud46r-rW5459j&%{c;7)@$Z-Y{rO&Bb>54Q?_M3-`(+>J#_EoD@oyH|-OqnG z<KDxc|HP($`BQbvd}n>D^{)KC@Bhked$;PjboHw9-}_7E$6eNaxBf%dyqN#>@B0gU z%kw_$|9-n-$@hwXe}Ax9&x?yMbbfn&zyA3G7yfTS^Xu#P9bvt@XX39vzrS?uTWJ2} z=l9pyIdZF>FE_vT@6YdV68bOKt+o6AueLGxS3~Z;y1O?1`rohpYnu1CQSRO1cNc2+ z?3f)lzf>4hDNg`Z%8%#l+qDu@C2uczpZ3b`?!jYszy1+q{~}lY<<+xW{4ZJkUR>0@ zqFmLWy=!kk|4X%Z;8swM{IcuQ->&{UW!|HPeS4Pv>U#I6$L{Ph{v8X~=P&&`C+<<F z-2JG%3)*k&ZI8SE$n2Hs-9v_Z4~y=d`~2n4+^zeUtuJr~O<!HM&AA(V-dyHuo86__ zUu~a%zMm~SuRiYTo9%PLD~{F`Ro}J$U(;FOTmCKh{mtzkJ>Gvbuot)fe(AOTx9k5r zKtb|uf!)RFI~L3?4>6zi>!-~{^Dk|Am#+U$x3%9p`I~N4&vft7?+m50_Z`rzJ-}Vn ze*Md(tNWbKe{|q~zvkVg+C4kA&&!ux@$Mq8%%$i(ORifiU(a`GpTB>B_xE>;<SxkO z+;KlIU+D3Eqx*WkYjrOA1y22Zf&DKT*S+`_dsTQ>XZ0_(<zLutf4}<rw9VV~FEsgH zoOZryyvusF-NQL{58C$aoBfTus#CqHru^$9xuoxPRkhuRuW0XTO$NCHlq%$It$(R~ z=i=Y$Rpur9(e1B)9@~2*`j^M|m!`GOphTgw_5MZWn9FmkSAQ>&?*Agk|An{g-TLd{ zcicgFR?v3G`d=>bkDBuCM%TZ4USA*m;HSO4`TOOy&7V8}^8V(n{rCH{)NK9>uf6%N z|NHZOdWG5Dz4q(>EA6{)v6R2!-*4~s>t8-G-kSga-=xQla=-Tc>n`2jFTO9wHlX(3 z@9swDs%}}k|5DGtTy5U+{*v&WOM8{C*zRiXWq<XP@%hotqL%0P<9pBOKmYvG?%QhR z(*J*cZ)H;d^5Wnt!{4AFa=!BX{_&YRmgj%?^Lr=TZT?HI&&sX(`}2D#D4ZPr{;#WV zH~!V~{Qh&^9ShgXFZ=uRdo?H%9lk1Tw_jkl`-`u-atr5O(v`Vvy=T$(9ZR0~U#WA8 zf7tiV-ph7*^$n|_^X77E<1V=G@?ZZ_b?+hVs!tMc!@qD`FHsMPznp7xsXFGe`HjjA zaq%A`-kN{masTq5@Re?DC-<(O6<>L47v;a;-Me`G#-9SV_O(sls;hdYmwfMh#SG3k z?x6Vj<aulU3ro2d4<}#k{r%$kf_`!StFJdJl}^rkFyUTOciiJS`>MLW<yJLC@A@9{ zmG$mHw*}ApZ!G+NV{y3u<$d0u#4>N)yNk>*7vH9@&<E$7H<zVz?0wejS1<d<TxB&| zuGsGXb8XFU{TKgzioC^dSzdVTUrYEGM)NPFYyS4cJ<6>6xA|&uRma`-*FU?TuMjWc zKK_O8{C}IALeKN_SO1;#SM=SBf1j^t?`mJ`{^IAc&sVR1nfUH<c9kzE>Fja%&1-we z^ls(CDsOPsY1?=3obuOST`s?6Z4V3DR!pq&2PK_-5w#2azwqu|*#E`UOn&L}<@y)@ zx%7XW^lo3s-5u-y{n0D%lHcI@{==-eeLla%Y>zYB+IZbv_PgR^#$LPEPhWBG0w<HI z-r`?cSNC~?vQFH>cNb-IY~0Svf1Gsh{O2#PGT#3F0!kQDUoqMq5WQPBwaUZ1(Et8} zj(Z8#S6-j)yIAMrU+54ow|d@%*f|%~=UigHbK&~>YyY}H<;eOg##J46kH7k=+ZTNP zrC8rfS-ls!dM|%wZ?XSh6aBF7o&5T^P45$57gp{281=Qw?ow@)Z~SHLJ&V-UGxjfY z-~94i=PTa3$CURT*R5@yd;IlR(e;=Awat6jA(!$>7L*(+Csp~+e<583>gMFwIiC0T zzxK}qQ~~=3&VRwZXBq#E9rNq#N-7piUw?g{=lPG1I;-j`R_${AUg)v?-h#Z#ymKzg zo(u1Mm;I9aT=pHK_l0)<zrPR9zw%e_Ij7mYCBOH?)OwZ|ILUAHe_!a@Z*e|;$#0>Y z{SoW#E&0uSj{DB}-}zzwFB$pft*QO3`$~IPr}3{ev%Lp-ZLNKOf3E*;d{nshXV}*{ zwc@)c&a;2mWcOgkzPj1pysIo{%k7WdyQqD~VtuZ!rgslr3;yzl@A?<9-(UX7-pYTW zy=T$y_aXT&<<`AC_VShVFAw>brh6BM->6&_7yn7`?e{Mn?j_IfzGA+6jCJpE<=STR zFOPWM`hVe>?SJ`m^Y<0f?XS;27t(*3wleRMdX-oH3$fbX>tDX?y|w+Nq1=miGhcE4 zO8R#B>o2x97p!G2o&KJAf9Z3J<?ZjozrV1{dwDJLmG`d3-t-qgb-%qd{r2J{`<D1P z(Dgq>?bUn!Y^;i1x!l4sIxhLS^QVUDJwH0Xb@Q)oxA@U9C;9W@qHgJ&m{otj$dvN$ zmY*za^MGr5_E)*~=cm5;m(KrMXn8>Q+=o}fuXw-NY5G?jd3U}fzI0wy&tm_Rs@v>! z%PX4K&d;`AeYJMapPoI(gylZ`+We|J?)S&%PXzSs^q=oItb1<%g1kRYzyFCpm5_dZ zT6JAv`((GfyL^71o1P;7y`r_7`%1P<O{Cn$pQ4s~V!oSN9Df;pHTq4RuUXy7pN!Qz z{_LviJRJ6J!&ly$zoveRe)IUM`PJ|nzo*$e5Y(?*`}fuDSBic6l%L=Dx9-j(UbA%< z>b}{Q^6Q%yHL1ru{QCWBwM@Lm?dEsUF)Hjk4ojY^UvMwsfBUA-(r=~3PYbRqY@95= zGJnJ6lh5+E-hZsBrx)m7_#wHn_w4)=%y}OkmG9Eu8)AFpo&QrA{vAi3p1;z2KimG# z&NqpxrwiYC#I?R|;l1SdMmPU8zmqO(xITULb&G#XZ5|z6pYpu_*876K&+)6b-`MYD z_HRjL@&wzM$1Ljm1J`f(9KG|n?Kbzj;eY&W4;*~|RBCqp1ot}$&%NF*uMg?p@LPT7 z0p@!TibBl)ulf7ItFm*g{b|j-!v4+sSKO|@`0taJ<pJSyAAYTW#oM=jn);p}vt?qJ z{;rC7kQ8+P!Grgkeukf&{eQyr9S1f2{;%A3?A`t+jQkaaTi<E_Un}?MgMCro+W)6? z^EQ4of4jaX_Urxh!}m;|u=MXZbk+1~wM?w{Hubyd@zH!A*wQWb_f<9jo%@7S+WPdn z`=#-{$#Z7BQY~AjUB2V^>H3WQH(YIx9$fcHYWD7v%yJ(d&A+8z9?oC5qkT^D>#Cye z=$t>^zqP&Z9=<oPsLB1#!&kpvUE9A^{;tEfkDuB;ary5!_ImFX@&6a=YLqRHbW5MM zG|Sm})YR<X>c12Av#%?={QuvLto*a=bJTC#iL{+}zI^K5S`+!gcMnuQGy4@*3ZGqH zxT`e2CirX1X94~*(dNPNGpg#ko%ih8S=DF!Zl=Bcf3a8E=WOr9hkx(AanE&~*ew2n zP3!mkocr(lN~M@MrQ6#>wtu_)kL|a`Zqf6{Pe-3No%f;R`u4!`Z`W&I=02TsT|W7D z`K#=6?W-&P{i%E;m|h>a{oVQZhW~VS-#EzVw?4$b!1|Qsw!%*CdBG2*))%&C+dO2u zU6b?k#mB<K$0zj5$_Lx++J5=^@&nv5AHGT!)Gzq=pZnd1XSY5biL05AW9JY*|3Myj zM4tcq8{LgZk6*g@y0EbC?CXsC`}h75(*HjB^3M1Azxkh3R`~YsD6g|!tvlVKX70Dy zy5DzL1=b$1lUKJpR%23AYipGAh%0)>+~@BXT(^HP@w)woU)sNt)NSi)-R=JGKB0Q< zCEs^x_SMy&KUe;>o3}sy<J^+@XDd6R-)-g#FfY4SyG*<K#;(maAHSLxIQ8$?ZX5FZ z<h9S?J0Aa?{P@MYnz{-rpSa}TaYfC4f1l7j&u_85@aSt#zALZa7FJETV<ew^`%3M* z*elP!A7^6!!k1q0VBXKy*>(Z%*+2L0I)6<1nOMb<zXz-4nVx^x_WQH*74<iU=JkJT zZ0i3y<=lxsum65kZTn}L-Pc3;ZN5q@KXa5n?EHsEibajj&u>5U`E&G}xeI?Xp1;37 zbKdjC9~El%Ub}H*cICT(z54{&cRcwTRUZ8Q!=raaCx0J3bWN|y_HWqzg!yrD-}e8u zjJqfs7ytI99sl|F;qvF-U$2<={O^QE7T4>{&hPisE9lO)so!gDQ~zJxrvC3)<!$%s zE57F4eV@>6E6#r7uxi{Rw%<FBU$p)5HR{aw2eZ~suk`;a82x<`^E2KzZ&qEu{^;l5 zpvN8abhgGn_;T-)jr?O?+h>;d_LkOnNx!-0{#)@mch0+IKN<P=%(vdNWcv53|GNIl zEH8duQ)h2-<8$eMw)5|=3!0Za_NcW#9c;S)fa!a6@$>JOt8T0O&r(rm?^<#A{CQD7 zze|5r%lxKYw|HE7b;qoD^>+o`zIJ&(B+eJtocSSf&p&=Y*YAq6*UwwT)E;>D_vyT! zo%?RYt}lO5^E0gSkaFG)d5ieR6aIc-+*SXt?x@9b{hHEb{=4sO0?hiS<i5FBonsSm z|2Xfu7f+jSEPuXZdAs|H=o0zc*DrrwqkJ`YS0i&(xA+&S^e<PNx4yq1eCNX6_7%HJ z*!@f9fByMe_R-JRwnpy@?>#<u>9xN6s^8(&rwog)Ha}rGU%zj)!)L+gtm|&}%PpIC ziP`27_qn;-U)246XfwZ9*!p^S{)S5CopmkGrdRy+nAg7A{bY8GU6k3kOLbo*cFu{n z|MntqMcmo-^=5bLbiSWoFaG_@w9h}!7q>sRKQH|(`<%4QUBC7E^>g0Me;&SL0egS= z{Q5ebjn(XL`S0BR{5ko^Pt8}tar@%T<QCbBE1S(<@Vok%+PnUp_mk@O-9PsEFSpJ8 z_c?d(7wkXzQ)>F1`F4Tl%U>GGoxk>)_t|fIHFXPn_j85+11o!D?<Dm1>&iRZ<^7oP zY2)MMgYMrwt7=W-5}rF(-D~(=DSvx@fd2e7e;emLZjf_(^{{f*_d+-Gd5h*<%)ayB z$;wy4-{z_H+nhgGw)VHX&Bbb&3)lUx*L{hMvp@IZq4AaCU0;269@RCoTmJV?<f~)O zU-v~nJSzU9Cb@L-yN46<?4oV$;y(-9E?@tW)wZthnR&&>n0NVG>=U}5m)_Xvu(xL3 zv)rma<*F|=U!Q2t;xBMCk6#dXN&3#iFRibxg>T*O^Mv($@y6e!UpcM6FdqN%%k`H3 z{)Ioerhj>H^p)t{y3RX~dAIFf`S;P%S9<49Z}^`3^+D<@x!O+QU8Pfgz1ls?yufw+ z$653C#C&Eh3#$BR`?kO0<mX>E?}x9CTUa?i?!go}`L*+6m;4l1|MHsl)$FQn_dQip zcYS7l`}_C_#`B*y)NkBXxTeZO{-t)6^LgvD>kEDR<JbOe+ZS<C_}zx;&Tpq5Us0Yn z{qY0!R}ZtVB=71s-c`G&>SOTP**g}R?^wEg{nb4mjnD2@KOr}-;Lv9_nQyb==dbuV zCGOFjd3*f!?(z97nD?@5*}jGO8!C4`+dTQobPJ2fxQ}~O`|6ffeir%KV|S6e%6t8~ z3pKswU!Huu_5Q`Vm77d6_8qrdcW=SG&wH5s_g}2};`X&kF5&z3S7qzo{f#p#^vO@V zeAzs6-;sB-pYr+dcv8tc@862w*Yhvdxax2Gt^W0i$=9b-i&~O*{SEutvhSeixqHj* zJ$~@^)2!#Z8w*9}=P!)AtiH=V|K-1{v(^_n`QKakcgnjxlb=77<KJNwyZ2!wtJ(i^ z&wuNEohJ7{wf1A)*9Y@wZ7=Z3|Jb$9%K5YDw|mO{H#DB|o|k@kt^P;NOWD1L>}+e- ze{+7UX8o^tr^Naf-NsjqtJ<aK?Dwxd^zir7dCz~|*f;In_H#k<FWC5ANXx{!f95^+ z;wAf*`IqnVpVF1vuyOLY>r;Q7`0TaE;AhSGu=y_(`CguDzw$WNB>(Z8eFs@>e{XnZ zUhz}#=AF>r&)id$FWj$J+qHRjm9zQFY?+JU&ok_I&U+>tb75}(%IRO^%6CMpj-K{y zak1ff?hVzorN1A)GP`@kaPQ%lyr%abP1^UX<(u}d@18r4D{uRLsjhb3ucqgrH+FBV z`lMOfF88qIU0qb|*6q*4cPuKe@I9Y>mjA|op7ZzJe_u;ao&H?1qB8iaSoIgF<zL<u z-#VYS?x)E5m+zim&HmNk9#iLdcW3Lj$NHzd=ZQbcTe#06{_gVV8@Iyu9{#rWN!h&R z>!rTEyf^)cs9a(1Ywjz|^THp#GuvhVb5oT^|Ax}&((l@@xZUFt=HGkRFt0xJv*fq^ zYUVe7?UMOu!~FgC$Dfa8#3fhPe_eBRU$ML8QO3J}+p0X|3;Isyui9?$Z`zy>dj$B| zKm4qVwp|)s@o?4m8@2)W9!!{5>;7A?_Gj$Q1H$(nJga`CJ#YK5$FV<Z%wI{`9y@pN z)xGam_wGK$*Z0D<@8!|fS^9+q6YnJcW-mJT*~doq)bnocs^+`9S3bAD^6!&DWoz=T z@{Lta@`bId<yU^Uc=_Z}+%Ek?4{cMQ3zfdM+th3Bz4Q3ZzfX9-z1J4EzqaoqgXQ6~ z4~sqsfBn+<NvL{XPTVRxIYs|3Uz?w_$=SQaB|kq{^eg!7^v~bL%lSjg>zBn{6u-fB zg+0eU=G_MW^Y!a$=fC^@iA#F_gzP(yo&@e%f9##|)2peo<mHsrcT{b86T42%*01ct zqm7?BcB`MT)sxc?-+!g<L(0wr*Cs!C5&r6J@Dqmgi8=qHYJZm8JaX*v6W(?6HO(z* z+vdbBto?qosOxz8$<vN|tJb}F^uzK~%k`T5ZI%BjUrC=^E`Cz^+s3a3e{Gj|?2zZz zpE7;Nyzd3=%r*}NZr8;8cUyn|u<E<Y+K>5HcQ5|3M?hSEviSaB{y4?x8(vTOwy&QO zJx5me`TpzwK1Ecv_9mzN=YRFp>s!IEteZa;@2cKobLsfwC$r+_Dui3qt)277^LMvT zUqSt%H$AV8p4`-Xc=8ju=;f!R_gK2>t-Dfp<huFc)#fYM>%;nAddFNoyMKlG&S&bs zJmN3ASJg~?HrG6}?qm48e)gRC%kDjVcebc<>$A_>Pg?Tb(`v5|Hovd<dq?f=9N$-~ zFQ+o=-`Ct;QMEnh@vqoVuioGC_p&_rt~%wt{Hwz=H#M*ReoDFT+@$I~75iiU2LHax z{**~ve!}AVmF)79m~-ap-v0mW>&L%Fg`X3@^7_5xo%iBq{g(VWi+_sjmY;a{|Ek?z z7?1BTDZBmgeWPmMdd2qVS@uW1Ma9Jg*M8=_dFbcyr+mNXPm0c&r~dr<rMlqL+IxO& zc@rOf_xGGP4__K@DwO^DRs6}sc{OqCUivAASNvEs=gpG8pO`EUU7Vhho?iNU^`_q4 z`loHr?bpjM_+YxzVC()_|7#v?3)Q#O*P9}3QxpGgV|#D=>*uB00^=XNtNtWpZE@&j z`PJ|pfBWV<&Z(2#ap<W{zqZW-Q?s8DpD#X4KI}ffUspcaj_vx@>*|NSb84K|pTFa} z_r;S=xqlvcFQmU+xA?oUYS-#-yMOMi@cmvEoUeCvPcwT}zkAij-OuLE&$7GW+_$0D zcIWd-wYa}ApQUXsNXJ|*_Wu1=-(>$G<-50oYZvw3*z5E8>es8ubM83ERmQb!j?cQc zQ}O%7+&dT8_x#-YY<K0ndGD9jKISU@@3yJESNb$(-o}p{d;7EJ{CE4U9sOC&_MmR< z&h1s-t<Ubh@nfw`!sqWrJNutax7gD;=h0E$)b8hzJAN$wW}S06de1^}^UHPiS$2n? z&42Rr?9TsQm51!&?mN}CUT)p<pwdw9|DxLR_|o=$2V`rH9F8}=pZr>D=Z>Y{-mZT7 zAgrk2Z1ic#dkNE@o9>vkW&T~a&vLd$ZSU@1`|Ah)+3FkrcH2BUYX9_A*)0CTCnqe+ z^7Qp9=k;Fyf32>+_7@xfmm>SO{{OGm^ojqU`1kKs*~iPQ|5;|-aqKJT<=(Svan*s( zLNW2dzwgbx;=HTb{Z~ux>x{Ys=e9oi#PD|amkU#qbM8j!6}BtSyZ$I4{qA)66Lz(& z#=Gh#RMo}C+2_4@oKsaQe%9Z@Dx$3X;=baJIUj7K*>|t}Zm~4Kz)k=Cs=rD6AZ7cO z-@DZ7ocg$G*7}X@;pM^cANR1dSO42D^;$L}%Zlf4#i=RQiyt2;EHSd`v+nC#{Ww+h zh(eQ~i_$#hhe|AtJW3LdDoS1sEeah6v-cdY-r}`0_0$y2;AK8LQ@y&sTRt`3|NZv= zyzRHnUq2aK<CXryLwtLN{RQ5*HP>sqfBUaK8@+XYPJnfZd-|U17Y^p$N<W`5|MAJF z$Mcl+b>GVFI_kdb@ao=M{(0B!YQo-L+U)zP>3fdV9_QbUdLQ$0`=_6Oxo=nS?>X-Z z@;C1}epWZ@wcgw8TZ=D0|7mlt`{jvi_X?kK*SJmJ@sX)+`#0}B$GvL~G_SpN`+G)y zZt(9C|Lc3MU;4QB)^q)=`wui@AO0(=teyW&&-TGF?@y}x7Ay~byIA&9!@TNO)4ne& zDcb+dd)MLWs>X2r*ZV3RemBKE`seqv!#^(Yyxf(23!SZ^Cw|{E{lZJ<Tf0|(Vw`{3 zG;g0)zWlYiBkp?+^}0WKsQ32ymba^ws}@Y(bN%AY#k=&&vh5#9-hFUTuJZeiZ*sXd z%gnx?I;(!g^zDU@c9lNX>sR)Q{{27m;?K#u<THikUx>xOxn8^9@Ao9L`9bXLPbPoO z(hk^N;;mj%H^KJ((l0s9@Ah7QD)#PzVxHV2_r7n+aSue}9z0BZYkjM(`Mde6x&^uS zUcb1hR$1usTXWt;MY%ni{pO$lzGO0YuI#*C;(y%z={2#t*7+;b_n0q!zOE)FyJmrM zO`*^ClVNYYcO7Zo{!}ziHk`l2`}XaU`ST}O@0q^tYuV24eRqz^S{+lLXmfYrUdQks zO>qw{?!Kk}@}=k3E0_OH`c|=i`Q5pnK27;%H}5j1+&=a5VOzexWVN%@UH`F7?&Xu( zpE;r5-$|Aps4l*BTh%<NvP1u)$oh{p+rNp%K7Po)E5G3Pnp-y(*Sep7`N+BQNVVkK z_m}V4tM?a9KUeTtxpHHC_2j${v+_RvNPc^k`PTV!t7WT}2;Y-_@nFHX&_%Uf;w63V z;!mC`zGdEZbZz%1zWkRb6W?C;f1>v`HYk11^9v6J-=6h<YH9a~_1=Tf6@Q!FeR#3y zU3E>BYp(3oeamfk`8;KP|FopU=l6qEdyeegdhG4_C*6;4`M;3Mf5GU#E;E1rg}S=f zx0jDSf7SJUT7^vJ{sZC_JGUKwEWK;Jf0q0u=Dd2v_(!s~wo7ZLon*eX|K#(J^Su52 zvh9Bc-Fv|H_7U&fxetF|=9H^l`kQ5b-P*VdwtJVH&VQo&{^^$|YwYZQgxz~6_x91Z z@}mDT_vib4X!HB1a(&;j=l55;-}>Qr_wzlW?dLvMe`M6p<nOP)Qd{8odxBr#Z}rNy z@)GBC`_sMMyS~>4>Q|m$`qul;z4)ifc^B;NU3!@MHrwj(W2f(ycE5e^USiITyIA{m z_nnBV|K~T&i<{bBf5P|9a^{*}%WZZo+w1zg!dtxJ*Yt0)Z~v^F7ju2@PyVyj%67XI z*B5fHe<9%Sb@%wpZ-TK8F4sR*y?5Dm?tk^|d9VJ}&;RUVU+>HS1{I7kx66P0`}XJi z{~Ly0Ufyp4l*5kp-Mq1J@s_4(7qa|UEpa=lVJPi&v@gohTO)Ui*A~|vp($)vkM%7& zH)~_^|Gw4zzkgcyz5aCm^^UpUuYaDo=l*>E`|rP1%Z3+yy4v&qv;Fgi-EmcJH|kIG z>TUgNSUNrL(G<Ife{y%(KWLj*AM;uFuD$EMN37QQ*K5jG?K=KhN+#r0=IVEsh38z{ z>%T%={l&iK=wB_?Rh6^e>08*umfc@k`Fe8EfzLcL``l}9%)Iw-PTZV@KR?y(n*Xrx zU9H#N<@q1GUi+`E-uldZuFS_h70>xsSkDjae<`N-!g!tEmA``DzdZ6e%m3wp&P@x? z@8NGA|I7K>@_ehszYXuw=ZD_EV7_C?@A<*&?HB#llY3z-_wwrV())i_ymQ|xlkl6n z=+tMQIrG>2R-NC!_D&LezlCjR?ZLmAn+g~Fvpo6Z&JodV1?{;u4<~+)EbO<wxBiDx z{QdMVdw9&}PifX)Y5nHw2cP(j-uIJ!^PIgNf32o}c5%x7@bw=`rp>8wy_+20vUc;; zx9g4k%?mx=e{5=Z{B9n9wWj^7{>h)$uP}dGYJK_d`qk|v;^|)`t>drlduv`&zb9Z` z!LQhzcHy>3@tx9De|*2rkb5*Uuf}_?Mf~qGpHm($|Kzp4Ug7(fmRj9;FK({*$y?jJ zRzB<A&uvxhci*45cl4E+T)o<Pi`4raXS=VgexGHxSlnU(`}5WLg+FX9f6jeYn-ilX zExzLUo9GvIdl!p;VO##?iPPEJ`>*XgtHS?(V)CA!?Qd%0_omEm&HmM*4O(09kNMvB z`M1LV1jOmrANH>QpSr{7$piOSroU}$E`{H@u>HoKo@cM+v-cf6>!0#H>y@b7Iu&*M zr*^-Ua%Q~xw&vbN-#3@5Z5}^N-xYt);j`?w9LxP4d(-2)%uD`1f5m+FsA=u7hoxKd ze{+9(tL$&Fb7Rc^pxTu9PVK6ijlW#@KYEzgEuCk1D?RV&(`%o+^z#+Jf0<dUEBE52 z>a6>h%x~;i`fP6eYV#X^d*9p%ynF2L+b5FxZ=^qZt*=}Cb81{de*9VX{lW7KepK(= zF@IP8-QOpU$zSO`{_^`3C;u0+cbAy&Sft+ltoiSj{Lk(?t2<;K|7hIwS-rHoR!r}u z@V1xCaxZ?)H=S?i@w;pP3BK>fIrcHO4?dq={FJ49;+$mR>lSrWs=Dvql}SHwvpCO! z{almrkJb0qAC&8>*e}}uMc0h~!e?>2`SVnwH~yOw^XB23gs&X@>?bw16?U5YhvXN2 zXQ@1*Ht*w>YWqEpSa0t>Z&~a2_+!<5iP;v1#nx@;wZ8Mu_x^s)>lP1wZ~kdr|8Mv6 z2OkA$ZO^TGcS$!#Zk1i)YlF%IjJE&VpE2+H?{9gSJFoD&erf-6(+bO&-^zCUeN%#C z?1J?^*0kJ7;{Sf*xr{~fxrxS)=U8Z;`*Oc(KcD)IyDuu=)n7^9)w_7{mGnJJ`*$n| z|9`dSXUtvw0)PI0%kNzd-}C6&jmMYnFTVHLWdF{dn8fFsK6R+)*u{T;eR}0>{b}BJ zWOa{E509_-Uy#15l=bV>yoB$pv)+H`_^th_?g!UbvHbpto3&R;`(LnUmwvM+<gW2m z-(Ahgzgl{yzx-E|diQ*scK?mKtue8#ca!29m8(iZOJ~bHXxf+b-t<=bn}xr9HTA<M zAHIHC_HJT)b8%Hw>D}^|obO(IGrcm|JY#<H$;;L$-0Arf)!%H)KcKt!PVi?t+vCx@ z>V3a{T6;@8#@p<^di4%V$GE}+`*i2Md>c25|E1}+`%8aT<bRb@zdr3R|4R3Ys{J|f z?zTzuJJ<5B=(kw*-C{}lwrApV;=}LN#C+B?vse0kJ=p)o^G6N)9`M#4lia&&-?O>> zS@E^ZJAW;SN!ma4vGl6tZ@9~sMVkkmf5DdbLblItVeQ}E(s~Q;ypPW=7d>0?{LSqL zv)<ix*}K5HVv)ba`z`tVR=!&wKgB(!)^FXnK>eHH4<^dp_1U|K{l=d?&%*B{Jr>*b zzAm<Q=T4hB%H>~X)$*F{TQu)p(0A#orrKR^SDnAHbE-^z^k=cS^HbIDY|1}y&;07? z=<I!qpI114&tIHZ>;1j?_Nk*P)i-S8;|kC3)Af7t_N|Hih3uG%k87_szt4;>s^0l~ zrOd-0?VH}N?0;ii=qdlv<^4y8^Y0e?{NjFV`u)IraXQO)?C|*Zeu>@Y^G9uK4@Up$ z39l+mKYQK&`o3R!oBmesJaSjHs3!btYwg2xC9m^dY2Q8YZoTRKhl1bty=$)z&Hq?7 zar5rg(*94r%a{FI@$Rnk-6hr*%lvQrnEdYi_bY$j-2c?^Hgw&)rFk3I9}u+NwSAW_ ze}QBC#_#-ZjlW&~`>e=(*O&X=(|(_L`2ULauEYD-o_{g9P&<9zgTB1`;kJfb?(dv$ z6YILIpv<-Mklb&!JC{!X&c3(&d4>0P`2}%tp1+&ePdzhD<u|WBq0M(=`%&Y)wG*B> zSM|PKoo!dQp{jp!z_<0Q<sN40->mDXI$|drUA-C__xxA?G<|MADeeAQ(=1=%H-9*C z+*h?cn=73a|JP&ZuB9<a_41Z=Q>sqwD@flZx-z|l+58KiyZPmP|1R#bf1|y<qBz^~ zcD!x+eYWc*?+stE-aRN>d+2BGmi)Rk@79-3bdR~~xQ;IX68W+#;x01h+zb4yowrf& z^}g!-uTKj<Jvc49H!Z%oclYY${n!7t%}bb{XYW{h?40n^AB?lMf7mtO=Hawj=5I`2 zKPj9o|00wB;@`nn*J?}cD<;L*_<Y;&JE8KZ?eA?C3%C1U`{S^_(6wLqtHPCiPc)0R z@0WS_W8$X2Azvrfs_DH{p7+95?!`^TS^n}%Ykw~M^zCC&S2uIY|IAm$zhmxP7T>e< ze8tl6_RM{D0l!}zPW`P})VaI)NyXxQ8}#qY%(IQHT`GOUHsZ6aT;YG7yZq*_bnnS2 zrMs_?X8-t`-@1KO_4yF<mwbIMh0W$I`~7+1uKBjnavN(~Vr;!3S^i57EX&Wll`gaN z_t}e|CY^d%{G{*QhV=(6ZEO49?f$}2Uh;eAtCPiB&sWZ~kyVbis9tE3*k8+>_wwmy z)AyH)_bmPGzIwX;rF{=rH~q}IdDvHPy+y)(vGZTdF4uUh-}txa>~Z~&_l2L7Zr1Ou zYFVC~`upfB!QVbPqOX+uUfS}#yy<PS|G^)L%FkkFm*1#a{APcMZQ}fH=3h<bUnJAN zyh@!_?)7$c@lGp8y^a6NEbDecBK<4Z*PgrwZTB9&kiB(%f6({#@27R|?QuUBw{+g- z{O0Uk-?P3py?fAU_wdi&Tju-SzF&8fD=b|UBfC0o)A|F-wpv%Ts~XNuHrfA3OnUw0 z+U=q7aoYUq&`9rJx%~LcI%oe4{@df%+a*8eE&7vrHoC&rcV5BieT>!1S5H3<&G7eE z<kbd!Zw}J4Q?I^Z8w|_vv!NN@d^LM|X8b3yo!0Js1%Fs?)~)_^!cKVl7eRl}(wjHA zv;6n3sQr2S>D!$}UEPk~?k_~n@S&Oe{>}P!_5GB$pPveqKR@}A^A-2+LvvqV+kGW` zSL5A4aHQwm<*#3H@6lV<qHl7gj}z+tCq8#7eZTjW+TDYOwTF%^`ThBKiT!R5xs88? zcdojs`%Tnhk^B4b?JpViUTA--TUC3Ey>$OhmpD6x_We_!S^bL(w4C>Rb@J$~=QSSl z#BLtCng5it`i*pfx4!+F%K7si>)y@3a{7K|{0G6CF{%9K_0z&-Hsp7wSN#q=+x|uB zcKyYgnoU*xllxEp`+r5*=5O#e`*m^~&mXzA|BAcJ<!YHrn}b1-9#UC+R673G{r8$? z`r5zORvoevXaB;Q|HAm(i>vA;?_>Oazq<S+i+|^le^a0SDET@CT-;55C3*J%@7}`~ zL$|EAcmCeK_la1(j^*zuRp<8cu6ucJ`Bm;+&Fa5eKHF~1e^*k!cc0CpS5`$|CBIIp zWtDq*apo&g+XKti{uJGQ!y@|o-P$YDH$QpkR^-0^=JkZf$6mcmFWtXT{l@a!^MmJa z{9I|dZ|gJNJ@;ZD5x+e^zZ#m`#jmW5epUCub?1)eH;LcNK6O=p%Pn-u-@oK%W8C8| zx%x=k8{xkv6+byQU0LRS<hK2b>^7Z0qFQ@Ic<*s$+uy66UEZA$Z+GJM-zOsC->3Xt zzw-Q>;zIxU57X=(PmQaM{rq+Bmi7PIa_l4Y3d`*+?ZRsl<98U}{k80um-&Y3`m^N~ zNB(Xvv9DQD)xDj6;^xm+deuSsoj>%uTj}I?4`$dUK2F?aUmyK_GxHO{+x^p4zh4pk zM!UeJf8Xl7%giws!r#1IUwiC($$m?ZxO3AFN4$H%_giYt<;&b9_m`^gSbST2m3sem zyYxxRom05e{ii`|_&@ue)mHUwFZs{@Ds@rqLH^Xw95)Z2P5jiw{4MvRPk#N9pA+Je ze;1rRZXfo(@RRV(`o5}`<;tnQmxHsrt#|Da!@b89ZD*VAfAA;$)3erD{u_VR+}!Vh zlHH%rD(}0t@0WPd)YGcp;+2l?5AnY#{?2E+UKq53@4L9~U-&M28SU*ApS>(^uYy$Y zv5Vp^$l6>~pR?cV_p|ud|El)bJbG1H^i>)f?fF+h`Ta3Czwf_Z`~B^!)9;@=^o7Rz z*;g;+OCa&y{i|oL{i%=DCixGyPJ&eM)>lv4XF@9Y|7-H*F8F;g{>dYkn}_ps*Y8Nb zFQ)$G+xl7ZFHG0H_;~+H^Y`rdPvtwUBl`;eB;Jg>>i%u!jwSsSi@sMZNdJGK=2k}h zKmW?=S#use61n+*`mZx~;@`ip=D#$KdwF%gY5e?EwO{K~e*5e^a#ynGPdv1KuLsxf z%S}M_`?0I~f4{%_!2L@1-aOs(>4E0+&b+sOQxkS~_nKXv<pn<TH+;0bJ70hK@0$w! zH~wvkiH(C4^d+pYf?npW@wz3y&sKgaa<%-^cITq(H&ct{%a=h5`ctn??!5Ip#&w?F z1l>8c?(;Sr-^aN9%coVdzQ44*cj@oymDBHE-Iu_B^H1lShtGb)qJI54aMZKU>R-R` z-s2;a{>HCzub7ou?f<o-R&86|GP_4J?meC`FE;oy_qp||`E{#*ip-9_dEXm3=Ckt8 zT2If2ug%^0YjaG}fBvExSxAOI4U2iPx3AZ){(ac~saXC$we;)3=WlL5XnJ?|zADG^ z4=(cy{*}L-oxkAs>(n{k|98q4ewF|Fs_m8PZ!Vili=|hFe_@#Y|LUKv=p2h(@8crR zN9XDAPoHjGb#@<{ba;S&{-WQ!wg>I*?pyh*XKwA28<+O9USEAmIPa!;!uzaOPtTg% zzgWCy;cxTs?cXl^eIQm;D0}npyRA<|zrVTtsO8?hpwG;EkBQorU2WdGMSthiJ9j<$ z3TkX`?%Rq|+?&nvU%$TgXYSLtXP=6Qn@{|E8ztMnUH$XdvRmQz<F@6iPv24e^hR*} z4N%H|w_x8T-Z%HWK;?bC`(6I`YwkUI`}XM@zgKRD-rK*acy(_stX*&Y;$MyU-Sh7> z`)}-<9dkDn8u#YVxIcg0?(w@__wV?})mZN7heiE&Sko@p_NM>uIn^gGgK|Cp6lkvB zvmKi2?Or`?-lBiQ-%MV&dPn7sJ&zh{qirtVcKEEg_t-aO6Z!k$dyk*|n_?f<|KW?o z&3*g9O}qY3`9h!fg&ybS7W`y=&OgQ6|5{DgS@l!@ny(0d+i9^tzruNbq1$@7HI>g5 z-mZ4uY2~B0@qdVAT?e$54}jJ30&ic-FZ+FXVo|rb|Mbo6t0CFm)(P6KuW$Qyw*SiC zua%!VR)?&UTMDV=yTG;lVsNhi{d(VTp|4Lbe)_<)bMf^z*&8OVT;6{X+O!L+J$8<< z=#SUg=!)8vkfvSSvN*XFKl|oA=Dk}#XV=u%bpof4zE0uay?$c&oz3~(!d1U3&hmee z3jgwh^VPwPx2D?!zPqR5Zc*2qBfkW>u(yu*{PN?i>Gq!Y!iu`Ox1ahq`D*&NojaE6 zS9s6==(S$x?DhQ1`+jL|iao9R?w;y#|4>M*^99DgWGlPB_9tKe4*QVrhxu1;ulUVq zSv_ml$0@s|{y(pmep3^0*V+f#vbPBQ{`_#My|t^{#=n6(Z$XRudF!CX{oFOR#{_rX zpQEUMe!^k@6VM*rFCS=4Kk?Pc%v;ZGyyu;praPzfQ;Y34-W^M)pTD-p|NO^k@0P!M zn!ZKf!pm&GPW6tTdted&Ji~6u^&9U@<?TTc|2gHq^ee%6`;=~<5AVN`p7eS(ta*2F zR(!!frpnK<XP4jjGwn_KE6(3BG8e@6EIe<qI9&hAp5l4muIf)({r<G-xBcqJ^}`_L zecYmX7kTeou$GBkQ2W<#m;ITdZgcxdo2Ns|`@KHUi2uLw+u5D(*6*L>9`iS5UEDfI z#8<Uex$<xL$$fVHj-$s`m)!r`{Oglf<&Uj*E@po-z44>B>Q~m?{1=?xUVh8IlKw_U z`*{83J+0U6p*i0FRq8@$%&*<`HT^DsoVxh`iMDV4Il~%wh0p^3_0{J3toToCJ9q!_ z?fL(CKgaR59QpOg(O<pz+2zw2@o}eauRf)zw@&4Ee$f1z&mYa(_dvJynD*YP&Cjxf zf4|y(;@j3ILgnGpA8%i!5AM+B2ZQ2&{X$Uu*YAGUemyw<V_Cjsb^ET5A)r>?tyghN z^DfECTvpGCasU3j{8e3<aOIJ2yiZ@Tly=v$_Pw~c`$}$A1NX14z0#TUKg!+BkEyKO zE_2TZR@Z-j1zKI}zWx64d3R!d&xx9sryp&xyVnNVwEqol+RF#%=dZ3k$e#K+Z&Ukh z>r>DkooygE+s`$TpTFeZ<0sCW>gB(3_?J(A%fH(Fjq%1({;z_^zsR+JS#$AE)93yZ zv)Qxkjy|hR{l6F`_SLiR?VSJZs{G{D?Wa}0Ia~hQ0m=5IT~%)81<vmaz6iX1?SJ*} zL&KtO^ZrSj!=ODnVQ7!8a!Kvy%w7BK9OVj27sSkkM*goQ;K;WCNB;7X`?afn6}8#O z=s<e&^Onr3i-Pp#@2)(5<7bbIee7qkIDTkv{_>U6#-@<cK7D0$`^&n5Z81wWwckxY zrTy;a_M@D8|E_t)Ue&8z^~dt-1CO`fcKYS|6O?7_!?#tV^ytJ*=G*!I{-l5E_ViPl zdT}bh<5A-M*QRH$#k1pU`*QZX=@ou2vi#Te>kz0j`!y6ijw3n?G>+r={jm9p?G@1{ zZeG9A>-^(y``qiRs^i0<UAnmCzdxIo*jKN%N!mSSbuqMce?J7;y1%!i_E_bv`+L0O z_NlhNp8##$mt28#<m;ntS8h4~v(Kj1VOznsI9NyieHNr6e?DCQ{_@&`-%~y>-qb#O z@)J?^H@6?nycf3sT+utv>IZe{j86VjzoKkY>$7eDdT{Ih_*H1@UOuDl;7|UizRQ1# z%$`5xul!2&H^qex@gLgk9{0rkjre>{@>ckNmvwgf({I>&_tmeOw+YmpJqv5u-@JAG zzt8vf^(S=i)dZf?3xd@2zjwlFdeyhH>lglhZT?g+Jbv=(_*K*2Xn*kN*9%+!g3)aM zI#ApG#;bk5ZNEMZ|736q(vi<!y?pu$NT05LtzGhS^P)e>XQM0j20)tjldFD4L!0*R zudIFly6!{u&L2D9Bz|8GYuf)`0BPFmzdE?4_N4sNch`$r9(Sky_J5`P+b8A{Xf2e@ zh0XTac7LaSds;tnd;OH)m_L5s{;xvr(|t8Rdwu`)eZSss`pdub$ldrS70}}T-$GC& z|1T6&$^ZAi%ilXY?$`axy7&Hhf8SicQo8-qZ+`3lVc-9)h35G`?%$vPe^FPaYMX!L z+tVkn_P-MQ%_Vbj@&A>#zc7e@5v`tn-R`l`^&MYcXRXuIZ<C30h2{Ix(0s4Id|piG z?>VPWU%t1gb+aw3d$)c8q<go2QB|eyTkdr#v(2a2-njv5>G^`Ych|4h)TDluQxBi` zclJv6id`FX;zL2Bc)IS;HvaBe&&21vSIo~_{8ME1_9=f?uSQM)vCg0SR@ZJ-4KJVK z{pP*)@oMNG-t`b@8$WM-?cc2>^*eUod2}}RNsj*4IknO;m-p_4b?tU%-LJ{L8_!&M z<m%ohudE=gJmqN6Al{zs;6c2qUGLjooi2U)@E^2^&-m))S7_JHJYfFDVrR>`DbIBG z*o8pipFdbXe+i__-@kF!*MhtJGCM5-zHQjOf6t?Nwb64f+@76Lx8VAYMaRuU`Q;YZ zp0q0}-yrkw$Hz^z#whvztm%9^$KRi#PuzBXDk9$b=6+CZ+WhY7UrpP;NUr~K>T1nj zk+-Y!Rd1i4;(bR}@A&pGNOhmL7!?0`;5PpDtA9W2e5HHO&ih>6@;sZ~`}H>}x9!@# z9@@a4`08Ix_1*JvD*ZR?qSo0!OM6*ZX)m5Jzfk>dew?0u`IN)Su#ud-o1qPSy;mo_ zx1Qg*+D3F!>*ny2!ryL~KbRJG&-XKU@b>-c>FclUd+=^k<SDtlzRA!|eKkKg*4JOJ zsqz0Rr~ZA?-|`ji6;+#a?ni@SeeHJG*zI>vY5(`h*=p1INuOD6@}soz-mR{D9(ybN zzW+MEN#1Yv$M?No56Skn4z`P>cPuQo_|@?3EB}?h50i_!&3otAdO%D2gV56cr~8(C zzS4TzSh>Q|RWY_MdsE^Y-K)L?eC?7;*bHvl+r)goyZOrW_LGN~Kk19xy#C;^<ySAe zL$kg7N;}X2Q-55~Mpyh@18L;hEst}X_5OwBy$gTcS4Ypk{x_k1=Z{%$62Hs9#_#$s zLdNgpSIgD7{+`7AByWnc%zW+J?5mK+ZsnH${4)F2^y8ntIawY(TAup<Bg*)l|Am^` zec!J7PkB54l<K=Wh2!iiA+<dF>h&eeyX~*kw7cK2_xygi`)c%_zcc69M&7mPxnF-{ zr^j9IE6_3f`LF-|5xIN5PQCxeK9_a#Rzb#Ytz7OdW8bl0{f*ySze)OE{`*Y-Q_%_; zy(0JW8`mGqnJ2gGx1Q}m*}HZzwm%ntvy`s<H9hCyoA<C5p8s`73(wwpZ_HMG3nw%E z?dO;NpZogZv;D826@BfpXP}|m|Cx4nL3@v%+??`Xt17N#x%P=~o3AQ=n|Wi`qF)`! zRsGIY)3WLg{tWz-=6`nijXTcY<}aSN;rvm?+Jn-+dW@^S#+<bdzrOERWl`#B)o<S? zJ$}9#GH&m_8aj4cogM$5>8^a9&i0DpTFcW{iofL+_<oOD0qxe`cliBG{N=wY?#d%q zeV@KM3d!<|r>_8Y?0#p|9o039TlM?hzE`IWKNV<08u*3TU%#a8x_?3V&E>Z-wa3n# ze)>ai*7gstPD1*1e6OBrL;H2>L$<$L@%!NFCy(Mbwa3E8?N^&Z#_g}KU@yPE?@7eY z-TP%8R@mRvyW)=2tzUn&Mk*uzpH=1W0L!CCn^XQ<e4SaV_wFV4y-VqPF0H<vy{~S= zx2yRR-u`_mRNg<~@#CxF-&(CNuQgu<iu-<W+)v*nZx^@s=<VaDZqB~ad-&(y_PNYg z_L{GrUBZ6+3#T;utABsQ?w%K_Jo3%4=xZ1>%iq2NZQwO;JzvrO&b<Bf>Dx~~2<%*J z{U*D>&tDtbxVOGK*?H@EOUHThRHH4b+h7g6-6oK++xKDm{TKE<u-#O-<;}xq8kI*G ze{ZW;w*CE8@Zhb?+2ikn-xmg*{%80~aGuf4I!L!pKLpyXvjcVO<foXgzf{w8Hs+gu z2=a)%mFMSkr?;;EHz7t=efkaius-`WkQ{Fct>^<6*8X)bsjt|4=h4}ZMc)FU<@{t= zId7hI|BvC_c<|v&vtw#wAUVFI3*5dd1GVqmR{wtY`|9-Irw{K!3wqmEFV&%AIm=g` zzhN2MCk@K*3m_TZFGSydC8V6UcfM=o`Mufy<k6Lz+V4(&Dhlb$*F`}(^Y5-S_h-g` zlHB=wip;|wCO7SZYg6WTdjINBuj*&7Dx3D~^5w1i_R;t1!u$$9O5Xgx24yhkxk>!| zm9<}=r~a1Nc_dc<_+Du14l+x}4Q}1(zpATPSJk~ee(GlZmD196{}a;#zVBNDt>J5Y zzdtW7vH!i!Ch51$&R<=-j_zY}|MFt>D>K^zthGn)t*AYAy=4E-#yvbm6^*dIeEfB2 z>(1GBXTa|{#ZO<RZ))8ve;QiM*Fop#{_B4Iq<%|$j{5BBC*<<#6rlt5Wmmv)?tS+7 zeb5M=?9F)4*sa^P{j0&PyL?#d?lWivulm!o_n;BH^qcz~kjHUeIi0nxzqqez((3=G zRKNY#JbphM(xa<e0PWHJ_5S@!{?)&N*4Z!r|CP<xQ%<*sweU7VTX=PmZ)evp`u+Oq z6T$FhF@HlK5pS{@l-t9vfrfEnmi&Gf_v-ZdCl93{5q~(yzkVHL6z5;-ub#Q_r#^l) z&42jy)~A-}Z@f2l_f=JHd}dwMXI}C@{MEFzzYm7#<!M#l*gFwc!Fxjo?c-Oon_t`a z#Js3n+w#!Iv#GzgqqOkuXWH#;{q}VK^zHNJJbJWk(|>l9?C$#c>)S2s|1Hk>UDUbT z`sBy?S0K6F9$eCwUjvo&|6RWy{(B{Q&))Vqe?#w9SndD6q1eCleLif|es4+rzuoWB z`6s#O-1lDx%kK8D?EX7@{zv`0`ErW-|EC;ohj-@l??R?$>#t01&zk=^zOrgf&O@C^ z&|#cE^C81H_c!SMwT!fj`rUN;#52cD?X!=cgqHMs*MLj<h|eFNUa6iF6Zefju>Zps zm7DvTz#}>SVbCnUZpBa5=i!sg&tItNI(s<fK1!C~wdvX1!&}$y>xz+8oqoeUsBb;A zZ6E7v3#sI*rhWTb{o>z*le+h8{Ligh2br!Z=Y>tz{Jdozy=(tIAGyM>Njq-^Lt?(f z7gWiAR-F}J*xkFg<i2fK?apa7dz{|AF#Ro+vnR;*ZZxDzSDX7)()#+u$B#i#zZDYo z)pM&dq4PECSLewt|9$Z5lSjFm+V7g5g!bw7?t}E{YM8%1ID2dQoq%`ublol1rTlh7 ziF)a5yV~X7p7!neyI{_vN41;kg;An@cGkXiTi4%n-{wEf`%bM#U;Z-4EZyB0&@A2G z4bQA?9{m&BB|mT3y+?14KYcUp)iGmGpDy@{Z8&TgC-nRC=$CchBrA`6lPoIDhL-fX zu&DRXoL^{tH@~WK=USP0y5%M9wY=wEzTCG9w43_k+uN(v=U=ruKH2tN^o>80s*XJW zsIYwZ^SV&IkH18B9#wp|@w{chu{p}=$1DrOtUuey$`u^=J+=J8@AF?|zTdy_Tf+Ut z`^K-iHxHiFVBcZu@3)~bcu#75oA0?fm!Ilb9KKuWzV|-c?~1#7@6WyB{mbe6x$D2T z)Y#R;%Wdox-!t>d+Voei-|9_~jVU~TxAe_J&fgVBW#6ZNu56CJr@wdeJ^KafH-2xN zH~&Lr-NZSMKc*M;%HDZg5++}G!m=>WBK=%b@#&idyUH*A=Dl%D`d<Dq?%E@_<6r%} zHt|>8ul*BkWBx?-eJJUilO+DUBDKCT_uQn*&(~Nyp8I~)_wxmfbGs}5-Mx}swc+#o ztJQY#|8&mpuD($*ZQs66{;&Av{nx18k$CR-;XM9(j|67#zI^@n&Wfjhm)-q+QMs!7 z@&4C8HRqfEpLY80y!w;6d>=bj*RMKn@$61f+8plmqdSYr=G?sd^`G#)2a~>kez!2s zCi=cRd-Soh`hUOIwV$7?eS$GhUtj&k0n0wU<#9=qH$N(|%sw|^^TRs@d6n~-{4JjR zeO3MHZ*)~Bd+>SrpLh1{+VkM7+@`6YK0nLa)b1<u#;RCxUO`K^O!92webeuqKdxxD z?#$=+Yv*0yo%4R>yw5)?a(5o%dsnz?`>&qgd!Ml8TZEr$x@_SUU*GI&^Putj%}0FY zyT9-5zjr@L@94j;Uuyc#cT1lZoR_Dv{Kiq$b9pP`l4oyz_{B2%+>FhS&shBZ`=w5_ ztnk!t-5tx%SL|Fl&;E1egHy+fe^eHG-#qwP_X$I_#UbYEq&-JD%`DC5=%ow4`0E^# zST1j?zjJ++SARjV^w&w}!~OFLJC5JIcYC#d#qRYvHsSI4$DXUL+t6yA^EmVQ@0WkC z-L;ulxnI!w%vXz@o9D@YsH`rxJjiyg@X6}yJNC`KlQezvV;;-ubG*+FM7}xq`}JSx zIS;eG*YO9)zm(hde(iJpnteOx=h*hoH~&7>Hs=w?@y4pA<#*-wJQBG*M{@c8-N%0) zspwX|x4w44J^SU?D{2<Zi~qdyv%${eFP)!Ce&?Uq`~3Cm%^O;|-`(7C^X*aQvX5f< za!bA&|FpUH)3Iva=Y-P!yoZk_z7m}8-#;<<%_E`o->bJ*RBXAET>srN{fuQ%+8p8Z zt;X*!KV<yACtmW^-}I`!=HoAa9=rHwkEKW1hd(Zs2ju(;{;_-&$-g;2vADb+l0kk7 zfHH{1?Y;M%uX_J-il4vc`S#tm{*&EfWVQ4wsylM_I>fK<2W60>vfn<m)b4rI_kHuv zJqP0!JzvUy`8WF)mhIACTh8~UpS0}jo01)4AN=lPQH#x^3B`}@6r7vG`h42vhb8js zzDsZD-M;63kNB?+bN81&!;YPwy*QPBw#A{3pRWervGG6m@zg)2+jCTxpN}j&W^M2E ze*QzI>wDtAczm5Dm+;)Rs_y*rm#3dde%GJcEB@&-o3(|d+ug&w#ddOK=MP8ABo&w2 zdA)!BXzKUw^7rojFLdX{EquPb=ABqk=jP<6V*KkTy5~HWJU%D-Tu<@CH5TFL`i#53 z{1d*H@Oo<1yzd#Mk6nM+S?#d#yZi9-&MC}q9<n^o4>|v_VowZa-l%x+_toF8|8(~r zc^Lim&&2bq%TJxo%U6xofA*aBTfs-ZuMFj9S}P6-#ufJDp8H`~WiQ`u@%-<a<Ezw5 zn5Exe{9J6;Z>Mm5N8SEAkC#qMmw5oq92K4W{;|!jIKcdV^HJGv<?qWIz?tK&XO(>a z`LmOsavYbR8hoe5MepOS6Q<kBzgyIvn`Zp%jfJt_e}}#Ct+9JP)N#LiY3%2J@pHP} zd;jUZ$v-NaW#`OUCimc*a8V2Uw<8tZ!Z}5G7P04^6;}Nh2;cGW@1zLZrS3QWZkZSV zsj_U_oktIKDvy}OeR$?m`grNDDq}nI!dBfoZ@)dre17~jD0lc(fOAL1zdiHhKkO{; zoAcn$>7u^l`X^1x3c6#@^%*~TV`)BzIenw``~~0h3)<e!`(C~1m&f-4(-rzP`~EJ= z+1oSU{Kny<J3(Xait5&z)0@NRB+WK{{H6SR#e9kU^7p5IZ)Jb^x45czasKO{U*CP^ zej=xTe{#A^g7Wq2;q%t#J#4Br%iGY*{^pVHJ()G2%yEqM{c{`7yNjc5{Arvg|Mpp( z*76-cJ7XSwJzmu9d?$H+@q->q_j5hPkJgkutF^Lu(C}S*{+jReUs}G?zw}!||M~N? zVPyrMv@H+3JzCVnJLi$^Z{wVzXLIz@4@$l}=kk90LA$u}_uoanPTF^2^ZggKQFiiT zkU-*pbxr%r*RuW7L0JQ%?x<Y_sXMwsb;mz`%j1u=uHQIl>-T@19cs?tN2xpNU;n(O z{j;+8X7r2y`=#{sl-(<go`~$WIIjJhJEwTs9J}<RlJ9F)+_OK-TJ~@8_wISi=Eb?5 z=igB3deg>dZ(7~b_Am49U5K7@*}Ogb-d>^j`)#$=r#Sh(O}M*!_4YTL3*F`yy33b; ze|gl{L_R+D_bKHk7pE1^d8gWbKIHw)=a1X+WLDZ;5dY<q|KjMqTi5Npzw^4UE3B=p z{G4;Iz1DEv3%^x%7j<*)I(%k+_n~6SyXx&B?>|-q@2u$ib!H#W?B6Tc&xhW>WGi!F z`|iH!&tKMUTK_CP=T21Ky!HDwtUqLDYZ+C$)ZJp~Z2f@k`HO4A)TWnD<b8A3QEtOW zt-8F2ytefVpZQjGW$*f}^z}pEt>=3q-o00~w)pr|ciRo~hh1^|T|S%bJ)&%D<7T^i z_cvGlsndU-X4LyW`Rw$S)^DO;GVfi!`-_-#<1_P}&!p$<n^Vgl>|gL-;bv{?uH$yn z>%U0;{!&=}|KKeBxRpOSr>~#1`0v%?JvL5!@oVKaoIn0f`D*X=742VI=UtHA^;6?+ ze*e|Ke?AuV&Sie$d+_%L{bPoAE7w-}Y%lPX-%!8cTkrbIf6XRV%gCyTA782d=5&E0 zf86?g3#)f5&oBR*@HYD1g5RGXe(L$`{N!21*D1B4?_QjgzG__6BEBnfRd{>W{I6;^ ztG4Al_$K+Oq4-;Ah4=aUYkoG(OM1?6c6WZD{D$vgmKITW4}K2(BsTrc><xcqzW&Oc zwf==_-;0O0uZGL)b@uDOSktgp{8ZY~xXt;9Xn%iY&u^u--u^e<P8ODVvI(@uvDWO| z3*&b$9>25OTe1Dw<LTM)KjkWI{LU3tt&!OmzBhe-zx1w;c3&I!T}ZET*5`VgoqgB* zp3?Olc5(Oi%>SMk-=n_kGvn9Jyu{bNv*ZifkMqCUSKd_Be|-DNqn)pee;eiOi?y}z zto8n0;Bfz)Rh-?D-zR^Y+9yA@E&81JwY66C+e^>I`z|TZxpcOF#qIZ3?Orb~x_N@_ zo8J`noISJd*H<{7_rJEs)4$NS+<uwdyd|~wjz9eua`WKHlb^(*-^?y>UGIN!kFWkm z4}Sm9^LEQ>1GV+%PhyUlr#5?jK>3aBm)NWP%U|l6`Cb3>%;c=Oh3(wh`JiZ*y!m$t za<u!L6;IEoJM?t<lNT~yW%T#T)J54Q%<sReze0L$l}G#w&b<p~$6xvT&3M=R`P$cS zNIp3`a}WRTB$>-|H(yEK)$0AL;qUs)`xV>XZNERYT4t`g`20}+8`B>)$<_GPI)5+l zzyI#nirUl9OY8qN+C2WlwDaZF;BTQj7OTexoPQx0_u`rO)z#cv&;Oc~6RRS<<L^eB z2Xks=?_K(=pS^Fv@*6)ppWQ9K!v3b#l}|6Q{KFsa%5Lv(zBm3Yt@;~!HvEf_`<H@% z_`)xyma!)C39q+r`dRvQR;{)z=(N^*kLK+A+4k)2{ml3(z2E=z)GdB&ep45`H+6om z@vk21UpsdD>+f6o^N0Se@OkR}|ECtu`4`OR{&ilhUEYgh+pn_!a+?2=ckhqs-?rYr zSo^qZ`<^fRzh}q9yWOjq4vG8XeZO3`ZzzpC%fI9B<L@Q)&+7WS{a@|-DD^k4!(QEb z$Imq~55DGD9#lM6SURn$cYFFN+ixFxy3eoB-~D^f{Vrd>#}Rwy@BS^*e&djI&SNI) z9S8N~3JM$d*+<WLIPblG!NRI9`Fq#5H|L*J{H<2i^ZWG9>HmJFReaXic|b1x<jjI~ zZ_a-dOSf4+?~9%8x_#$=zyHPm<lU^QxX!tszwFbkE`M+Q&pz&hK;}KIFMsdfJZxrr zK(tu#T|tYw%wtjY8_#;`SA73o*pYni{*Q@OooD4gfBo+sf8Wd8%`(~lSNzT!w*BAN ze|UF(b^86b^U-fM=YJNq)jxXD|7qIw^vYu0+W$#5m!rS^`t$e2|M}1RE%xkrmTvQi zHGkcTyggy{cC9Dpi@#f^Wo>`?_mwk|H~wwgC(qpXp<?2@+izYLI_lT0+xPqTgopFq z{dKB6`Y(?^KEG#k#QW_B`O;5G7W~?OWgpA#8^8PR)pwikcyRCC@9>9rzpp>|()7!p zuSsvu|ETHC{${#AX#R%-dtcs~HNT)zwz9jtety`V>V1E${#Kf{zuwnhULU8JH?w=g zLCIpPId{X}i$7qCzJK+5dU0n}$L9Fc*QS2`!+Y-Kzx;pi-#zNv_s_nzd-~nFdx!U~ z`6gcR{rlEKyZ5iZ`|jW4()t6!^UA)@U$F1!KjGBR7Pa=}_w&Wy|NG;-=l8$kKmPy! z-9G*B7X8DV=T8>yt?s{D8<W(%`Oz1P-S=Ppp81Gv_w(21)ql>dYBJwHJ^S799gD2% z1MdH=-T1*ne#1}OxAN2f|E}w2uG(I`e)WgH$K~wpyX>>}J^aj*eulk1sNa0n_X6+u zk0<_!<-g>f|Nfs{#QNv+`)#+LKe&7Udd6=*{))Z*^|-|Ts9t@2(VpMGLmoBl_cy)w zw>GP=!1S&3$36S^Ja}ce=_A|T_eZWLJ`#<-k$Uc!VOc?Ytj)u3=YRcMx8vA3)?c4{ z{~!7;uU<dZ_M6L&CG0i#>^$GgKYDU<(_izmziaXyHU1QQ|8GxKPRxq$C;zScDe`>v zoVRQLYUaK8XMaU`-t(mDOZ!BRe|h`PZtvga&xEUbxqm&2e<fYjQ@!hR{?{pa52xBa z?6FID|GR4cC;M6FUs~R~plx@*cE9wV-*xT(uhtxVZpT-D;CjFKox=0?yzifvdtWCf zacti7f7R)ypP&A}<^JD=-%7tU-h0rp@9F1P3jg-#Ev{9bufF5azS_vIZ`^O?$M!u> z{`~2am~{Arx$>XCKK!D-sZjN6>i2))Q>3fh<ICUozn!0DXIgsSBJO{Tn#H2qHT!-) zzj<_b{k*%{+4r6lOZRtw%m4W9-<I9~-M>E5nsxv0pA`jv!%OGyy_o#<FYkuJ2AM}< z?iQ8-wmVmStKMx}kyO9w_ng1ddv4EvboU?6`){|yFaMiy-Z$s3?|b`4bM8HUva@P` z)pq;I)j1C&-Sq>^@2#+3{ww3=A=d9XcM{n7Zyb$|d2?{S{QUGCkN!5T{`_}w^^S_p zdHa*<w~K%O@=a=%eB7$vrg1MfF5P!&t!?GK(<Su>q+{ZOZ68!x>cy@5{c!6Q=Ux5P zyLL|h^~3Al{C$_AcYW0QdiLI~_sP$16?JX5cvLB@7r*%DFRNYhm%VK+2uIgymDnFT zwsBKsZ0YB%Mg7ckV*PCoAN=~X<$2`}E5Fa$_bzO&SW<3&;m;qoyZIY_R$1;`xT|ze z&V3KQj~&G^cOz_{R<f@1KI`6B<;MTPWqaPDeYKn4N#CfNd?)d@Zc$b6-G0zj4i8wL z+vFcRd)wsxou1!cxXyn$d-m$|@)vbIZ?~Vmrh8?sms~;5=jc__ElLc}e=e+Ude$4g zGW<*HzNO#4e7(Ete$w;UPtUH+D!;F6y?vsv%|ov1+e6n^#&z!go@uvlZk03tN2l%I zL(T0L{8lT=-g#WkcK6<Q`5T^ptl9Hu+VkAP`bFQimS6bW7x#GTynBIn|7>`te#6>x z+xsQ&F1ycp^yu6x-oAHA-)-~{9CqJwe$VP(4)HIKxnE`9_0@3aVLRKsv!5~VdVN}Q zUSap_-K+a;*5CRm^49;|Lc5E~IrCP%yAyo(UrWxz2D=9n=H2)HUi{{M^t%m(@|Djj zxoeB9;`M92^9y|azhAB?W_#bSzDst6{};jN`Ir7a+V@Ja@1457jsAhze&5gjUcLUy z)VRy+Rn=<m`_-#{F`PYL^1X3W?X-92Ee@S+zS0}C|G4&?y0Gt)*;mj0!eL&b9`fF9 z{co{(FPZOM`rQ6v-{sf){s&kdJ9apw`?>CyH{HALU(Bs)j;{JvaQ1urwZFXgF3ojc zq5p+*_wyHZKRv#(9PghbJ5T)4pVhnaU0><l1#bbqUb6o<w{7*7XW_fH?|)Mp`+a*w z^X=moD&u;)i%aW2N0iQ&dpz%*+yc8g@9(*LF1hE-Sso{I!cNA<&u^pTX~y%>FQ0BV zy?@!cs++y)U&UGf`&a*pNZ+4$SAE6o=ckSN3ck90<xyupDR{oSptSMX+vcmuyE@%} zwLJIy&Ylye@!bE?p0Bkx>o>_fVtu~D`-<?q>kodl&+?zYXx~Ehibd7_q4)nqe3#An z>oIS`U*VlLF5i`9<g~<ZRLz?6$%ff{^E3827p-kBcON#{AG74Q<hFXX>h{&v_S3gp z9Q*3F_sHz!r!CKS7ykA>YkfXI|AiQ6%I2TfclS3jI^ymb_wJrLX#A9A{f<L_C%#fW zpI!JP`)vC8p!F}L=Um>KzFK_$)mrxQFPZ68Ghh8{I=ndLd-<&G^OUdKoImhT{tEk@ z3)XWkcR$bC_ec4CzxA%~HecuMJF2~I{`z-+JwMN0{B+v$qZYrqcYS91+L!mR$?oy1 z{9X2s=EbGVl7DfKJN5DVUHg;dzv~CRuZ-({T>ql(Thh0Spxt!(f$RS-h3%#@+?D?? z`upPPrx>@ztM%KQKXS6Zv>vp`Sak0h)B6(JcYXQKH|6ofcl%>%7wO;F)$`7LeE@&q zFR#jHm7Mot{J!4}Uzz{XY~9P~J8_QR)om`G4&OXqZlBw>^(%fK+gQ}Xo)Z_j_weDV zPxf5+`)5^ASM%x<|FW-Mw@nc*51%YPC+btr-s}@+Uz_aTyDa8mn!lx`pY6@)z73nF z=j@NG-PM=#=+&)H9n<g5*XLWmI&M$n_vPsp%h`{w7QcSImcRVV+jC!j_3qmLV8@iZ z(i!(EC)?cj*j7;MTzTlD?-Rz`eKGqYKR>oB>N`FA)Whg2hoeu}ndR$A=ZC(Jtz7tg z`xNV4_0l^JzFzy}OYW@ve06n;y=&j>i@p0bMLPfc)byD9fpUdK>^rO4pS|6Ef_2_I z75AyTKC1owUD)t;_bTg}eLI)SJa{Kp)Z{zo@sD?}o~|nno1>S0R<g)!j<nzBxmEj_ z*6)cw^84!-gA1Rda^9(@t7q)nKY!1`pS7v)V_*GaPGw*JefsttwHs_6esL`Nn|F3M z|5Wciyib4FzWRFjsen5F<h9>d-R_^nYh&vqSMW1q=ixw==kG7?`59q(^zgq;@vEP+ zpVH&wn;?GY)8DxtKUsWz_IK9%7oug!U!O3)x+naEL%rm4^DEB1$3@Tig~%5cOp1|N zQ!B^EKPfy%<&)TV|4Fy`GwrHZ#U%gN{PgVbtJ4dMdTu+XEDyXdqZfU{)@R;_KP8n1 zzPjnzFZ%goW>Mc;<tHB&zk0kmMSi;bsbeQ!Jq-NhWFEY8@%QsnrRUhY``Iu1InRDQ zOZEBia{G057ji+1^J*L4_3v0FzC2^!GWO-CgyS|&&D@t?d9VA4n7ICw&HgLckDrjv z+2iufFZg|&nzcps$~{N#X+PoA-x)K1-Op#CMV;pBr#$vwWqtgV;=Fj>==2rg>!<R{ zh<|EWd;PSmT)g`8`Il-6_sn_pfp^pY%+klco4S9SpW>D)|6h~z|7?k!`F-8!{A=ew z{)pYwK3n(+$NKkEf@K~Fg-@;O-u`}q`<us-{(Fv#t*<!v?On0o{L2;G@4J2HByxw} zI3}BCRr_Bp=HZn0{+}dEKQq_-tyq!z+o!aBuiCx33G2mof2k|~@ACDN&e>}DNyRdk z|8Bl2{Hsa(PEA<tk(bYJ9RDn|$K=-gy12W`Z{PUa@vH2*|1|YE7f#20mah82y7SmE z@u!^p77r?A<Lu8}|E+qL|E1<Pzd-(dYvi_UYB&F)>-X}j<*xe=erSK{nY;d!;Q7rP z3a#Sx`(84CdwF%i&ksCz^$WcC_br=uG5pS>Z?9iPVxtGLHDTQ>gv&R5dAnwft! zEjBK#KPI{N*Rp5DRbO;>9)4E&>C^wK3HvYpK3ytvJbcnb`_Ia1msFxuyi-(s7r93K zOL=nsPp9`1PE)OEi&huEnsax>xzfewBA;Kev|fHLbNRWKZ~T_aF7Lbg@(M(g+NC8| zEaT3<Uwgl@V&DDw-%ZQ^@ZEVlrCN7e-J$Q_W}SZ_$@lW%-B%y|P2WGBWB1r}@2|Db zqUYRE*1z$0?wsQD$G*+2(T}@aEpzd7_15`+d_J?rT|WH#3iqy$)jJRKn$@lQTaz%a zTC?okqw4<)_AT6Pu}r_>EB9Id9Sf#^-|XKnKcPD3Z=hNI%D;@$@4VjdTl?!H$Ft#I zWYoXB@PGAky{Y^I-r9qzd+R(tGspFA`YQdlx_(;rJIft!ud0I%#JF&}eQW-m!|#vZ zyIb@_ytF^hMrnOPv-cePRriYY?|#}_b^ibAD);h_PVpNoKm6WUK0n58S?zo||Dvw7 z$DeZX?|2^9zWevrxQ{K**sJ<_*{>|#zcQNt6yvv-+<Y&-PM&rC!>jj2y?d8GnOM#D zPX5ww;dw8Z&D_7f%6xm9|FpEsg~gG!OJ<)xVVPIheEomG{GHPuJ`~*dJ@RYoyTsRl zrH?I3pBq*#YyZ+EcX4ukR$cGg=TF7@Z~U0`Z{Een9}D+=kDq1!GCRk9p`8A!caw`c zly`k>s`AM%XuMp%y8K3c;I<vlAHCGvCw}{jptb+%>;EtA``#1tXolSXaNC8^`X{CD zJd_jvA6&j;`s1IG`>x;k_UZrf;+OxX%?~z@U--^mU;j%>+~x2uSG7&!lhUm#4+wwT zVg4ZXVRigb+p5OB;#VfWz1l4Plw<viXREIUS9NR8dAq>w(Sawn?<#LpbiO~TSbNBB z@6Ev93ky%2{x7FJ=hEc4SB!W4mD+jCcHW1y^sgP&**SU3zb}rxl3dlmylboW@7vk; z4oL1jXlZ-b_cL#qZsjp!za8d}irDv+m!Dn#LfYoS<lI+}`~KAB%-poIeb?5>cOD6( zSFd_*QL%PT(fPwq&)teIaGU?ZA^+Q@e_K{R)6RLTq+Y%H_U@D0blrCx&)##>-S+uC zsqo#|_EmF#&60aCG4JhayY~w#nQz~I!e(84>Sf)m{*Aw@b{_aVZKwI8D*d<Nzq9L{ z??33=SJnJ0DckPYxqF+QuGszigsfjd9mD&_A9{;U+RB^%&bn_Auy@h<FEj65>iugP zpImNV`Bm+0b;)yu%2xK6N1qbD&Z=h3lie%6KXUKF_KJnK*X^oY@XYs(titvi%hU5O z)^zQ4e!``{<LSMY-OXR(YF9k7uIlV<zIu84s_1od66WX0FO$1aJ?G)q+gGw>Wc9w^ z;oer$anIZqG&XeB`KtA<+8K8qG|bx<`&;p@t<$-W9nvv-ook=mW8-iCyw`n|{}+zv zdAo|I>?&@_c{t(T!;X3TgFk1#k=NaR<HOUL)yB0plin}Y|H5_KeP#ObjQh4xaSuD* z$*h*U%pUXLQS2+(zW&L*>tDaOSDe@Pu=@Vmyi2@qWLDoxes8h!sG+TO+;7gi)%|-8 z3co9qT@igpyRgpYes$9>-~K}9@_VcAvHhvDxx8EV@@HYw_wyE1{;w@+>Ybd@UTth^ zANZN~?$Yob3)9uJ_gi<~?@!<Lx$bMLUBdg6v*HVXaUGYRVEeAMLN@E3mFwN*(mR%Z z-(m0iSvT&*&6T&z{X)Onov5xjq`A&Nn14t3qemuh*ZYO@zchUJ;+^EH!;-VMZ!Fw( zC)s$?b;0ii9~3PQKbu)}WN+&}%i!O-w#%;XSpGacv)(59v!>bkNq0A2U0eJ_u)U(V zuc~h{|7p(eN-^_R*Zz+ADth+_bM1l4m9yS&5ItSFruKKuO?&^o4?Wj+SjO8vjcZ-a zy=8u#i|u0diY3+JE6$5&-aGiM^;5&#?x&BO&K|ee<Ds{qbmN@j^9R1F-g^IG*1ZRv z`~0@-k6HGU$9npyd#bPAJ^j=o922){-@(<VpEOk)=B>N1uh{Z)3HSLgg3{rc`;Nbx z`svr=Ti;(C6#c}dzvIZ;sjpPOXWfZg`+d{YD%bdjt?%NO=Ebe96tBL1LiyXv+5MSz zM=xI8)BiU6$*gL%cR_ovKW@91^w{d_qsO<lubW={=JMa{tIWT8jALq>ep~oHomR~` zFaN>sAI}e--Sm<7Z~3|%o@F0qoMik~&|-bB^W&CM{@H(aKJqVreg5^A-%dHn-`(ou z&*e?7&bhCYZ@2RAl)t8ZFD`z4C24yg`&ZN6=&$n%7e8CAfBG};pMAHVw$1w-w>7ou zm*&~^FFEsGd|Q5Xvh|mFlTSJ;MqB*uvU$+--74mC_v|hE7e238^xJ&3c>LAsgXvEm z+}_lFJpUB8US<BFZ`-fDKA*LJ(R7PN$L9yf7Zi2R3A@$5;j8S;eW81w*$TIRku(1y z_WX;^^?ko%_fD^m)2mllZt-K@oBPY|eVW$|9%$>x{`HLeR{#F>-%shE`rH4MX}SHB z$G2bZ5r5Q`cXy%P1zwv=(tG|*yqCRyN#%dNoyQK({q&zv+UUuGdRqoCs9=n}TlvHG z>!1H0ljpAyzIdZ3NAi|mVB3X_scU*wkJaT!{+^JUVlC))BI1(BQx`)KS)s{CG@n{? z_UiO*U3?-UNx*H}{jaYJ>vygb|G#J5?K|=3pU?b${Q2j)?*~gyewyw7@BjX7@^{|P zGCuh3`n$s3^!EYpUk1xuvbK5fcH*x2HPN4S%l0Wwe;*=$WBOxD+p6imJmx>{v3vBg z^_ID%*Z0%!Paod>^nrDe?|hlX>(?LBw5{!bXZ?kB-HW(|a<OY_FMmHZSvy61eVtzU zjnw#N>#C1-XRE)cmg!%v`B3*&>b$+e`E?=lA8woOZj&0{KR15$`u=Ns{No>5+WuQm z)h~YhME7bF`G+SJs#V_~wqs}ia&5-GgPOM1VW02GZ_Tfq6Z6N}@8g^Nq7RB+Eq~X{ zxxg-SX?umMzWth?1y}Z6{rIV3@!fSl|HeM}#8y<(RCQ#Z!1j{w%C8J-n`GTTo5npp z>-GI_^osR`#le==fwc$svH0gLv-`cG%4z<ihI<cn@74Ky4}Q1ZV*k=_qBigI4*yP& z-)^yc>#qiB|9Mk>6;Jti=b&m?VXy6-ho4mT-tQ>h^YHJY$yb-3Ia|1`+phlH=L^%t zckG$H=g8l6pSaj7nm_Mem0wscURktepPjsaVOjdunJ?4y`98|1TO6_MD`-u=^MLhT zVUuieUCe$vJGq}<BR{|1ym0v!(|PX~em`%w@Mq6FiOcCze--K9o&U&mukkYR`B(nR zwa=fl-1zM7<s16X&wg<9-Yf0T^M0R{F6PfK+_L`H6N|sUH}4YvU3kuxKfIz#`psiG z^F95CKTA#DbN^Gw-v2%G9-XcI`t#uOtIWIl-G4Qxf4}}$civn5>GKoqZLNI$Hf)|2 zUeP?4J;UzznqM;K?bOpNj{I~k*?;_BOx*l)Ht+Wz;;#Bs`E`Qa<4N!49sI+*_5AOq z82g~If<Fd3j|%=a+p*wz{FNG?^99cHufED$QG0M^(SM7Z$A2b2Vbs5|{it-+SJkuX zUxfO<ywLf2)$x{iedM=!O6@!BBFfH(`QJ1@$h`NU<lbZdgiYtyxqj9?w@<76e2{;^ zf0N2>f$^L2yO#Thzc2KAU*MyE?&6;(lDp#PX{UG2Nq)bx=!@ysrrKhei*r9;@&4s; z{$=*BH<h=-=db^L_4E_2cKu10*RNiGWBUQ`UH|LPT(*t59KEMv<G0e@cjfD+Nzd8q zq^B1Uzd1kChkyUVxXa9UF0;qTtg3z7y6gR(z;}gTqbmRUe&zigR^jcxZ|S`Y$!}zr zReryHCi%URWyR`U&AXT0c{Ht7`i<PWdD~~b2em&pzhA*`u_MCo-qK2W>zy_abboKF z@LRuc_0M^6k9y>4{cC^mz3twwKmGl5XPG_jW%Uc}Hsm)2S2eqXc4t&|K3nYcdy`*Y z-(=xAH39Sf2Go91{2Mdtk?7vze0vYe?)@?G+4SQX@jsI*Z352~R_>Ac>vA{szRdQL z=gVKo)h@ACc_fBY+b*ZcaQy@$?v7FDhMHKmr@#xnBmeIx(x1rGTi#meok|E*a2 zldXUGiKD5njKAsj`iJuChx5N+^n1ZvX216LWBn5Q|BLP<|1YWh>;2X8cUXm^|GEJF zmxg*T->skVf6uqdy)pH!Wd(m!VG+Oo8YJTXy4l)#|8BZ}>hI4@{hy2BF>eixd9SZm zcis~JANOsaYWt47l<)knG=HmAEHJlNeEr6LhtDq;{1i*CpK|#9O7$K4J?8!OtUb9; zbKbUBw&z~T_Psnh-_-tR-@EDICvWyYm8qV7;$r+2?r&!cUCRHhio4`q<rjZBeeSob z^_Ty?GTM3Q;rS;eA@LjY8>g52e-DlK9U;GWtuEdFd+VJCZ>AT0<o{~=d)l!tALhR@ zwLM^4d*G<(E%Sfg-{;z&vRzlFzW)E(eTnzE@?V~;zam}Lvsc^ny<cA8+53;pZ|t5X z)ANe&-9Me}J5ukn?G9i0{Q9*T_wxlF^JCp>4;(Cg`ow<L_l=+VD-T3}%l$YfF4q6E z?cO7jw!8NI`ZE8P_<aYx_4|LD#+P?AXP;iT7&!s#YJRr(bw+%d^Uj@}a~`CySC%P% zJ=y;AXM6Y;IqNTc<zF5hn-zaA;P<K7Cm#D1^?8T)<*j<RDZejzSFv$vzul#|vZni& ztuK80YG1weS1xnw(=Yp81%K21a3uJ9{yCewhZ*-CjlOf&>HA~r?0p9x>lJOB{Hv$d z`?2+k_U$3i1h9OU{XMVmpQ}&Uek-4P-Fo%;o7)d@S3O$U{zYPX$@gn#?)(1UbNj^C zdz+dc+ddI<e{=gm)4cm(pABsf^VXJGe=YHU%blnHz46WCKXIQL#NXV0#Jl&F>u1ir zN0e=MHUG+rf7`u$llgS#nD_d-%R`Vd{$G>%_dGxAo_nX$4w<&CEEE5#`g>W$uY|91 z&%f{;|MF<vtob%B-%rOrePdYEx7Yo|#k<f1Q1f+?-KFARv+Syue7jnH{qL)UorfMK zKm8*2O8cAche_|=AG5i8*mUpF?&F#9+jqY!_n$01=V;N!_FuDVy_2hUEU)tAFYuQy z_?Yrm`unBY=R1q~SErwPJ@Z#vt@fU!>eZ{wzerSbpWXf2pnq@mse|2DWbeo-LE`>= z`1$usfA-CLIKl3Ac<q6Mbw!`J&i=kpxe}K1->rq@eAZW&r)S33$nUIKEA!|<=%(uU zuZ^|Bb1q5ST*{8Qc=@lX{NAYFn|_}>8{}8;sj9N9{_Dw)fBqcSuIiVqYPYWX$Z%Hw z{({=4jGum#Sss5H{Ul=@B;G$efa6`+1QhRo74Ck&zc}vUGY`w!4d9GlxqR1ufoCt} z_+IGVd-QJMF8TU^&%AQ?wZ++2oxic&q;7uI4}-4_at~VU62AZ4W#6`IzP$eT8}?yw z_A#~R_KC(_nC!j+67v(!$S<$GxA@5~m751YdgT=!*~hE*;^$&h`OC(4E~NJd`uktm z_dsE%z3aEaf1H&ExWDCoY>RW9<^Mue?&ZbgtKn0>9qpgI_wrML>+>hxJ-&MRo7oQ< z?>*>=Oa5<icDetBn(ocbPd|9S;(T|0Lb`tlxX6zWcn>M^=PmhpQoMA3&(k+DmdEei zEc%iHE%KMInEqwryvx_W+zj8czHZgK@ZOk*A8agZXZ`A`<=(U8w}1M)cMoUXdvx^Y zt?RbV-*<OEVM`Z3b=3Ik^*7N{mk+nXBHs6{^!%m2ymukL>Ob@Ns8H_;DuZ7ch# zoclL^k3GBle5zda`N_@mS6c7*y%195|MRf5clj)9dswmdTg_KS_Rcwff<D`o)#>q9 zoZhE9@A7K#jD5?eTP$|h51AjgtoCE~lTYPE9nEoN@oSKC{4b%i?)$Ipd$Y9YbGqfh zlk!h)p1z{4{^2wK`WLokFJ#}na9#ED>4Ms)r=NbzvONAY{^<+RS9-sn?N|yrC*{k; zcYl08XaADAzWz$>|6A2DpTEE4GXH<+@0U<;wtsT})xXs<mseXX*j~}S`}&KzJ<WFO z4?Zq^suVnbV}53h?bi=mLAQ}`)*g8GwY1*G=X-JZyN&h9m4B_E<$m0Hxl740m+qPy z)C<4;d{5)L^=ZDoe8ue+N%z^rzx?r?)&Ej5@5Sb&Rl6pCV{JeES8r4Q=i4Wk<2K}X zn}2zA78?E0SHibn-S>mz=Iv0v!dmy6wX3U6?c<bt!F%q7>9_Yweu4%=3%`|F-f^}) zxL5yt5jfvhmBaGA?5z2BoWGw|e)=Y^sBiB~nZ3b#6Yk5nmpqSsrCPh>`4`jLZQH+H zeSht5R{hRH5BEO(qVZMqw^)Ve_xp#T`QG98E~oFi*-!GGlT#3X9}21P?=Oc{_zP+u z|1P~>*>dOctA(F_F~5@jw)Vrcc`|Fk75<9K@6BhD-%D6ltl8DP+x?_&-p%a?-z~oS zIS3N_Wov#lG(Uc#a&1rlT=f&o_crBsKmYQ|dDi=vs^?x@Y`?mkJv+W;PmEnKU*U(4 zo3@U%3HMo+e_`wYA{GASi}I}gdCO{Vdgbv=6rN)fJ#X#`yPq8P|37ryOK!jSurIDA z<n!t08Sy_2D{DIEJpRO5R5EGT>3x=YFVCF>SNQr@{><8U?CbH;`}I?+T4#s&*)Oz9 zz0c$yx9(l|naj2^7oztZy{leoU$^&}w9P&@J-elL$@ec=1oQg`Ld*O3)xRIF+%<o{ z>${Er)hhpHLL=U8F(l$I&xp^OzkS+k<y7|L`IDn%Hs&{TSN)4Td;ANJ`Ino|uUy`q z9bd5P&i?Rkh5zzk*}gUoQs7%T*OpHCb~Jv%-sGnO*X1YN)nA2_?=#LW-})?i&RorQ z?XN7~<tL@b2Z6J_d>Ay_pS$$uNyT0L{W|IMCltS__31korhmiyxZvHNT~(g)FD+|* z=g(bI`!&3D|F52yhaZBAb_GIfe3@r2<<`B_jhnNm_U_JI_vb2_Tl`)VQ{!8EcHdG% zTO0qq%h-1;=il*T&bQv@ulE&iyYqO}wCnNV_c!FXZvXP+Ke)m-Hhph)Ciy*+rG@|9 zgLlK9ifw;$JE45>yn1J7yf6IK(42qjWGkqyum9Ar{mt!1mV19qdsbc5X<YRs_iN_j z+K;Y9l^bOqJ-EH;_A352vmZ>k_h4e&<F0vi?w>EZ-kKh(V{Si1cur0HyxQ>EO^=?- z$6c&`bHVz~#qRf+bw8QkYVX(YkG4Gi)c?r~c4)4jzM>kG>qEe~e(t;9d#hS!pMLtK z9g^S0U;XQ!0n6|5uj~G>pEa-e(;M^0rS{mqr62RJ9-hC7{R@No7slQDFaQ0RzH9#f z(03dEt5?>p{57Xmd(Q&(Xh;kH-<+TJ+4IY1#{Bm#EBI3mYv@hSwp*6IW8wL_h4bRp z)*jS<`fpct+~@D*^3R1~aUZ+zXG`3piE@9vYD;zBc30ory;0`TFSbp;v%gNM6}Gt` zJ?CQf8|zvA^^1RsrSG45IC_Qpj{Pfbe$V|isW#YV@64+H;;Q!Ks=}qu)YrY6zJL1W z+b1l)<*B;Y2SDOp64uJkNq@Wg|BByNWuJ1jZ=ZhIekHV(pAL=tH;ZcThVHumv#5FV z?h{AjuRx0Y{j08jVfg-qQM~->zK{94-k*6Q7ry)C>%iK>`$X?un!F!e*YD20w|7?M zo4?L{@7BJ1kSD+MsP1pG8+(^m{qsLt{)MOg%gy~)*k%4i`^hb+l(+sqm3>d@eX;W| zuhyH~zwABd;^LEj*Z=IC_ilad$E{C3EiCG2W<T|A>s9t|z6D<SA3W9<y85qQ{PTt5 zt?9Ahd2*`i7MxFSe!Zfu{s~d)AN^{&zh=j`spb=oA3trW$3IEg9n#QGX9w5!9^m*l zzf${u<tx#=c^b>jgXV9_Z&ddW`ksFV-q3UT{x}`f(7XKf(K2vLfBr(bdp@=c*ew?F z->6;ou7BOT=lT<>-`M-dy<cIMexFPK#mTc*ovV7hcU5yg<G*N^ufEeSmHoK>G-$@J z^M+>pwO1}r&xqf!`Obc~Z-sR$Y#y-w-d5qf-UiyxzxHZ%cvgIk^v&wYGLL>4+}yhs zslbn4{_{)Oto*{VYRj70RZYJSKfS5<%KMw|$1i7LO?_Dt`^xTj(}OLmx6es_FI!aN z4z2L5SHdd%iMPV<M}A+;e?pXx+j4I=w8Ae>g;x00Ti4$X{l1(3B=5PtiQT(buD`k6 zWLf(@XoVk`b-$wgZn>S>_Z?P_ard2}v40nw@%uCG-JM(c=B*<8{GjrJ@=cKXzAnc0 zelR5GZ=3$Bp?T(<ny}AyWp=u-`hKq|B=T#oET4aI-;a4kk*5{;?DThk50bxOeo%Ao zL1oZsD|f>`UzEHx{jIur{8ZsNb5zg2TWj}I1F6JknH66b`TNxG6OXSym6`th)WzDX zvER-XdY69-|NcVx?$24zu75FI_kQ{Bf7`EW--%IJ{yiKV^Y;V3%O8NnyzGMCAHSR4 zf3R_C_fBvN|NV+~epm}%|JvV=j=SdncX_w*{>j(u&=&qD0Z7iboAOgWYkv8Hn0P&F zi+v%mhTdTlNJDR7)vl}i9y~1iFMad)Pf=J4zpCY#a8;*!)fbPiSJ!XRubcAbuP0w& z?b0`PQMRe~S@^%OrGJt7z5ja6FNw3;Ev!BG{;!+&;Gb5d*h>AI=0`8@U-8{ydAY?h z@&2oSo~+*$Z|8STtvdGe`MZ|i{;ia=je}PAoUrQtoBrF?{MY`zV*9m+tNr@K%j&D3 zd0z#Z_hZ6;?~3@od-f^bb9ox#{$Y>`fBqt9g@4E6_p#-#_7!XIJbtzB)2~cug>MtP zcj56HyLUdjn{Lp*H~rMXw^wEFR2Fqdf6Luao&B{2biBsH33k=fel;|wpFSCD@;*6T z3l{y)q0PPXq4Vzr{(kiQ<kP&Oj^^Db-zmOg{;g)Q)VyNJ^&N|rpTA!7Bs+fEiL-V^ zO_Ob(-sHZbF8>&*;1|!T+d2K)RQ*ZEf1j|_`#yEC`0CwnW<Q?xZjZ~|#r-Ae_Gj0> z%#PU~^8GLSs_-2<=g-;aQ+sM3>%2Shcm3flz3H#(WU}@heBAu>ktjId%P*3XTP1ga zdCmp)H+CW4kB7gitDA5q`TxXEzd~OXe_Q*ZY2KbF+a<?$EZMz2@n7Jr=cz@1U2Y!y z_!!p1+YjsE&8YfS@s>MI{k#A4+Bx=-avz#%qxUQfUw^&EN&llq|GfqKs=B@%&7ZRO z`ANlf;+uY}A(i^}-k)Cvebzn4H~p~qYV{rU`)sN=gG+tiE7fx@TgzPT-kwqSiRrF> z|AfuXPi3n0CokT<O8m|2#~ttX`0icO|7Fg;W#@z5uD-wS_tnIr*2CeaGuQ1)y3eHl z@}KpL<<&cuZLjz!_4ak&mA~%_b{@Pb{p8WySJ~fmdtW}>eI>i9q54-tw|Un6A9;7n z?<s!YVdWGjzZBZVuLfuQ?OFG9XB@v*{d7S<dBJx-NU2{tz3QJGwABB7m0hOB!LRS) zp8mPtPs5se8E3zL5la8^qWRTj{*3sVo|t(G?G_e(W!@+~ykt}PT94nG9zS`OVtMdn z=chN$uO?6afz-1<JS)B~<oBt^Pd|oO9#2(uS6=~%_!@A;Kc74+{_^!5aWD7%ul&ko zzWn6NPH>f<vi4p5l*(Q0%vIgncl@65?s$6UzJrg&pFC3eD*1cbvG@>v|1fAvFZ-^% z{kq>jcNX>kU4P=W{|ZRYJ_y>gpM0i1^!FbBlV4dkH9!9R6xy?&zv$<Tc@Nv{tV3=8 z?)t_$f7<V`Z-xJSVU>NY+h=gk-paT3tM1$G>zl5hR9v@Td-wcMq@MkEllgUipLNgq zO+V~?Rrt<-k9oCm;E|nw5qpnY?mcX{*TVVp<oPe^ezIEbb~?AQe5OooASBB#57U3C zY`c8@%gv{^uD1*RzFPY!SNr~Hm-V5QeZAP{68`I7#PsVH*WR68x_`G<UV+((qc@?^ z9uA9k`>gvvLhqLU)BL{U*X%v_R^;E<eki)C2;9Fj&$?$jz4A@1FW)-j-Ot{?=X>{W z?QcjUzy8YS&nEXT8p~Wtf4-XE;>WHzcLL@=Pk#Oj-rBQ=#QloE`S%=tKjMB;<ZgN7 zpl`}|X_QPao@KXd<+s%PQ>6X<3N}r)`91B|la#uD58=al+n!C|er4Y$rOG|ca~^-% zR&-?*H16F&nf`ehIPUjFeE++9W%!PrljqchLo$8j-T!i*OZeHph~*c4uf5y<{Yp*q z@!hA7@?S}R6D@V$*>;Z$w6?$N`~A4z_s_LY<$hP6el5QW68WE$A%*_G89!^cod4Y! zbKk$LK<0#Q-;M1DS#AHXe3o3*CjIM8`m2|<Tl9B?ypz}YZDH>K%k<IE+Wz^g)jPi( zoqqD3@>7B9?5Ey6MH<$tpYiN+e8#?`H}#)>D162FPG0x?x&Ux9zdsP#%&%Me^Q7ah z_-{quQY??(J6QB38(P`lhxhJ<x32%U_FXvl&O;9`KlxG)9oC6I3GLo3&yN3IefRx5 zeRGTIzL-CbkY>INEcTyg-T&c!w>(bm`;MxGd;U#^j_cHi<-aie7PqAGyVkRf-^DE} zw(e@)J^eH!>b0+Y-k-H^ak|Bl^Z%CFJxG``**xRk@pIWv8S`&$KU!S%wHy-jFS5SA zOuQxj-&wDJg0qZH>i795G5`NkP05aLssE?VE<eTTcTey9zr}VxEs&~vpS;Sm_RDpO z-)gT{?SDVHI7Uv@{d!pWP4nZrcX#&fa+iO3t{ObHQyY8tdw=lyg5oW6;{3s}UlVY5 zza1?0%bD-?`-5Vi|8(nOP#^C{#n%FM*w7BkUHSYKzbjS0->~<LyYB%V+L2xj8QM`h zGk;C(J>RFlOl}_hs9Dsl{4KY@@qGR=NY*dDdO3Kj{*AzQ`xSm${GS7B>gBD2wDa#e z)t2u1cGUXhz3?Xj*V9kE)4dw}&G&;teu3NijsI28F5iBsrhD_;rypv*vV51Wd<LoU zi(w^xbaq|Qx_8sJPu!e;%JQ3(<*v0=2lrX_y*T$4*3N&!^mcXr`rlW}pK`T}pM0qY zjr{wKmAl%rtGdP4ek%>W`@T-a+~Rj*%$-0;-0ztTZRr(ue(Np2R{Pv&=kcrlPrt@q zfeh{JTn!%DF+RI{w^9Gz-6s#Ozbbp@uTx&(>3zIq^(*K7hK}a%Ud?Z@qkRq!sE=n2 z8_#{a3^JzocAeecpx=*dpM1(M>S(q<{cavg+*fDX?ehA)Y37|j(S8L*TWxMf*M8ze z%JnzgKcBA8h_Cdo+;i{VulM&0D|Ib*O^0^x_kp|j*RO%Q_jSA8-*?%2s8@OU_b_l{ z&w9mgMtIE2-|a8IRMUKX_Q|8QS3&uH_p68hV8c3nyX^1zfB$^@l<l|jY1hAp&)=Ni zD*ojO<10nmW2$#;8!G*O@7Wo1-?OY>PxqZi9ktRi7e2FRL3(*|OXl5k{N2QU`tRLT z`RC<R+4m&e7t4Qf)!AhJ1#g>6i`!SNFT1+$hr`X=(R_t_cfYaoL2B$(XV`%*qk8kO zNCMQuTW(X`0<P=#PKWmJK6;$>|9187r@*4JhB?Xk^_Epzpi!R;kNUb>;qps<Uu`UE zJ<NVGa|yJvPo4>_>=WL;mRtV&?&7Cx>HO2XkFSO_^8EwWzhr#(l37n~VeR9CyWY#_ zeBaUa<f|}jRBsYE>LbqXHvD{}dXtQe@7;rUCqDsq@6E%`&tC)?&aGbctD$-M$&<w< z?~|X;gcbNzyP(~Bp06*Rem_b-^_2Um0DJq1cTOn7I+3&b=dG{3x%ug{r$tSZr=QsT ze1*RjQq)(hdp2GF>b_5vpJtr2)Qi`2Z--X)Hu2yBKNMWx`<2{}UlqQia_*dc4&WTW zC+=>&A}rp&E8XqCzwRenfBv*?@zvnb-0rF$La;2)aaTTm)$gByMg4!3pME`k<@uZF zhpe`qklx+zqG$J4*51>8@+;%!!H?Cj+FpJYB+FMXt@>s6mOD=MyZp4;In&{-{H@Sd zzSP&N8*hoHZz`W8^XQlIrr%LWjlA_H^W~QP6iZ(}`Ec+R;XCy)^Y%h&`#L9RZC}y+ zOnvIR>G@MOpFe5&%}&`pKM2ybk6Z=o+-2ShmtXh$>dd0n!^Tg(oP}oj{jJa}AAe!r zJC3{W&5D{g>z_Uv4IRzhz7pECPtU&pqwH?E)Xw8quRkeW2W{h7gWGtYP2S6$wE1>V zlU*D%nA>&-JbxFrV%~mlNayZb-d9F;_me02LGhjh%kq2OAyYV7SC-$uy6?x{qMyN* zM-K9*ey>Hz^6#(Ld{KUT+D^fI{{-PVIZtn1d^Oo0xm(X}y8qA4Z&Qz-c%1)KX8Qg~ zi-RED`sWegZhaiMTYvoJzW?c8xy<)Zzs$eV|K|1slkHdhmQS1a?qS2dN4oF&uK)d! z1sd1sj+wInT-2|B_0atab5(=zuZFw(U;f*1>F=MY-=M)@x!?bT;^)J<_U_QgH+=Sg zZS6hJqPH(T%gDrs!@77Up@X^otCwHDyzhZ<QN8ia<3D?0vv{GIb_=IhEODP75`Q=1 z_apz4_s$h{yq<mfohDLszrXd_<zUnMkKSA^+R<Ir^gH_W=JPASeZ1SSKHl=ox}xa2 z`qzuf!Yz;AlYRPP^DEZh&ny;M&ku$*_N+7GYj?d1-+fw?uh#PKo?no`{B#5V?*+d3 zA0NHDb-mW{`|j#fyyw16>egQc$@W{JCBEV1eII@9mVcXG9rIRw{r)A;=#PBGYkTb8 zUeot=Gb`WB)n(@g_3i7SZTyC+f1=Pfe)v^(nVct29)e2z09f?TT?{Gl=dQN14fy?N z{mE37c8iKBZ{{sW9?P%V_iV9ycKo-}ot2a3Jb3c))0_WS)aN4)>$!bCt)3BI*=S?u ze6H}Ph~=*~XmQ^PF7ExWf{T0e();!<dk>x6{NzhCxRL+l=Bt0(;k~==68pN&XVNxm zMHeBHIE__5WMSF9b(ekIn%_UQpUVASx#!<H=&0WPV950C_nGxOzg5~#czyjOUthd3 zY#3h%GO81~d|uiu@jn6Y?(6)vs9y}L>Fbt2YWlwswO`fVcGoLayPr~AcVBC_J#s7m zw#oc|KA&~Z=}nz}$G_m4-re|*dn(uOIVg8;)=sC|qON~)uDzcaJ7>0K%tOt*4gJ+N zw_p90ndkp;*7w&Rd+tffuRY&ivCqcOudsSe%#6Jiy_bV(o0-qG89&RhG(Ok2`AN** znD_CInbh~h*UbDiP43aZirRlqLjG2<$xmKx@qdNQ@w=U$n0{Lvwf$}Ura<p(?YTL| zPfGN!|5mMNTCV=$vsBE5>^;AB{F?Rrux3&B^NIsUmtVQ-|N8aax}bTFCRN+5`^Zyn zaX>llpVRyIA8n4xz8C)!RO|P>ux6HB{lU+#K7YFO#y&Rgp+J7YPs^{@O3w8eKfF`) zY>sXEQOgf@y!Re8eP6xq!f*aBLc6!x-T&KDXDgn5+IY{uO)?KT{R&H_{SxYbbAIFT z$O5)Gs_AET{+N1C|F9rmrTzNw_=~c4a=!eOo>v_|eY=Ha{Jc5GzR&*rM6>JzPxu+u z9S4i=Jic(Ic-x--e)Ec=K0E#YTkqK~O0TGFtjhXU6Rr0_WPRZ;-LGQ#`!w?l&)h6d zo5P%b(z58<oX_`P{I#+<Z2I2((aEU&eYSjh*Pi=V&Wl$%U-+H5vaxp0k(=MIa=$5< z_RQ{im+_M|7Q*Mg=70TX8TW9?ckjC8`<5NA_%YFL|I?o}+Wb3qgp}Ez{yuyDNn@MC z#WBX>kNDgxju?KkSRVfBFVmZ(|Hpsr;nRP?yG}1W{!`7Hr=olx*{1Igy8q}OSLFfD z=O<<s``ImDzyA<lUctxez4iUmD^CAztq&-l$^GF``L92c=dagKQMNg#*~j*kY5hNi z^&5^y7P8IBJ~w0YvojXz&G*(HVSXR}sm`?4dHsg(;<f*#JwJK%)02{Y_y5GsOJYA? zXtT<FN1}gE^&W%Ib2yiupZPEFz5FBAz6$&Q{;xFe9)7$3%TLzx%dhWzZu<Y{m0NH2 zZoBj7?A9lYyDL6b*6x)&FB>zfddD%jcORyGmn`g_TmSm+Df`!dm!ElRv47va^t^9( zJ>nAN^$W|Kzl!FSO}PHd4z%Px&qDZIoAL9Wb(emJR`i+gng3b*>omJd&&@AC=eN-> zn{?OsM_n;@Wm~$%q0_Th>lYlK$I@?cR4)CPWI<lVeu?#k$9|{QU;VBBl2fky+Vk}l za`)oyJ@{1iN$mUfsp|0;u8Sv#Kfm+2pmq6<gLdyezR9n$Z+{+a^Z85VUc<eIU$3k> z^7-VtqOQfePqD_`b&Y%c@Z2Xh_Bp)iXKogy&5=z%xl`)vKU2Me^S_VQhu^>CJm*gA zeEXkD_w&C^S$^YSvCR90`wm=vSJYMgEj?{s@yVCP&*msDuiko3|Df=mM}I+?#pbU2 z{Qb`=tMYdqo&EO-<L(=4zkb#G7CIj#vp9n@i{X3mKf$2PQXXIX?~u*>)82Dr71kGg zW~gkoz4K_o=Epu3+vixOpN}l$+vDH7{l?w+`Qd-P-`ijCj<JcjYdiV9`9hn+#xf6| z9=;NMM@~ck<B5MV)pJ<W4@4Fno6}wY`md49!?y3A|1I9P$l9W2ie3HT&!0Aa68k>U z=BLp8{0}0}*;k$~I2zL+ZFAg~Z{y*cpX}`JJy3l=-9NAN?D?x5^B$f&`0LM<=NE&Y zFs+}bv;L#NY2A5`b^9!<&-D~P(6MwsH><c#cW-=qy2XRPZZ?+Q`}=Iy&0qI@=BGQA zeKyBGZhp$TZl0?C#~l;6jn79G{hFhFZo=kAJ{H%nU;F*MqLuxg{?5K%v*aFs5vZ*@ z{rPM+D6sx++V}1#_to@@zf6^V+0UHrJml!NI41Y}%dV>Z47+zc`fIgkf%%R4nRfLj zKfjs!>C_$Tkb4RI`Gudjz8;)W{N#+Kdiv|v>o+t@$He%*zyDY@?nB+fuUBKAG3}}C zwW~k&`KI&}4*huj<vVKU#yrrBOYGnLh{xjk9K-apGYkFl7JS$Kc;I*COuO~V@?T8d zxj*For#<znZGLvu?Pan#`cv>#@twV{eFcZ-Nqnzpls(sS`MHnm-ue#l9Z&!Mk-ZYU zt9^0#i_hHi*7r@iUQx0A&Lc~?f{%J%PlkW}nr2g8&>0((%wJw{`ghNcqn7W(?*+WK zPt`kq?)R6U#q*9IKfxVS8xZ&CV@74G^PXdN>A6<V+l(LPSQMXo;a0Vu>-@(#-;49s z+`Hud>zT#g^9PE{Rd-AOt<tkoI{)Dpi{&BVJqKi~g=`*)RL_x2Kd|%R-=saql;2Ok z>+*j85_OB;Gw!7y{k&|F`HjQT#@{)=p0xb+>s9}0y>n+})_o8$zj54l-rbP*&p*_3 zUEdSmpZ?|CkC58BBcGq9KNZ=Yz0;ujqtx={EAtBu+$=aYM>qZ0&BAGOR?mL<H#_D* z%lGcsue!EJ{yzWub8_+5*OE1!^B(>2sqEVw6_+5td`EhHo9wxzJI~K7ZkzM2uWCPw z|A#L;wQ<edRlVWqtJhcB&C%Xo(Z?P$XYso_-}v`s%Kn8XZRM|5v{}nM5Y*3K_x*dt zyhnZCx$_p<E&pxtZx^^$ajMMxR__e1RVo{+vaQmO&n(EZEIv1H^COvx`E2=x2Y-iJ zzx=!X{?+GGD=H`M*|GH3ftr@=UFF(eC%${oxbI-<>e{pCcD?@>vF_t9_D`3B=g--G z-}HaXoJaHI?nK>P_`9O2^V#+t2mj`l)Yr|dYTs@@HGF$$`OWZz_vx=bzP(~w)uH_B z$+uU^Wn~jD$7k0q*}Z>?`4`RK*DRK#mtWZ9@Bd+v-P}v-FWCL>`;;}o*5?1*Jx7hd zZM9fDz5G&*!}*W1?DlW2s#*H2wS403{U;gy{_FU^TV<Di-(md=Q`;rs72ff0R{i{= z_162Hn*TrL;}xY_ZN6Sr{<d?+;&O{+<~RPfKbu~Dy{7kb^@+`|@4udPzg{wLz3Tb$ z;P?&sZF93%_ggIdUf~!2cHK|8@ahfk)n@5`OkuCwxV-ArJ`VPheEqLI?;cFqckmwX z*7Y-A)@_^|^LVDV{`au>P5MVUZEv{SF8^KOdjC;@``g#=SN@)T`;=_l8=dv<j{e@T z{(!3Oz3{zD+IKAV{}z1S=56siUH<Y(?o}JR_bgn0WBV~)TW*v1i^4WLo1ZQJzA*X! zzE5A|Udi3N6MFBF>F+3;OQ-*u#$PVBxgGlX^Y4}A7JK%~yj?5zAhEpi6T?^D-)y_~ zw^#Y*zYvYPAkLm~?`H7#$vgM(JdyB!uj((q;@+n7M-4%X`3|zy{!}>2{yQuFUqWSV z!<>g1^_BkwzUux?vsm80WBK<A=Xl$Y&nN$GS#LF=mi?4!oSjFUjZbYtd`tE&@m2jL z?EGJN_2k#oo;_T8zqTjl@z=vopDJHbfBt^?{Q4cs+51DvU)asFbNU>8=fS_gUH0}7 zdk^3Ch_j8ln;PG^_xP&g{ej<KDE7UW+<e9TjZ4ulle7IL=F?!&-+vVn{k1ZGPu_ZN z9~Woq{_Vq`nV-bY-?;v$C(h3CGo$VC>|cKpzE&)(eJK3&TWe9nW#=a+CtrE~M*4;5 z-ev7yxbk0iM|}SId8>ZqCY#Duna5YXpS%pdf{6dxmCvU8U#;o=y!_<m>#Ndt)GoED z-}S4h*7(gHui7J=dyg0YdL=pQec@N1Z@Q-Ok50aRdeq4_HNJ&;*LNLQ+)Hm+U%Bm_ zc>eTko9ga8HGRJ()oS{^I9PnOcvpY;7hS&o3;X_6?%HqZ_3pzXkIGVx_lNf}P5<)a z=POm)Bf7PdR;0hbV)vZ&Q^6LSOWog3N&o7q&AxMCvv}6NMd}rw?9TefyBz=5{q*I> zS915Jd=guK^ZKKSar<08o7x`VoNQ`ezp|?R@br@xJzp`(*(v!4K_WkB{Y&1xhq!+| zdOEAz@5<jNqMtsASRT9Vf8w(9)#z_KEtZw<SZuyyfqwkTp9?PS`xE-<S8-9>;`1jy zhp*1RX@1zU_K5A?<I=xgna=X}ySz^;`ToqJ>nVy05R|f74yy`<*XX{w1H>3+b3T z$KSKAzuZ^d7xVb*^ruf>UsaF4?{ELVz@Pu#f_E2cZET~#k>9(^KL3jKp1-s9R88J> zdLL8u^OeWFOZ(*>b=X<P)E+rE`{@^@v;8ICohqH@Z_4j=uKFf+_V*VS{g;=OU);Z9 z_kZoD55hMO-~IkXH|}pp-p1dxJ8Nb?TRi`yCEv@7x38*CUv>WDB)do7*k8Sl`99bC z$rIU6GXDKjjPE=&)w{Ry-s2CkpSE1ycXIbriR(KKybOHB`|ZB6f8C0ox_kwZE0$Z- z&MQCr-un9l*)QMi_Z$<|o4<75v4fjazXxyMm!@}2PVVEk%VqC3zI=WD*4|^w^v`do z?(^+Gx23wrcmBNGa{1YIIdANeE}K8Ux%c2Q@!q@MIlmswF7w~;a`Ux!jApf;Y<FAV z`0`C?clnJkSNq?_C0@Sl{dQjB<>%9H+a6iAd;g~0?Y{5J_MbJ~Z-3_fd|kdDe+}mq zTCeusr!f27{M_%7X0~?c-*M;sdgip-{Kx*k)&DPVe0jM4tzFXP<<oE9J-*C+|HkSb z-}V19zVrUe-~X%L%53lcYj4-a?`NF-?rp+%O|#mUw``C9f4lwP{661!|F?0;m$#R1 zuI~3O{|>VH{I|`&h5m1s|6ngWJ5Dy^yQ$gTlW*Q7U2ZnNS=;C9e=qI3$n3a%x8G^! zRDEXLz5T|QkIrTDYa-0<{-1F-{l=Fc!DaCYmp`AswfDfX>s#-d-}v%xb(#Ezm-qhP ztnKpU-=F)PWA?n-`|rGSs=f;EUcd3>-KS;ulP=G_f9vkiW$g8ve#^|B_vh|AO|!jo z-X#6~8IyQ<w)Bm?N0;@RZ~grwZPUwtYv0%%UUq!@_TNmi=iNX0j@4}My|eG6bE@|D z-(}C)RUW_Ff8)!C%x~oqFK@m8vZZvw-Rn2Lyz2zpl70hZ%lXY9TlU-l*<y1A<dfnV zccXKDRqVK{o<Gg^`~5^vDC@o1cVOA`<2OJaQNK~!?EBt-+wTV7?{>N0HO*}Q?76F) zQ&l$Y?(`d9Ud4bt!V0$HJ=lu5cc0(*@+4^Y@*7`1aqV8e;pN$>W%(OlJ`OFL|Hswr z?*HZBu(B%Ou<yUlhL=Bi-^L|eel7cU-@#?y=YjlTzV)}rY`J@9-wB%8-o6a-$JX^= zf7F33X$M=<zXjwEn{yz4)NHyNe&b78;&-vxc6RsQO?EN6TR#(Q$yIQKn1lV{4)(|W z%<qh|-|fBsE;y&EVh-3Ji$MNZwduFi?0a+5zU!LZ{kiCFY);h&(cR}azC8J}%zxv{ zr{-_>9a?67e`|HOuY7&V_mlmpm;asy$Hx9`pxEFq)8Fv&>-{$%Py7c5)jIpF`~LfE zeEHD#4Jbb5zS(zVS@`y?AY1O9d>5UwtC)ZH?i*hc@<FzECV*0wT*7xzv%QuV-szgv z)@-?}-apazJO8%dJhSEO&%Wc%sro0gTl~hCU#(^L6E6Rre!KR-vg-BVu+jrZ`S#nj zoxbb)z#fs!1$o5s-a9=rTZ@zLigT)py6y(&RQ<FBN61WYgdBS}xj5zW-(&BLbE;}4 z-W9*`<qtd9AO7IT*uMc3<#p-cDBlbYs;!`)dKFbZ=g<H8ng44tdwt)_?9Si#vM;+_ ze74-1obQ%qwl|N0;$+WiaGaEbbIH82`FFg{?%ux-vgwb)Zv71}e;x)$`w39;{jai{ z|3=CCnPu~nE+2LV2iNo)Aiu<Aem6DSTRr#g_8TS7b<4^(zC1XwY<}|P$=`3;9$hwl zDaeXv%3!}7e{1)%C1=-v$=&BSy!<E$j(7drp!6HR`8V6_d-aFkF`M1peFGHlKb&{F z-}sV~{aw{;@2{!gr1c$~wDyBD;(u^PjQQ?&?fZO@*>U$yfOAPP*eBP@=HGEMySsle zD0$rgXT<lZ-<f8|y-)wnYqod)eozAb9stgWi@`oQ4vv+1NnoE$1N(#(<P*m%P+(Q| zf@8%E94pap?<HM6UVgLoQ{K&z|IB6c6E8pJzggSpd)__^WJmoaP-yK3C$B8972)8B zUk|ooUn<Co-}As$sDrFH_!Jyi_ium#tA5LGuG#l`z=2i&#%|`FpR?YZFZ*pi;jI1t zGaFuh^nDA;^4qsmH~Oy6hj?Z)$TQn#-(7y=OMyHn$llnM#V1`}y%QW{iQph>2A7ZO zw?O6NygX1Uw!01rug^;0@DeSXFQYa4oqQUoRI$4QO2zZQvGRT+I94uzV#WF(C{`*q zfs@!Oa1tv4mnzfW>^r*5`Z+jO#BYGChys@?Lg3&!{{|FX@waM=T61>QF9pTO4=r%o zvVQ|AQnK$K{q=k{ui4)F2SK*nz6i4A#ZB8o%hJm?{1%!W_cjX@Vz&>2a^vRppgdn- z56X=vo8Qh$x_sLGMs2HazFan_SUFZU-zL)RZv2hfHsAjF`QKU1Z0lFv<<6=4tF=3S z!^^*~-`XWy-mCn^?&z}i_gku4e9zxY2PH47Ywxmis<v<XEjIgI2slnM!EvGwj+6A; zwXMGKcM`&1pAT>H)z8cNE;M`J&ZT#ybAA<A@9w|x<z?)fcgdF*-+d#Oe0los8@9)n zt$&~VU268exwrQoU$%SUUE7>re-w7N-}v&Sv+Vqam#dfG-g{`-_VZh-+kNNnx$%zA zthQ{$UFMu$j|_IJ-}v&VVE6PJUwrevv(2{KbNrpMS#4F%-P)Y0lBT=KIln%o?0$aZ z%h#1<>o>l<efjOZ<jZ$Azj>E<`T6r(wnvsN7r$Y9c-i`>-Qlnb{N&QI@(nLHYrm08 zxGWxi%l6<h@$j2_k1Wgomk6>T=6Bw;@6!cm@3RT9eOBK;{js3?dQj~=f8V)xc4oB| zi|@MU{Cak_EPunxqqpD0C0!PG2bpocZ&P)H@BBUI-|?C4wY~LD)~vR4&0X!Bs;WJA zjdOneaoOE|qvU(a?&=#~a#O#{&E98s^_{6%?apo0eZKtvSLOYylYH24U;gCmcZq-h zd2M|8^!6K2(fxi~b+_+)+1&38|F_Qv)$jW(ZocC*+iP+4ovK-F@vghlIlJno-A(_o z|L>mvFK>ML;RH@eM?vM`Vg9#vkN$r<{cm~l<>mV~?(X;P|CjMyV)j0nxAT%O|NeiY z_Q3zQ=kl(-pU*h^-A+&`wwe7FsQhvQr(#ENMp3^Bu6{RwtF7~(BC=-V-S8V<o&|t2 ziotIGIQ7}@_TK~-k$fP_D-%JPUOpLAu-Jo>@b4X<y!hdAS^tKYH=n-+)hOvVYkPg) zpW6ybU^Rz9#r=;yQ2o1Pb9I-mzW!EFrjG&FjB%OYPrgsR{C7V%4gb#srQ!YO-d&r2 zo@sX6{R`ltWicqnmuG{^mMft8clT^icFghzdt@KjBfoEhJi@;b?2$`gkIVsk<RrLk zIrMIF`pIR#JHgp86<iL7gL8cT22hTdPXyP$r$Kq~M;*9OvDmG@@#WRdviRi7yHA1h z;<nx0zWn<#Kn3JaaJ5yr4{XD1unpyJ?S9V7+4VmeT+~CXhzDEI3~qDfgWFv7;JkSK z{^4K$gcC1so(-;0%D|Q5-D@CQiqk>$?*ln-e%uSr@drR9S8)TlJ+$DiI{#$f@AApu zlIsSj<oag<u754T^{@CXaQ(Xh)K=Md4HQ<<;5fgR2P#yo!5;bS2)3dET&Q@08xopj z@rjpbpT7xe)}`O7{iJ%c<i8BaBZbM}2sr_2)%|M(dt^D-Bl>Sah06ZTphD$e4yaJs zbqiFel&`g2`(8gfXV>OtP|@`OTm+YG14VoJMo_fhxe97X+`0R1vTe%cztuNEMb~$5 zm1PgMrEUkv7Q0QLT0RLJ<;LLZ#2MUF(ccJas_eZ43aZalV1MX>gGw13RNrrb3P&$+ z+Ep%_zb57KUw?4IvIqOa{xH}dn?N@F%Le<S6_ha!cZ02v2M5(QaFoa2tnKzK|Ca!2 zLHxN2YN~wF2bc1@sw3~$hu!${%ncmvXW!cG1QqnspwRj#3$CvAfr{Y0(?Pb>+3yB5 zA{fE8wBG`i^8K4YrM&GuP-uM@0ku=kZGH=C&O_=FNDI{z93kenKoOF^_4kw9O)vlL zehX@6%WnX+?CQZ`^=}2pj(?NEK1m11$W~Bw^(_>fc(1?RcWhbteQ+^fb03@wJ3+bd zNfFp5=3qPQ!FDXZt6o3d_q)3B>))q&X3O0_07_YP!QhlN4V<!W-vp(s@{ORB^)3z6 zXLy?oj`n^~y_=T@YT0pu{n7l!?)Wlw|1F?6pPvV6JXWp)CEg!OyZz&IX1|lq0w>-x zU@LZj!fNhqP-FgnGAI+uCWBgbHU~jz*ZL-?on5*LWW&1>a8N~ptE-*hIOl!?st~V% zvqvY`2IJlSdQ*MBuipS_p2kB8lT+Y0ZwH6etzZ8W&Hw*>*x>s;F9T%Hor@rQK7-R% z`36whdYc9E$<524;JR@g6!As&py2w?&VMt1zmpw!7dAr$WA5C_Ij>WG?mL}zXM>Jc z1LG;V6m12e!V6l_tXG;=1olTUs^&#}U$OX_?Yq+csw=y$Pu<lJ*dHajL@Rzx^%ci% z?l9JsT|%iX@-v@T)lT~U`7{6f-@E4ju2heG_GQjtmPx^VYeoNi&nr0YD|_hQ&qJ4u zSAUkwdG^!tYUSIQMC0#rp>xd>jrn(7trR!={A1Cp8iv`GRfVN?jc02<-g#BSIeX{t zN3ZrU&aV7D^=c)%+2<EuuU5*NeZCmI`m<rqvzxnDf40ndc8-1ZXRDlN|5jM#A54>r z3!3{q!PsAK^||&9GyV66%{`xBd|rS3x$JO`**nWaOYgOuwfRw4daw1Y&A-%AyN0ti zd$(E5KajRBBILREjWc&sOYb$D-BVj!dav=U&91Fh?+>Th-Fms>)c5NWW}n~aUHvIz zR-E4dY7gJ+o%{Vu?=_vZvDskt{$ScXnYg+9iN^EIAU^E8T6tF7toZ%EtChlLpC5dA zwTF9l<(~%-AI3p^I0Nj%YDch*f2X|K!#un4%b8bu_-FsrSat6BhMDR5YtKF3Fw=Vd z%5$$b%siiVJp5j~WX`j#Yplu>jPw63v-+NB{5~#xZu^Fr{CO+R3Ew!QZXWtP_{N#( z+e4mP<~-|_eHU6;BbW1Ru65{h#++w=V^@EcF#EhQdhYTKGtYlte@=YE%<KHC&&A$2 z6YU=UJom<#YW0xkf;rC)Uwu`>GW+M7DX(mr&+aJ;D}8q$?Vincuzhx`toRd+<x5t7 zW-<GG()H?35wp)vk6x|hn_c<1^6F0Z*_F?aUae%9UHO0N)k>b(KYx6^TFEv0=byD# ze=?bU{#CuISlsOMHTKn?&2paY4J?&Am}a+UiIx1pG`rnvtj;GGudm$;_Fi1z+}|5! zmakuR&iTff+3GoU)z?04cB#EFaqF#5_pVm*`M>P_`^u*Ev9Rj<xhht+(I!o|zYCVV z*Us8k$87eQGp1VLdBo>}&?4ja2h;2=)>^$kbT;^;|N3*XH_oW1hdd9qSSY@2^=G-9 zXFsdA-PY03+ubg5U)!d3`wM}*{K-F0%-X))OMh3?Guaz&^b_9)S=w!XcJg=1{WI+w zZszZee|FBas7~7KGi%Pb>DOvLFy7=}KlLw*+3$Z7*8i8Cxjn&n{^7;*Pt+uyeas)W zzMf(B-)HS9^ZrMDtG#i?c*oz^ndjG5{y)6w{Xd^)hB@E%_MfoX74yvZ#+ldgYvbl~ z>+jR4<eGiGJn8K2+e^~#$uCU&CLRC0W}ZF&xtG3)pMRuX+w;Bm)mPUIH}mT@|N2^c zW2VQvgU^0FzqaSU?#r3q(|KmszQ28S{r#4+HECy!v*lvr?!G^qw!g6T%-P@9jn91b zPyGCEZsg~C<tg{~)GObZ^FHq6_2)nH52V>Op8Z{(b@uSeH?zN|^PBzt`u^0NJ^N#B zoC&_MXP<EPy}$F`%>JIwG5hba(5s(qEtZ?N+REKOGwb_5|8Hln_a|(AAE#UV`G@p| znf?i%|M;)1{L%dC>-U7s@$*mKv8i8|xX!-y?Dz9WW|h}#{C@UV^3b!I=k7-4*TdV- z*5sTG&X)TV@$KyI_1v@n-tu4d+<L>z{)EqeO}9OpJO9+a%6hg9H`o7<dG_q%?zWdp zH(1suo!ve2&Hwj58^zyP?_UvDFKhOBqpAPe$`7Ys)rp(^-Wm_GlP~9)@{K)q$=UZJ zr~mzLYro;<`NYqELwCFVO0#P@`@1^j?Ct&2_Wk_#>OfjO=j`wGd1oizKe4a!KVRbJ z`?F5psrkP((RlseuxHPH$yzKnZ#w($#;o!>_217vvVu+ebFEIl)>!^fn%$;nZ|yzq z+x+9p`8IvS>z3i{`$W{k)uG_TOvrsd?XSZ<wi{@cED4+MfxEzn}e`e<1Dt|H)_0 z{$9>H`=^ZA{dn$dx&M*h&VE17VD|g${u6ih?619XX8QHb=iloWRe0&|bbR*gtL&k) zd%sqnIs5u{+u0h%+0XMc&$62r+3m0QN!a{eKQ%AzzF5w);u~}JZ+Q0ce#-ql`<QRM zG5;U;_m^GHGs&E|`#VkF|9Ad&_O<ZgwEMd~pFR7Uc__`U`Rwb-k)L18-;{q(zV^l& z^M9(vpZ|SIFrI%uX74`MIhVRWzxu~u_W9ZV)Vy`~+t1oGpZ#pV{n_9DC-%)ezTxKg zyp`u>Z<u-h!GBx(Yc{u5y!)QG`TmdfXU=|pelX3h_3Zk5<LrC8L%*H<ZQXQsU-j%W zXMe9|oc)u<?7n?)w%oOC_y06cuB`u>usQy|-?L|b-ycewm#be~{I|HmW&Qr<GiR^& zCmMe*o3gXA#`ngV^tPX$1Jh=FUOd-g{`>oOIp3tMPv5bzm&<t;e8cA7Ow;#w8(%*@ zzg#fqTde!DXJ2z6$vHpk>|yJ$_50ap|NS*LC2!yUSWs%NsrOk^`TgA0&(1gASeH-S zSy_KQ(Rlv7t-rpef0z)LFFtej`~Mtf#ozOc%&%W>I9tQ?|NYO6&k|$5o!tX6Xx-JF z+_NjWW*;}-`0Vc8RlgN;zRkT0iUF&fXURAA><`YCd!zXK+3)3`w0t);?_NDPA=cFQ zuC077w<-VLea4(`#W!l|uYQ}dZ|3psZ?^pW`~Gg>d0*KB2kTYx^E!_0K5+*W+LznT zfO2*GP5<}jEJ5MD782gy42$a0&Mv;a>NhCTvaIv%)?NI#={+dWox`6QXWzT+_f5S0 zlugsweY^LbIr}&p6c~S#Q|q(N9+pk9zvuqU_Qsp*<x?sjoV;Ut=Jn)5FKtuoHa<IC zyXv}m)8f6V`RhM?t*o`)FjGJA^S={oe^%JvG(R8Db^N!N+?(@1ZT788e73a>6wL?H z>WdoAoV`B(5a-?x4(Fc#ss`04k81AC*UNdfa^~lMb0R*!J9zaqcjD&vGC^~%CmPEi ze)e~E)aM5mAldYAO5VNw;Lx%8pPYT~BdADXzVYVyhRXVDeAD;M<WKzkPb%{BH`}H8 z_x6L!;?MuiuKoGL<EHuZ<pnh@PYwnydiJq2(RjUV?B8E~iQn8eRQ^fMzGvCC{`_nC z1JC}*m|c(0{`A$No@4g&{FJk|k9*zUQ*XV&vYvBxynS)@Jelyl`xJA&MIQ&(f8rY| z|Ld;(`5^8pxHRW9GT;AiJJ07IAou94`T4=+rg?uoi~IL!d)|hhe}5otU(5gRe<D6V zvD=hi_gCUj+Wyj@(tB-ZYZA{+zgANazi#sR`Jh@M>+2s-=KN8AzTdRpKW%>ee)bJF z^Y8gTd-nS@D4x&$F3vmq`}_3T&;R-pH^1Ks3KUS1-|+L_=`}weG+uq}|KJ1Toa(L> zH}fUa?Ap&hj*j^JBjnuk-^C2GKhIts`W&3e<^JE9_1%B{J5bg<H(_UG9Vl}qeExTO zP34towV(fdOWb_FV#1lT->0+B{wZSie*R3;_j5IWKl`l>%AV8Coc-<(sycJ(YG*`! z{?~l-`CrMy2XEHgZSR<MeLLsupFC#k^V7~QZZEQ1pD%CryVtMu9Vp42eQmw@+1}z+ zzXfx?-7N;?gSJGu`z&Vb-(Q*4&Of>K^RI6S#dUveX3oBTy!EV2>)F@F8=u{6KfSNA z*6GF@>;4HlE9<X7QpVJ%&v5~J_i^Oe2Nit}T$HvSTwGkY-}>y~;?(;#^`K-cA3S&W zhMCK+)g+zW9i8&O{(OJpy8D$mU;kL;oRdHN?5FqI$`2ObD*u-!ZjP_sbLQ;t+l^;y zSZ05}eq&bou1)#->X{(v>*_oOP~rXTul=TH4_U9iet+=a&q>$y*VNUDn|&5B>(5U* zyW4+C?dPwcLc;&i>(75<+t1eU%zm!E_1Qt!P5E;5*A1@6bEoFro6nbXPX6Gtud>mf z&rM!^UHm|r{q|>DmlxR9{8h}^x8MERp1&dIo}ZVOy)kFMN%6e-cV?;kPp<v^Pwr5f z{f>xd&t8{5e0EuNy{+??`t$stDy!yy-`dLM>c5};Hg7mvmv=V%{1i}D*lfS)+1qr_ z`!@UF^~|T7o964^3z+?0`~1Y6n)<DY#_<Q9{oTFgS@ZK#`zrsdZn*h<zIyTJ=hH#y z@5Y|};@52I_Pv??em!H(x9Is3=kGqjcjr%bPt@bhhfdlZdZ&8mo_lL?#Yf49IXa(j zrng=`&$)a$hx&YRxxUuL`W(mS3-j&Mxbu6@hWeEs{#-V&zy7$s=db?hnvyMl_I^3> z=uFac?W_Cp3o{CnK3;irC+Yp_tDl*>le>ev#l?0%dp@P2=H~UMHJ`svK4-q_O|(qZ zoCuq(7Ta&k4mr=S)2HjF8yE4WZgW-K?MEjbO*}gD=(+8yp8phU7gHDW*FC5EZC|Xd z{RWF|7F#P4H=edHH(4Kl`_YH4SJ%CD=0(l9U9<F!`L{{$+4G}p_2H_{m(F}$zCYe} ze{NyQN3i==y{g=Ibm7s?qru(9-FyG9o+lq66Fnzt&xRXMpR=6(d~w^2{}XHW{(Sx9 zD~GNh$l&NVe|J>HB^2fqW_>)AG`;>@>bmv0g(-!9F2DLbJ!;PeixW%i_xaTAg}VRW zqFwj5S!}9EDNNh=`80}U@u3KBt_we(9W4`Sv&mxfjfc<8&i=l--C~Qy#)_<s*3(~~ z{`q`i?u|L}k)J1;o?o-GIQOfKyxH^0`UyK99(Z))(UnK7N8goR{d`NzU94Z{oKD%l z2;1{e?S*+8x356>>2L7YJ==~>JgVGn+&$Mm{QPHKKDe)zR@K3L_5IhY%G_?{Zg;VC zF>CV>BxN?gSI@D(6>jIBWzNMP-ZHyjQ-6WD+#6jPoz>UH!Qoc%Y5S{xmmVFvzxs3E z(R=nO`)oR1H;S`!9slr$;i1SmsY8!vr_^tm{XxcTzucAok$->bcHgZ(Y4iBId#=UL zT{U*5dDANIPX27Yp?F4t{5siFUl05|cxdsSUtf*8=hlZm-*@!y?HzXi{#;#q(mvg^ z{vX#iVZZW8^?Yyh^<~~Fy?Li_XYcF+zU{9M-Rv{Uf2TF)oywbcYIEM`#N3Xx*wtL{ ze%%ITo4YgrT66!-7mJH{b8o3tJ}lgKW`DK0|LDS_Bac=d-FbB0&z;P2{s|j*udb>6 z{GAyd9J@o2g5%BGI7AxU?T!?<yHNu7<M$QMzH;gG>B_y0+&k|~Qv3Yd2G4(*z1RKy zSp39yXO7u*kPOm4KiS}S<JsR~>&+qQdUt#&JguhxM@~Mr|KdK^pKm|9@#w{aMYenQ zpLlHuN<5ZJZhc2Y>c?W+XH`t#9JjnZbpHK2N&WM08=m(s@_)Jg+mz$>pxkD?=oUP; z$wk_N5;G)gtU$JI@8Z?Z*~N~FEf>?*Df{{S$FraL=1*%r|8jvOxxTgU*pG7_|32Zm zdE42aD^24giogGORd@RlhuQO1^|1X=|D9j|4sOW%U?jVipxE_03@K-XA##T0&Z>Dx zrAZx9Y4UyjyzASb`RwwldC#L`>Ko4doqpnbG<V*<h#l<J*@v8Wd{lb)r19$K<zmmp zs+WiS-Wt66&Xyh79}hhGU~=>Q{z<PJ7l(8BKfHIkwb-I&=7&!uH^0x<y<@Ss%2u}f zw-|fw%Xa-Ke<i+s6Mtvt@$7I!1%vu}!FdOo!@2bz{s=wPZM^#W<9VS^LHX;g@RRe6 zlmDNXSDZ9;`JMdyXNKReOXn5s-}CUyBfIaXXIH-8^6KZim!ao&{J;C<_nr57JO0J} zEPifqKfBm)>yF)9t;+9(Kizor;P2I+Z?Uhk*|fuW&AH<4cKJ2uZp*Lwbm`HP!&fU` zD~EpD7xi@J(Tm$&-T7ZN^|{#b`zy}fzLTFj@BGrEC%3Qqdu{vbJsT<tDofwR2R_|- z)bP9W?DhBeY`S$`=Us9A=9ks?*M3VEbH8&u`<LB!dFgr4GR6Nl&NN@YZr=RJJJ0Kq zE`LwE`tQu6j^E9``#&zus?RFy|6S{Q|F7p(`;8V)_C;O(zC-@{2atlovwJ>vzxo;6 zU417$``5emtNwN#eOOf*w}08Gl}GQ!hd)1;zshEt#RI#m|6|YRuLjA!U-jAQ`|MeN zuRPNGK6%#8!+#gI9T#K2BcJum^c}mY&88iP|ISOB7j5&T&fhq{`2MoQ_TQ$w{knYr zqH6b;zT0o|cj8gmck4|)-+83?J$zQ>?BA-q`nrBQ;xC<vzVkn$BJpFxZ~3!#p4+({ zw)}1`C0As>_~EzxtNY6{3lIJ6Ty}rg(p&KnHaAwB`};qvGOw^EyY$|kwWnqtt$oLC za{Y1P{kacSzyFr>+p+(ALHF;kJo-9v1^egC{C%fBy&|vh%-`~~dr#`D4ujM$H!kk~ z{g~&xPTvmub7!RQJWs30dsqMXv#r_p-3NV(|4Yq@ykq`-z2WyWe=|Oud354V|FJ!Z z@AR9Oi+w-yH}y<x@qP)LjTR=~yA9XR{(bm?;`ipO`%7;;d!K&m&i&L1kaJ_x?mep0 zJ<Q$BerJ8^vpU~8xl<qRJgV6JYR}Hvsm9&EtwWyQ>kFM1Ip<yQ-16{MZ{9>deOVX! z?rnVP_Q0oa8nnLiuh~={Fg0Dwojv?{uk$LKtvkvyO5e$aJ?%WYFnsQH{`Ey!h2Q60 z-C681b-8=k&f|ZrpM5RuUw2Mjto?Y%^RH=BgS&Sh4|{&DFjOvDMkapl^>?99Cmx+E zyz29vM_-P-+H?A^*0YaR-&dXk#bABXudTnsek*rd-|<iSwe#rV$*X_ozT-FiyY%SE zzpMX-tv<!w?asgEob~b$%k32vS*7>v)}He2o_u-r=WCLoaS=8d;m`jyud>;0VYk^T ze_rU*Gmlz+%b)pty~<<j{tXo$F1)()J_HnHex>(pwu7V0+~E4-x#4fm>-5RR%{7+` ze7f?e;dka4pJ)H6*$>Ka_V@Fj$=R>ZuShFAa{l$~%I5j|&26^sIDY>A?4762UzVO1 zAyc-xfBm`h$7k1N75;C^y1#qFDdX<N>S51$>+0^#vERC*JaFs#PoG~bv)^b@Q?xby z`Sa7h_FH$HKHook=i&3+(sI#vcJHX#clvz&?0-idZ3usR{byy~Wq;jwcB^ld|M;16 zSw33EX8Eo4A3tAPX1{62;q$?>EAu{1n7`cY^RM?$-%o6k?=VYvo|Pz`w^+Eup`LB~ z3;%~QZ~r=;n|bN|VZpx~atnK3FNvSsY4*^tmY?r}<g3f`4|D&LeDf#p-0Q`*znFI% z{Cc#YtL^`ky+`l6DqH+tUBEB5=-qym{CgU&4t`x)!2E7O@Z$dye)6olt0S|J`B`m6 z&o{Ss&)z$W|EW!opZ8v&lBNH`F?E&vJ4(;Z9}0r(n3?#i_{QD9b0-s?Pd-#z;!w{} z{^EE+mzlk4b?`6U3a9(+&Lw@<%%1$3Uf@&DxO@JzV)hmO^&IBqlPa0~FJ4Pmd4KW! z0n6vxA9BPlQvS8|{HcEvJ{Lcmck-g;UqhKo@&_ev?Q}U;{9n6&JNNbSX*LbXTg;~I zao*3DKIi=bJ1e*3U&1@i{?y##uzUXG&+1>Q3+C5xsAv5BeChm4^9NJ*ac{rKd23Io z+<P9scM8va9?HC}b^J7;PCl-v4&)Q@rS?nix7eQh{(xcbLdn0Dcb3jScy4LYyC<oi zzTdI4axE_TRy1?_MV`_)#mb6v_h#QefB3J9@{Y>xGu3H*yPkXLFMfYe@h{sQ$K@H@ z56amrv2V`ifBbs!*T1dF7K`t<A74>E@5`+(wLA7HUccY6JEKkSrTzo6zm_reJLXtB zq{sXhcz4<Vf#$8MmN%+jtP8yM^SZwfdRuI})&11JNp<J$D9bNe%e=(AZSVh6k7W-^ z-r`&$e)0XG*k6n?i?_Oa))y?uko9|Ey`jIax9A_syo>%1S#Q;DnX`Mr{pPvRmG*}? zqw0IUNXPgy=Ups+XlCWe{L9#4k$r3NmhG<R*2}8gYCrx(xuV5PPTO{Vop9U*a3BP) zOXoAsn|yrs!E=v){L1M6%wFJN-xj>(XT?qFi}?qcf7!__D!%gYXZ)eyU)(p|p4!P= za_*<|j<Ct#*c4VN=NEf>!0xY^%tG%i&il*uz4`j$`5D_!ic**54=evtws5u<KT#Ry zyoLMxOZI}EYkE`ScJ0rQE!!?!mT>>eg+B>1{U7rE<=o?PSluhXq9cRl_!m$}`%j9S zypP@gh4}+MD_7@Vl5;N4Ke)B~$FB@G`?lG)Pw(OH57eKsr*6dx{`N1k3&hV}F#F4D zv&6prwg0rwNn8Bw8;yT4S2X?dpSX8Qo%Fi*3YFaN7IK#ydOh`U?l12hA46{X2Yi=1 zq<o_$j_;x5t-4uXtiCYcsEI3kU}sge>r3)4%^bV`$3DpL>P`FCQpYj-{C+(fhq>t< z_IHA>w4Qw)FPpbec*|R_dbZi;_iN5s+?(#D|Ga9}hMzW{LFr2K`T4}pmD3KM+x+B? z-L56}4QHQ6@+{Bzd~?(DH@df)&OV>X-~K}8>$ge&gyiHE_B7mm&cS~{@2_Hx-Fcyk zu5I(Qt2zJj<=CCSRnVe$QS$Gt&nfq7<~;=m>c@)X8-ChIAC$aRxo?j7viq%PpGPtr z&(NJz*Ig%THb0&3&En(|$9ksO=Qm$DbMYPblRwAo7TMmYnb%s-bS++E@4nM=Id<n` zcJzLWQ`yV-{Cnc(pCSj9OSY-i*1MMQ%|1Vyrz}qA^E2-Ywyx0|e*XFJP#|`J^11Vg zpMP#_k=CF3S!m1V^&dFQ=F2-*v~Bx+X?AfP!|e0@e0SW7uRN`Jp1LXD&OWO^SazY| zUlFtU^2rr_Z2Hqbt9^04QBzm)knz<Xr(c$};%4*ZOLw#`%P6+LIs4GF&&f>s7e7q3 zvHK;MV`pFWL7+5V^{?Fd<?OT1_p{w`+<d)2wz@;_K|=aN%b5Pwz2=?Q%0<6EJSlj{ zcSrZPd!BLPZx5f$J0xi1B>jGp&64{q>0fLu3@mrui2Y`C!}CW~M)Ps;!<w&Rwf5e6 z?pkZ{uc<)z-J-MWJ?wV)Y+*gF`MaRw*Zm1LKUZZ)%hmMO?r7hpH@(I}@a=(<+i$ch zZ}}U0(mlL`?ZXGJZx8Km?Tq^L@w?0J4==R7J(S?B)2aN$d{IE||Ag9#hBD)m>h^+f z557Eqqg~i!kK3o0`Yy^9pR~U{RJ`?j?UT*%-QOO*oKsuT;#NP!=J(tTp7Z|>*x9(v zeXTlQ-zC=K&*lQzyoF!)d*0jaxP`IZd=vln!0+*s-0Q`^KDg)m=CJIR!tN)3XS>cW z_>%waA;Z_KPx`+)%v0VS{u_O>eRoE&`b++8!np@O@*T31S@dtNihr3{?qTM3kbmB( z{rqrlk*vi|?cW70+rCez2@&Ug@G$Sq;o2>mr$2eTySwb+#d&WI8%n)VuiUjggQGwE zMmzh9M-C^|e|JAC_|5Q8^^OIHozIry4H;*JbLF*W8Gk?VSy`2zPrS5G=&g)mRP*eN zw-zUNyLVrExIpvG0lQaoG%73htl%#9Pms@B_%-xo^}Y;dKK~^7yxD*LO>$RXTu@;D zP|n8XIsb$jPW^|G-k(_C*acWvgqpNC@2Ks15?j%5&To1R-}#3pGbg2QC~hnG?YM|h z=0IKaC%!xG>gk?#&FLS0_?%o`(D#df;+|SFu4;a<yo0tSg?&$iE!uC(o}6CrIOdzY z-mOXrF7xxkd4~mO*#&*lv}twkpHRc3fAQI3)qGZsI~7wl@VCFn=zgL(=g`Sy75T%( zTRv8toZavG@YmxOTMLKD>K=AY?ge+I)^MD^@T+x_`8oH8w^$G9-En)Y?m6!Or;Kgj zCq^5`Z2xI{>IAv;6WPCAe6jLU_d^N3`AU`Ya*G!~_nc?P-a7d?SN}uHTNRB@&OTpU zU@P?P0fTRx%1;itgCDt7<qsKe`6qNzI$I;AdgBJ}?H70GS2C#=d<i<q{()ufUZ+pL zP6t$QT5srgTR$m|=Xk*nuamz&NW|`Q`*dsd#fTC<P*MA#)TsXf2Vb0aCBNLF&*mQc zTBd(^^L3Jb!uQ@o&5aox{12bbR`GwJxvOIKlb_K67S*di2<7dG-SOM+qTHQk>-Q6E zT7*p=yM1Nd)3E&gq<=E&_DApd9CuOgPHXi0i8c+%CBN)W8e249UOVahgIm8_qn$7E z-D#XHKVc8Ad&V!tlgmGd$khgX;@smhTYl=EuZbdgH7+;WolCwHo(#5Vo!swf*Y-T) z@#IP7?iw-wTQ;z>zj$LjssDlHoj+TiNWQ6=_V;|}ujvamSc~NyiY@uUdh+-O3AviM zPl9t6zDu1{9q*F(Jm?|Y9Y^++Pn;__zVBD5WbzMuzIxK`c(;c#>kjK~`EUA?_W|Rb z+iI0O%QOD*o}9aWvG7IKnC96T@1u?0AFkc;+xMh$MT?%^ber~Ki{IOxc<(4|E%@#Q z_Wb>cAkUvs>91=$bnv_-yWFDY(v#EG+Qs)zv1weMQMC5SPt!%p6+fjPD%v==U-yjr zJ@<vgx%%^Va~3Y%@9|E@C3wfT^luL>t>V1m_B)m^S(|U-*T3*({-oXY;&TsuoqeNy z`im#_lg#tP<{o^v|7Lq}N!9Ep_u4Yp%=1#^*If-W`@i!`j{C)DWs8b$+;+5Ro;$Z? zce%*da<R7uCtGv07c{l)_ORPKIfKRj-61<0m+IZ#cDrY1$j^Is%Ff0~Is8)&|3!&; zcbh@Yw(nDG_Dz4maPC{8yx+wi4kyKbcdC8ZbI{Jlk-gn>pN&Tehdy7T{JcdcCr)}k z?*&KPy~(u|ZG8Px_mpjUA#tuhQU2V8i+fee`^0@8-r{_7xVWSy{^aX@FIdX_lH}tS ztey7c-N{9=6&3am&14q7y{sZ{>tNE*zI+4!_lxIdPU`m;e|u=L+3$iLHvXwLw%#T! z$NAIb<rduitTMmErMjR>?{`5{TmPh*Uj-LA=GjcDt?2kBr~dQJ#YMFhyIMiTqPWLA z+c=Z9bpHf-xy642KK*Q6#B5P5^t+(znE&*ek0}>f=GjlJt!Uz#r~30#(nZBRFE;Y~ zUp)3%_56I@TP?rOi++2+@b#KXzjC1byZsYtcXXS@tNna%bdjz_9k`HRKh36cXNI)g zo=KoWF;4&I2h&Be7L~f7LXmw^|2+k%1BcBwf_!7GBER2t3wQs$V|Fr&4_2%A-`A8n z^tc67$lELYe6n|utwpUo$UE{AYpg_iADnEz(cYf%UU<@ZJFQ#o%jMJM<rdyuty;cc z|5kJMePO<bENlNeeB%4d_U3Z=Lo=y6Dt~|7x8OgQFY@jX^Oc{=pXC11yAxBycgIP3 z_xs&HEoa{K-NNZ#Cio51l=!;q%<}?2`{uc}DevyNmy|I*KlpNQi*y0|+(qa1rp`O) z{mXbq*`zbi{Y8Awi^m>X%iSuz;kU}ecbi?aZ7<5%R4yyvP|r9$@%OSz)&>7XWEP&? ztRg>G^VMO+TUN7ccksGrY}>y5=b!81m!c0GKHd5}ZD0SFq%FSo(B_l&CiCBu=Ui`l z9nP`+f!r>K-*e`J+fN(U&zZeI^RM8Y<@PP<8P)bTUl-Xj&bjpdK<$=o`@cljPkX)g zu=g)eTja_@`^LTRPt<YgU&wPl7hm+(c89lpi}04ZhA+qG+;0&6rFX|o{YBG1o_QDN zAF{k<9dK@atp4@e?Q^wTK`m9jNp+9)jq(ra{*sefV0`$?^8y$9=DE#J@7P%_ux~j2 zCE3DtcKeBYQ|h?mE_{C|Fn6)7+<T!spQj&n621pmmN)dh;D6Xq2kLetZhC(C{Q>4* zxfV{-U(BgH`}pI9^E0cTIA7W8xUQV%`aW%&CgUx|JLZUgtb1|D?k_{%#ap&Z??3!w zb!RcG+fm_S-zuK*ox`%;X+Qh<m+b{j*ZQZ_`R!-ieSh-t*N1XTn$+qi{p5Lf0qhg6 zbKhTle>kI#rQhd$#>@8lsh?{roc42;U*uVPU*j+9oXhiP|1>Ph>*2GsZ=8L9`d>yH z$G^+oq<*<w;IW_e`vulp&#H<lJnA{k`=%BPZ}G2ZN&nLRfhSj9y;}1x!@79Y>-QUf zXY}d4v_5{iZgw4S-Xi5I(Wfdk?<}`(d!A9CZ@K>DXW>Jde?je5;U)6_-OiOKey)sc zkIv}wd*Pq>xze(6`ikmBbLL<Ep78nS^#<z~BDv?DRvF!>`FHlgEdA$hpqBR8=kfA# zi_iW(5m&W#&i$sd&*$^Ezj&bXvVD$y%h~6Vpm42S`u=a&x%UU2eO|*0>dRbOzxLF= zpEjpKVQcbh?i~BJv(ImGoV#%DWKrCqpRqS;=CKy^TzjYfSMslw%pcc%^O%3XkSN_T zk2A;ad{9MW*?axx+h2T7_*^M=h%+kxW6g#91J6F6X}$e|CHGI<x^lMJ=QnH4S-hA1 z#Lwh&?+-uwtkdM4@wo94zr}ve+2@VVpSdV$wZOjp?DI%A>*XhQ)|Q+E&3v8TEa7+Y z=gLjtzng9Dspi<t7u!%h>r2$~?!Fi037;!3w<(uYw0&vc^Z)pUpMN9{N=j{6`aVwm zy6~ZApBHoU2RwJYH2*?*;^&`%px`S51>aA@#_1Uq%jU>m?oasq^I~)Rit1@!ihs%F z*x5^gGUr+QQ;*LkeEw<JoSlApr=ZlO{>0BeFSZ|F@jc<D^d(TU`(n%PjI=5Ln9SzO z7w`BdFi*VX+;7Fkv(Jw+%v<obIOY8Rtv2@<=KXWn!TkN=lZlt!{||bmyyK@os2+OW z|NP@Wr)S<bBE{nl<b_u;n=H0(iT)y7k@ZRaL|j4doa=4MC7paTo^L4M_eND;@a<lY z5|-!Lhny?g_~I2S*XmofeV)FNKmCQqv^$kkGq|qD9k#P^Kb}8j&p)e+oNx9t)>bqw z%b$9ucJm97b^BXuD?0t|sa8IIyU6%Pt@`hRKELf=@AgKUG)uovm0!1)|KEa)&wm#c z-;m}?-|$)SNAjY7?XKblpBEo0-tn*SNARMx6JH2@v)A0yaM%BE^2KlIF2y@aJ3k2J z)%aQL3fc0x@}k7KeF}Hlk7xYXo^)PcSoT2VDZ4p~KANk<*MyohK95h9pSPg-v}(Mc zOZ$gM(Qgh1oBUk&<frH&<%&wx-ye8n<8&+E{$7-9vA?U<!r9$^+MSu5%L?AQgQ~DO zo^k(IzF;Y<J7>4Y;c~W0dA+7p>+9EV4ttk;iasg5UvTchcU#{awzT@Y_{qKZuEskG zWq%jsD$2y@-}*B-gJXHU*t<i_TYj6LR5$PV_TY=#w}&je|5bl}dA~@|W@jg;63d%d zQ?@xne%`;?ph|4(;ivDl%~RwKZ;rm%emtXI{pI=X!m<Z$@*OgqGwaU8nvKR>{_hoR z+FzH8yt@;*g}eOWwYe(%4<zQ^3;o1%?!gy5P!mh<(Ar%Y?C%bo-R*g=P1)qGFSzNt z+sm$TddBB>leU++KRhM}YUeMuRrP-;;`dJRr^LL)2kj=A$6gfh`!4kE5Oc|%`A;T` zipagwo^y!%inT*geZ5GTG^oeK$3Lltr~l!>#!3ATcuM)F-m#Wwo$K5pUBE6|F^T`7 z;vIXRPb~8mofDk2yM1v1-}Q%Lx9q*Dm@62*_f4^B3pTNLD|()>MRQj}c7gC)S@q9$ zciML!_mVrPTvDiive=@1^JCTcL%qT+cc-l=w-+gUr+=rx`o)7ru+jAmPdIH{=U!GR z_jiP}-Rj%Euzg^7H&+kbc2hVx*Q{#U3#N4keYccvJM+6h{@pxP&;Zm?XO;60WzNaz z{1nQw3E5HIm%;z;;M~3^igOm+JggFbfV-k<-IL-Sb@K|I3oeS?!M$Bhuae<-#@maN z(hFqYu}!kx(9hOC)ux7rYx{PN@`sF8)q9>4SMd4EsaLXD$3Fb_6V$!<C#>@RKy69U z!Y9TRZ2kHZYPg=SsGs=ct@@%3-&G!p-EltdKdpwz|3RWgC6|7{`^-td{T&m(uLK9G z{xoo)URISqDEZ1Rxahs4#+xtTK(&efq-fLf`}`zObMp1iNxRPrzCCdGIoI}w9KLZH zKQ){l{$K@V%xm#Fm5*IDVxoo19-2w*5BbF6_uz%<B>o4SQPn%2e6@r2FQ$U~7x9Xf z@^Xt$hkM6Wi~f?jXgSCD`-#KXPuVmnTXd+tKj>WYuj=IV4?^?y`h8-3v(M3@VsZvY zd%^GCNg%&Vd)T!<|M2AOr1TA+#eOs|>gHeYp+v)`{k6Q%x>}zt+}9sIPzHsV)%-~| ze|D_kF1J^VX^+mR)v0`cc@eM0AD!O?(xv)SZGO#J!Tx>U0lPbn((x1b)X&Ti?h68S zP4_8Q{s|3~e^=iNYJ}-euQ3qJJ@|3^jdtfN|JOY^DY_`PqCoX`0e^0+?#~a^ppI!* zt%Xy%{iK>N%oi>1{8?DQW^LbAulMS|O9`WSy_!w?Yso!&w|=$05c*cHx~Ji8_JeHK z+xx}NKD-uF!D(K{9j|`t=iH39{fpk(cRV{|`{|GVBzEq==T#Ta&3DZ%5MKM&_tQJx zMWq%y0&g_dUimrw$;11uu{)}Te;07Cy{}aHKJ6l#%)i;SJ9>9*t<L*bsda0@PWJD4 zsq*g@^v+#!|GwWAPIqgL>Vk&8_ZpRZHost5XMIR>kHh6ymGbvGR;{ngQ|03pO}?v| zf5kO@!)|F%`51e0@9yrjf@J@14{ybN*th8HUf1Uvwkyp!c&_e4<D!567IE*WZ1^Cv zPM$?x?Uq$U3Fq&2F8ze-&HW;|@_MrluT^fDUhr7sN5sW*7Z+`P>~h=p;+&oC^X^A~ zdQcJg+~wjq&PAp>I<JMPzW=qT)WX8^Mq_Qsg-JFxktH1Z@73oV2sW|w{q%<sR6ufp z3dnhym2Y`28qV2%v)#DlOTfvwn_Yu<d=mh5TkQNl{jyvne4|q0_Xj3ldDWf2IWB6( z@PoT8g_F$Xl&zZY9^b_O{KXfhlY7@?u$iArmtPml(Z1-cde^zc_eu|W%I5Ld$6l%L zdcl-u=Uc&dUB7L<>aD7_7ee3WgNpog?(_FlrS`{`@O{6hHs_$9(j9m8FYF&W>UfsF z_>%pmw)OLa52p_q@Ay{wki+-o@edQ~<mDDOm)^|&?^MEJZv2pC-lDbHD(^49KcEO2 zWBmBdJ?G!AJG**6C%g}P2x>FQE|I^Oe>nD+?vD1dzKQ$Fmt=^`$*am7Ftm!Ex~E+J zqUD`E>kHVd)w%oYE7qTV{r!SS?1I^c|DXTA^wWC5wY_=szrIswJyhNItMa6J`ISAz z>R->zz3T5}x2yZv+1;z+r~D}pKij-}<@)Pa{k`|?U;XS~-mB@V_P1g`zmt0<T^@da z%Kwy?&yt_ppWphj_-8mz-mj2<Uu$RAY5mvQ;Cnvz&+K{8HfDc4>i!g8F8?Wb;?d8N z@0ZtGYyYgMKO4>awen;?{{@>T``7RKDJ8q)=bk6a*|Y8JTxyF}{F?bES-(<e-ZSQ( zZ%?G1(|<a9pJx7M-}iDW|K19G^?31B{r*7vyjAaISMRHw^UL)lfB$ux3ERIuyFT|p z_x7gh{I_2|y3U)sqVlwO{F9TlChO-eseGPtcJA+$^QY95)SsQL`?Y(Lyq&}62aT^@ zFJCc#TFw8Ev*JG<S&1*tzGvxNGx0b7r5aKDeYUS2sBiy$Z04<#UUdc2o}Jx%^*(p} zoXf}jyFNB||6iSJ_uTqXcVGAXJMYAop8KG<oqhZN^%eeX{CBs^-ght1Ui;_#2b*sn ze_Xspc;4!L9}T`np5#AwdC%X1uUp+$_<QgB?s0an!{0qmCc9_etK3rc-0;<M)%*7s z?ff6{_4FipTffim9$(!Z`*riA{`m_kU)O-bOaFq+o`BlNov$`elDF~v{6y*N+<?8m zQ@-8{zVh2Y^nTT>s^>3XsjJ%Wiuioc_=>yj*SqPf^6kBA-`;#Bu4-T1_v~fyRsQ+Q z?dtaZid$k=(fI2c_tk3sp!oRU`P2XWIXcTfeo@_@ps$|swf|FJ9iG1W{T`>i|GmEU zhOaD-TU!_T>1MXc{3Ea2*N56y%>DJ^>#N=Q0r%?`|9Uq2%I^HIc<B}KQ~tcsopn4v z^nTUsUwfV$&%bU{)Bfw2^;PTlLHl)n>P)OD+HLV(^XK<2f9{*B?2e1?f7Wwfz4GDV z@2m4`9BRWpO<wqHGXJzc?N|Ek*3^9v{Cd&-Xn+3nAIYz7&%fsP+4$Ap^Q-b>7Twe2 z+wr&lsHwiU-S0bTduICEt*`sS`n6eq)%&<r|D0+HV_N3ld3Sqy=JIc<Uo%gBH=CcZ zQ||rgTQjHEd=fot9UuBWC)i%|=ZoxF=KI&x1%5i5pCK2saNj@Kua_sik6*ddK6Jm% z&x_@z@9X^c{;mbZ!1_yjzSh6`JV`!&ZRHQ<ue~#Wt$D(3e`U{K<*!@iSA!x``0U>K ztL9Iy`DA?7+CKb!$}4qM`M*w|pXp!K_p1A$eYUyu>(}fn{@(lkXP^Che?|PXKN;&P zzejz2mw)xVm)*a)&(_{wecl^%LjU2{SIqydu+#o|(CPEH`B$$0TVtpD^Ip8k_jTd+ z`ahqrowcqHeSc>~UC^hCL7$)9zXCG9{A_dmiuL!G)J1*zTc7przxUrwPu$J3@14E6 z`+u0d_Rk;ov$p32&Y%9L!uj)u{ja3AuR8BlS1|k8+goL`1O7yX-}bAG`n310>G!e# zd;Om&?q{v7SC*HBfdYwdmbok_zuXJ_{J`(k>+&#r-JkzrO}@WhRTuebajePvd%k<4 z!|k<yR)n98-o5g?cio=d&mMxyhxt?gJdm5U{d-7!@zv$3_iuX_=jVU@JSqOo;-5vX zwfolpy7lkX<w@^NO}_I5+_wqXTR-8~wS%v2x373Fv&zoGr}lcVyxh8d)h$)uJwTDr ze`!zY7ErP}o*_4H!M?)yuaVw=AC!E3yZP$%bD{I?f@<Gye6^SR%6aFnCy&0eJ|Fmg zPr%<NOJ6MxUzxuzuI6KP^RwM1@l*a7T=e^X_g3Nk#g#ql@7MMH4t%@jfw_I1-Ljv3 zKa}F9e0uHu^UdR1|2g-)Sgw3_`@`;<ADn0HoAf{Zm^^DgWBil7{+a(|Y9_MJzgEM& z??m+ci+@6DCRWeC`p2VY;&uItHT*RbpD(RhJmviHTmNnMz3{hR{xkH4l6-yKXZ=Ur zHb0x5MSpaas}218Y$+&H?(zBj!28wfmA^7ie&4@l=YP?!&NUzFGU|Ol8}2*N-1$uS zPul<Av-&@}zWeX<S#jTqz1gPn54!K{jr(l3@5J2Mrtcqi+dSWY)^gw7_CMv8-8Coc z4{!Q0hwI1taIv3F|F0!ajPJi+v-i&1eE%ok&-~qc<#+XJP}-9}yIcF!-P)t#*C#*h zUT=EC-}>#}tJkG&&418cSJF^6iyc(@e^5Bv+<f&qf1v$Q@$16!t14d`fJ!0Tvxg5~ zHGj7fltcw*ZSNO}uhD(3@in;S<BnhM+4t?;K#9-y?C#^M%KL*siLc^p^!K3o)BpTn zIeWNzb^aVs;%;1D`P=SQw)#qc?|tR*XZMDF{XEIv@7kVX`>*Hzg6g2G%zHHrpu$~# zMP)(PuU{)c#iPA%@&6J~@i=#3<#yeD6~5B^LH5VR*Jl<j`(~ZKviux4$sU|#F24wr zuiLZaO0HZ#9|%gaJ7@jo4+iDy%30;|>+aRI{E9qzy#LA`(G{R<r*ZbM`|5lfx7x5z z&APL;%dg(|%>q<($gSUbT{M1=7<bLbKm79-RDQ4d+RI&HuKn|1>#XhbSM2+y0#c=S z=??eR^WJuqo1V>GzM_2IDp2OUY?5ym`*+ckcJXXE8|T`+%R!klJ?ou4ICGxAw&%CZ z*LTIB++R2E+1lrz+%J<Ux6h&WaVw~N-{bN5+pJ%=y00!*mEY^~*|g^4Owm0d#oO1{ zvBsBt3Yxdi$NKgMSM|!B&kkx|$v-GA`+w>zeeb$2T4#5!4|~54<dfGw9-T3{=JzG) zYxa*t!h8IRzkhud{=rpU|LIHVEt`4cOD36T$?fs{D^_#y<NY;1H$8D@KD#?V@cq8f z+OSU`&0lhFb>3T7#~WYLRG#r}U+`b9eJ`FYwW*z6w(ViJ-=vItmD{VH+k%R^z2U|6 ztAB;o6fXO1cXson_~YVpzx=(`X}18ZdEv8n>{r)6==O^{b(udiU3%XO75Qs>J_~+5 z=MIYMUo)QlJAQTjqi*B76Q4z%T>rS+?-%FWFLo>M{hk79n#{kp=d<M3bLk+pyIej$ zivWe{{L3~!_Wb%K4lYw&&;Iqk`doE?qrO~n+03#@`YZ2u>01S^+W9~A>*^n8pMTb# zm9DzK?|zNl{#A1`X8$s+xyZS1+0NgkU$uWMnmql}7wKC!+w`qYx_o{x`_=Xb-F^Rc z&wieC|A6@1H@9zj>V@wYjW1cGZSsBp;yTgzk~`X_=e_D=<4Z2BEL-$`Rs3P`xeuym z?Y<wrze(S!QqzC^rE_LA7d`*^e}0hlHTr|=@&Ap_Do^G===L+a?Dxs}YxGA~^<NX8 z{p$z$x$n0yEWnoE>a1G^4zT&z@6upVr4Na!_$;|UUf`&@ZhGFkjyb+$Q}&k0f1>_| z)m-eXTfEage1Dg|)w``=kLp{!%-<^f*YB^?z85U}uiyFG{7bav;z{qys=45(`{aJM zx%HRsk42CFdw$;Y#QcM+`tQwUllHFw1>421vwoYeh(9VW`+YskwBB2t(_UTw;Hv&( z_Oo~QVR2cX0gg-aOu0Y7V5j|GS{e6A`p2Tlch}5SfrMIp_PhV?f3^0#*s(oh-qb%< z`(7-OzSa3}HQ1lgrses;&``^^zBBK{{f#+?#pkA2pKX476_lv!*`e`}<Nj8~8XT(^ z&&~Q>9su&}y2X{Cbh>Ery+G@WbFZv_*zH%4e)jI}74Zkf=kA%Zy!<LS9?CA-?3fMq zEIj05w@!YutWJC13zyy5@7^y2N9yiusPn#G@Y}K+oJ3;I-raiznr`=Q1?QMr6aFx8 zcB_wvxy=5p%J0>nfD3dk{?G7r^^Zl5<yJslCbwkniv)1?lUcO0dIc;NW`JYiQKp>C zx;pNCFMfQ#Vx#|)x8~x>z6*XuOMb2Wu}D~U>CXBszd~y+7WQAX(f(OlbJ275t<JvC z{cZYI2McHIKE4|2%kPlX=6Atn$9AwU=dXZ9!p~ct?-ql7sh;`nemFQ1R>NZS<gLzk z%fXTGJNw->m@nBOG0K0%#=;dGqyAT+F7vzWSF{W4vbhU)-VXzX|GQ=rXg)CBDm-^7 z*s#r}<??HwMU<Tjq=;Ja%+Vce*!hc4!_Hmx`(yyl2Uh;Y{~x{z|LA(Wwgr~=J>I%B zg2P{K>CWF7uq<i_$)aLsn~$%$-=uH#@39Fq9~{qCf4K@20T+c$zMqHW16G(nTW@vF zTLE^Odgi;hB~YieK@6L0%0F)rI0oSUoVRT5lb5fyKkD`?+4$_A@s;(Dy8CWlhQ`4B zm2+Q2g7ZPewrB5(VWIXs3!D$mU$&{(2aW>wS>VFR>L&M=$$J98Su}3R&i%{42~8Z9 z(DX0*eF*|5jxVO=@yno2+ZO`~wbo|`wZVDde<;kf)mta;aRZx{boTG{Rp2aI3iIge zt-^a9!5KdP%AMND(4_V&?JYP*EvWn;1}=;0S3}F9Z)R^*@*#P&JmcN|U~uwye+lYS z;kPdD!@y~++NAvcYN*@tAUW#Z^2!f&VAK9LKut5d;`hlB9HYM`Jp0!UDcJ;JCEMa# zopz9ttv~x+A1v7XA&G7N6`LPZz`<4@1uKkt$|jwMM5*em-^t)SRp<A4&6DdNUDf~Y zDO;q!0$l2L&)PkG6*M1Ahg2-_mux&B!FC!JY~fob*Mx$DZCmEMdS9?d<F7+Kx_{x^ zj78w|k)QRh&Kp|A{oMx6p+!5N9TbIB2(o9P6@u4Wm0*ZR*FF399h^RX*TOui_15J- zBv-9AEw5hy4YqTTB3wR8?vDpJBh;^{gp`wiU93;~U(G)%KKDiXtly9_JZ~Aa@c8Fs zeNq1^s95`;efBQAu95=B=#%TS*!KtSXN@oUvlAKzWoyeO-G_wQdz0_=>%pnb9#-mJ z&s6`l7@V!dX6>#If|k1f*UZiRv1sxi*Ut~Cz%jbt5f%rl%O**KotCk3=YMl>9Q=!g z#X)=7qS@dQ_k;i0yY(xfabTVe&Imi79o!Fz1AAB;=-%=)y8`y-{#n1bLz1o;G}N|i zd;Ipleto!1E+pvQnwFObK;2h<1yY7zvbhln4!ZXXph0JO%k$j|aOqZl>CXPm;G**V zB52Uv3$?ze2hONFW<Se|g~saLP;l0|>H9gM9PHWq&QQ<p-d#4S8dCesoArAg*s$-g zyzu>+-<E!G$c34de-DMa?E7V~%f4N=$yfm{j~HQoZM@~l7X)fD{F9$_Q?$U?0=ARf z_b%s-<mR8pKPD6u2v)zj$j7Ib#Lsr{!2_jlH<}L~bbWJBK|&_xh+MUS#f}bJ36|#O z?uc8<rkUj~uZr59drNC$=<~V1?aqJyYu{gKcmDhT>hn)x^e$)q{y$ya-|NKxmg4^> z)^#plVO?CErCW9UNAcF2S=pO4cUSC+GHWe;v9k1|R@TigzWM8pr%j78+v-@ASX;9q z%IqfZi`vKXS2w-PIk9Zo!SWTU!S_1m-IeeUJgv5sb8qsWol$0IeQ!=NKYaP>Ce0i_ z|J=s#z|+g}tBg;BjnRGCy7t4uteYv@mwoT84>`TexYajTx~4tK>}l@Hn{PjeY|RO> zgu3STX3h5v%U7oc-<UXWRu{yWVs*34S2nGD>!bgsi9htT+UtwIkJ+zEy?k@3Z>~Am zn7c1;D%!Z}&b}h|rSIeOteYm^Q-bet`-h)iHevblZ^u@zNWE;ncPIbs$gG=JzAY91 zcDQ|2>g2og@0tG#)SZ2fF(-@tk@b~Ln&K~RHiKQme%boi{MD(KZCZR^2E37L+kI^7 z;g>&^Hv}Kief(+SmotGDX6xjA^?eSXOfE=?v79!~mhXFy^ZYm)x#fNbavwi%smhc1 z-Yczs@$clqUm|x5*G+qIZ>2?{RG!pyzva8rAFL~U>r?el=gpkn=wnZfY>WB&dS5@k z#Qpr?lM)%p@N>)4^$*xS{@_%#u_;*m;kLp8L)&uBchcr*7yfz|{xZ5_xGv7`JJXyU zOy<4b;g{~NF1WtK%;|i5@7(Ny(>rQ(ZG(?)Ec|O#^{{Hr9!B?LQ>9<toLz9<V$aOD zJ*>;KcI3+3@j8FE?f0?X+b{pgTGXn)lL&vmsJi^Ii>!IC_xB5Ts|&C1D3iCf7q<TX zcv|64#;RwIGSb(bs~#%OsgZC$Hr4&b&A>axeDX`h<qxksUU=Ig<3h3jgMEdMJ$L;V z@w4x>K6W+z#l6iIA7%2Srq3$*UU|oK-Cl?D`#a`F?nsTP>zr39dHmSd@E5IOe*3zV zAD_zp^5*r9beVI@#P1(iX<m5zNAcG^^=kW$E|YoqGV7+uy=BYq9fU;TPd#uH9(&RH z9h{)<FNY+k&y2I9r0*}B=KIsR>YFk+B^-yQ1pCC`f1>l&P4j(t{mYg750La-cH>OY z>1E%ds~!e})AxC3`mQ;*>>KMoXWiMK-oLnc|FLG)%_X~_=KMdf?2o%mtnTbX^IxpA ztPtIr^J|&;|1;nOuK%)i{{!c%n@%44vhQPm*3FWCr<Q$#q|Eg%ZWe;wbN{96<MUTH zW&UgS{W%|;-{fq+>hI}_GON8j`+u6Tx9;p)^)Fi2e*|Te|K9JV|F4+l`&`;=YyL;s zteaDQe|b}0(G_L3@;%I)1Izv>gZ-sm^{O7~o_er3QAr1P+WY;nbE~s^{Igg5z)eq! zy$WSwvkH>mZ4Tmoe2!uEwMQ0FOy<*$Y*ksO)B1O5VV&fhSh3~P58FOofA!PNIZxHi z*6w__;_3H-RoVrwmlh<s-Z9Zz>rx(mEcWp&tuKF8-H7Df-gA2OW#del^_{P?A0E18 z@$%7$9h>-Uck8{AY=3>RyV+u^U7j?%^o3&SNBatuoxhtOdf8iee8(=%ySGKu%?|rM zzVxi5ocoUHy406HbvJGn&6AwIeOdal2RC-?<K4SK*DtSK`LS2uyt{JWdk)LKI4WD1 zrIjbmZhf)X`tiQPWbs|^AAVW4BRS@7@4L5s!p=J~V{SFSd)K-9*w(!-e%h3MJEr^i zliimy`z*}Xy?57t(?6O0!HFG33bxUpjHOWZF=37s%l6)8=a)MV7u?@bXmQt`X?gdK z<QUsY?_^tr-5)P2%#^xo%U0f-ef-kr<B7+Q>puSU@yi)b3$u0eJ@k1VKk>9MS~th% z{Jf^>V~L${HloY@4(C38P*U}d=Z<;a9MA9P553%6czj3Hvg+p#j_ll#8DrHSH?L3o z`QrynF?$87Md$3`Fz<~Pzr5JHpqlMnOxNz|4}TQh;V$^kb!RtMyYh|-Q@_~e+s6)W z{SqVkJ^xVe?Tz*Pe)b*Ki+B7|`8Lbqqfy?zKJWbG@631pvRt=2`ctoL-;uTJ)pYio zWz>4>e=mGyZDzam&n(41^XC0ZJmmS3ThHYC@y__*-c?VpM_p}wVZLhVbn#{W;okaI zUox{^-c$Obxb@4KMPGccUJCBM7#`^D&vQY2<<jHJ`e)yZoAXya>!$hi1?Pjj*Xw)E zUpY-q<)w1g%cV<Sq_0>iK5d!&s%i70+w4Mh@5WBETQTjOj(fi3^y$mf!wzgLv~|^A z(=l25;R%b)pIoNzm$O>E>Ev3s{>xwfNPRh1_2rI&?X5ZUZZ_S!GjHC#MmfHVldCUe zvtJTsttz<E^0a#4`f2CmEjw%1OpDXIynOZZzdx<o=h=w6ADjC5#m$#H(q-n^Uwr!c zhxi81_zvr1SGj)wam|_2U3hv&4X3R!^YLR}y<fJTR@0fUUTV2FSBB-w9nl^6cXmvA z7vFkWdB@^u^H-OBbrBa|mpFNknESD*TDH})?<Cu=U+y-4aHSViQo5YK+w=QaZ}f{g z-sSm6WgoxFEqPxyX9u%+uXp*SySodn?<h03jX!?Qc1LQ=zUlLJ@*h9;b>^2te=UA8 z^z~@-U)rqrZspVS$&VLy>gm~@IabS3-kZJn%b&$JDtWf|obJDD%qt^(ef|RRc}Gqz zFGz{0oHehO?R(GR^p{7U7p&^MeeB@pFL$_i<lm{7`0o6nm(vT5@AzeOx0+QwYRAfH z>+64pRz2Iw>HS{PJTCq5`C~85LGo&MtGU&c?wIK9^)0`DF!u4S-CzDll-)b5`}ouE zFK5^-%+_sx*~(w|Nm)iR+-_OA{XyHuAHu&pT3`6ed`GTK$%~!$AMGoA9K7qlq+flX z^|7n^FYdkH@VVM%!L<6cqs!W3%@$|fWT{_JU4O(m>*kL7MaPdl&bsMRd?Vyv&*u0? z^9p~6?~;F<xYg$JZ|%E3rR4%ntC`vU={mb2%Iu)U-QU)7L8p)XWw$kx3qP&)OMSK% zfBOH{>SGW0zx-GKVMElsm$km-|GL?)Z0hvo-=Ao_YMSo{-Mg<JD{jrX^ZrHb<6Gaq z{NcaxQ_%fba#m&94`J#5^%W7i((luEO^&F@oB89qAamBuBg@)9Z<Gu@tu|x+JKcu% zE1L?{FYZ3JmG$M%)(x8t`g)FE`E=$&;$!`$_N$vdiY-+a0~JRMcVlJBd$YYSeD+RK z?~Fe7bm@zkOAB*i;@jdP#MGxBo>;iSrq)IG{fqSu<-rvJy3*;cRVg;h=6(Mf)7iV< zNG|OB@2Yi9<zYu54p<F#K*Zd4OFvGCGJ7ZW<wiw}?(7%hIZg481h?k=IayNfYqMfn z?`APuBe~$yYB95W-#<#+nzN_$#kpM-4!W~%d?~O62X%8veyz=tX}!A{A%S*lg71Wb z%2_vmNMF3$`*A^(**Uc@Cn|h&XBPyU{YwLdMt<?G&8(1sKeGuG4mT#f%WVaP!}ev6 z*b2VEa{B?u8M!atm4Q5??%v;X{K_WI?dgx-H-LhrY>9R7$A&1gjV~Yi<+xql#96ki zdiMjxtvNCMa?<^)Q-$B2QoF(1zasVVd%yDb4<KjAL7m}m_Ad_P41NFdZ^s~^@p}W< z8PngrZ3j8y`*Mgg*f((U9RYcTbyu~3T*&ETmNVoe`&Xt4%N;sqFT%e%^|7p5Ip1-J z8SY>+G%oE`28U=MG(<DZ4w~33n$}y-bJtdke^u&Z8K_rk&HjA^IpaOcu3{xfXvn02 z5>$cEU294Hm8p;CIraA*zq+Z@E2k;=V@H(Pzs!>FC7?(tW`jhE&E(#CF}bkQ$E;_+ zn*t3DOK@nMTU!16At+J4ggT?f>>z7}i>~y4r(Mwpo3n0Oh+kBGY`8V&Q1{Ir(;t8$ zR<h)Ikj;W=zByGDlCuN1<}{st83#_B>lZ*mBYYF*x<jDQP%inN1$G86#2I_0^~N7P zoOQF}`o+1gq0Zn1J0ovNwK>=svtMrfxFgE!qHa!;lw9EHWBaGRyVu5kbyH#9a!^*e z*;2mY<NG5ZGs-W{-3>7#aV|Jh+LpYJ1EsHOc}V)2by97IJSbxA9m?g8fV`pzaYju? z?|%bOXjHen>v_buH7DuvVfULot5St++`h{l2bBiARXH~6rulwhnf<`iX3@0X{~~v5 zr9hEl;|xh(^HU$&w}aBxtdi%IkkI(S1P%@Hm-lo)&iE|^aYofl-w#|MujF668xBt9 z*^u=0q15bOj?J2Bz2DjHRtod4NEMzfx9@0Li0*8KMb-J>lA`<tBs6yCG#P@OA$PY{ z9^{M~2Z%Fn=ltRSxFO2yo_opjWT-PZ!On=kxHleb#{CP)j|;cvEaIE}U^_TsgLnOw z1f|iRb0BH7?%=WivY_DjZF~16G&t<RY4nHk-QU8Xa&E3OB!T@qqxM4x6r}%K-yH*G zx997je#y`Iv;G6fAr^OkT0;`)A9k=q>M!k;1DWwx91<SC%x6Ea2RkHt*Y9{x^7sr* z9)G6w-aihC+WQyhzK2BZLw<1bs9REP4^AHcFG4&s|0WA(dfv?6a>f580#6^C-~aAh zKPZ)mEr6tw$v1v*gG-^-7v~N^N}&tjLU;qm-DnX|p3!rIc!})>OZQ{JtvP>GU%Z<H z@{+nIBxt86J=X69#qhL+)~BJt^7IBMmFW0?4?6%#C7O^_vPEfjf+{F__8Z-eWd%jg z8ZU?$;mMEJ_kql~RFcjMjUH}rVYq)u^<;4Np9RTx8M<Z%Z-GMP{?gsAA2@E!nN#|5 z;>Q_LW)G`!{y2hC$#%QDHyI$QB<}<`l{kMlI|Oou^kqn(_}*Zd4K9<<zIgWx<dt-8 zP{}^ccSh>t{7#TFwk@)behf+Qn&5!lwy^s4BT)8#3rT5#eZCuPL5cN#<GXhqpb%Yl z36lNi-uPkt7?k~EU-Y#@s<rY|P${%Q`tEI6P>AwD<Hq&|OEuUTdtc<qfkNYrFC;X+ zCq91P4RXe}#n!)}&R_<IsEo&Vt_lxb>F)}V5G^s7{XhT|qV*bgZFxXu$oN6b=udbo z4o>hBOP*^$LbSpJoX#>!-b;Y;U9}D*omutyJ^)AT<CpI^L80;71riz-XO7u(g39E% zKIMJ~A!V{EI8v5h+I#r}sFs5jhCF5mXM!T-zs{~|Gf<w<ybKAA;u}AngVWdN7w1Z# z&aeYJgZst3R*+Y=L(62nB;lAI_bZz|>Mz`F46a_5LbHsK*}tcta$SFcb@&5Fq-cXn zp%~ZiZeV9zg`|xaQf3FYf}FA6t9<=oP(gMb>I~@{Kg_|-nEm41Sx7SfAO`k|>8^5Z zP_EnC3#sMqo>SYw49dCpJ<H!80+~?`HN#5!zZ@uimFwT#$qz|i=TblsTVQ{;ngJBC zb{>$d;$(Kv8RU%riMxJtfI>rZDI~Y`Z~7>I7?g4QFVAIzWd52aaG5;0<h>>+Qhu92 zBBiR$_k#{7ebx89GXocfpP`|_YWA-i6dL(rwjYJ^q^8F$+r9q5y27=t=l6Hby<Tv7 z#~&+OW9j3^zM8*iH81?6D<c`MRP`<Qjxpc;h2r;*t~9)3#&>V=^Lxi@A0J~c+24Cv z{!w4ySLI#b4gG4GW*=J`fAMbo<8_5^&A-&JTkk)r`}ouHFK5y%%+}TW>DM$)-hX&v z;nVM5a>T#)9JasEU4LYy{ljgA2JQ2H8^4n_-?!v<{lS+K<vpwGmsZyw?p$ARd54K# z`TxG%$F|mA`27EfAOH5A)BhKx|LaYD{0r3mE&R0K!f0KM^ZB~&>SKxX<Nok1-*-Is z@dNg%ckXx0^ZvMe|KEPu{&8QS>BbXx_J2O}_xI#Kf79*e%#b(l_2#~C*K<d9O!S0# z@f_CNkEa#hT$nC)aARR@U{#{w9GL?X3sVcL$~f;B^G#nOKG|ZcNS-vi_vPZ#5B3!% zNAB7$<EP(mee9~}i#RUzup_>YFL{-er`|DLx7O+W`mVWG3r_FYV`V$}*v7(I-l~V< z7C*gZB*WD%Z_a)+uW*Oh-FRvK)zAMLUtDVa8k#Cg!KreM`*${QiS-MTDl?eP4z_`k zgS~UPIXFd0LsOLRjUThYsq*ZLbI%~DvH()*Mef?J3QCoCyCA7D_pI8CCQ#<szHs;L zN1#&g?F(?Jm)O_4-xgFS-EMsMrUO#kEQd4!JKw$S0kyr#7eZPaa<db{K}E%W>AQDj zK}7`*w83I~<3}~v8GB#&$w3nKyR)EzGeLgWc73ojU`@dDY8DKj%pvbl&J8Xq6d*-K zg@N>c0dVHfxNFG+F+=Vg$czUZyTZZ!2KP(Jk3VQseax6MuWk3SugNctCVw>9`X^@R z;}^A$+x)-xAAc!aaC}FV(p~9eos$bL?<g_4Ys*^Ro2^>)FXYXfw&-I|FTb2=T#yrE zKRM2VTmAg8iG@pj&d+PEKK5|umq(Qrrn1|6n?JwY$qnk?E8boI;EU^y<e0rP-o-Wv z8-vVy>C*q~pXr0xV`_z#c~aAvc2%>!le)fc$@BGx&T-^PPG7$)eci#0g@2=}7Isa( z{_w<(B3s*P=690q=9jw7A6_{N>K;0szX~#5`|f_;<@v{DAHR|<dG9x82REpDc=@i? zJE`mM7CwJ}^jz(Z)R=jm=kN3<KmNu1<xsPQ<f9WiJ_*?VHh8!4_2=_{_bY#V;gWy; zZ}$3660_et-jOb2_Ts2|!7I-@W_<G&`BzFkzw+_U{PN4`FCw>oIkWl8jUE4F_Fg!0 z@_AuOOl9M|TEXu<ha<l{^0xSDlqb!;{z9?t9rL_BUf<&nzr3!l^WXNxy24ts*@vVp zeya8LXy<>N6VoD`|9D#AQ`xF_v2!F2>u&v%eg0FmaO|AQ`M&3Od}Ne{lmu3@e$P4P zUh+SA&Oes&p4IyoRqsF6dH=z(!j;bD_1(LVZS{Zo^ZbU-mU)uX_b*7VYfpas>;21C zJ->gTaYy@0o9hqRJ}%(f`y+kMFQI<P?N>kTHU6!iqqOx)q~YGB)Bb&lf9bpR%dz_} z{)JoEg8G{KFYm2?aKygw@{TKucmF>W`}o%Wmp}g}JkP#kr1yXNyq|2xkA2O5*=pxk z*RK5d)b}rM_=TsvzrU~WvwPJ~^>5Ap4@+*X$-O)OW$fdqq>DTM-`=@jY~FA8I=eS# z`lF9MWqmQz)52_>zK6a}%Vh4yCw4r#u(|ueyuuwCdv{3q@jlpAxWU49JIgz1^Jz<d zd(MgDD(_kCeR*$cK~m%$6Fpt`^6AH8AK&8oQp07f{b*WYrD|1Ls*LpY@P*=GM^9cY zNQsG@JJ0v=yuux9dw+1x`K6jC%|7|%PSe8sJ2ryKhvl(%4A-r5{(kLf=T}fc=uv(h zWFFg>KW#ToKA2Wmsa%zoEF*p0e4)76(UWHjQetk-nRl1zd(UB=y*pI>a$9d7J9zEO z9g#ccc{$GCw;z4^w&3`VS&OT0KR&_-8UUO4&hKdK<6C}T{+zpUlXZK~X*t`?+I_vR zcVFb*{rHJMUypY6h0WEV(n@^qjN>c!7T&hF(LV3C`1fAv-xvS>E&RoB$8epT$9FD^ zLV-M~>3qv}w}VEi1FHTRypidPKK4}k#Z0BX-q-GzxZNK<xoBau&S!CYKWO+MqUxi? zoKJ<fEiC5Evt|U1d|&#TILD5&yl1ug<-NfLi&|zMTN?cGUFMGL80)$7?3u08A5AO# zsZ;eVM@IVk=`V*YEh_c;dbFQk+<dw4yoJsDxIGNZ^^W8|Uf^^-w&nM+-tL$GR4r_o z%X?N&zqI#s!K0!(CVH$T-?{FXu8Z|NAKyAx@Q#sQyzlv#=H$n}wtjIERbLOPn5wG2 zvE4D|%U>d%e`w|G!rK-*TIa2PxUcYW*sgkMzx$vRXZ_;dT#G{SJgMpLmhCRRW4i9H z^ZEPTbAK0{-eEI&-cI@B$G)<^Xk{-nnp0r%{rawrQFV8t>pOfOCeEp0aX&USu<9Fl zEPS!J{PC4+dD86u7mEEs<0qQC{+syOH-pB)U*0RWxCp9GK9_uF1&xJ!oIii)oHuAJ zeCE7L*5k*%itYWN<+ra*`SGdOU*6<^>gEOF_m8aHUU1u@<HgSHh4*)S<hxrhzWg|7 zd`IqXwY<9B0pG_#v)|Qq>^`=&|HaS#jh{L5B&XNAp8q3t{Mgs~7r15T{L$^}(Vl;4 z^ZbYN3V&Gc{lV(@mv4J-^Zm=kv7nl?@!fyH<^N3{R_;H(blUsZw`9zI+9yBv24%F? zd7XthG5@vqelVZ&hs*ug)WWK7?{}oj{A-T;qwe>$;I_pNaog|tcfwC!U-(nL>Sgwu zf8yo6+4nDezV8<?Z}OYV%8yT#e|hsAq{Tu1Uq>*gP4L0K>RtLB^E?%?*}qq+)$Knt zpMUvtP?5=0k}u?^W06>Sd|K4MS(^Gs)HWOR^}goYyMe<`4>UiJ^ySTgmfOYk>#jWd zvaN6fi|uxmchcsQO6oP|#7UL+tUm2i^0U(W^rph;hhrb#`t;=w*PXPWFE@7VQ`)=X zQp?lq*-<m^nel}!d>(eR_VKZ-lKRp)evkVKOL=#F=brOJHBV}~+U2{Zh1Yj%6SLiK zRu*%|$Szs7DpL0RUn`#V-uf$-{(gP&a`O-2$9+-X-hREwBK<&9`q7-IZ?n_DrLo(W z8$bGbUzTotlRf*w=h=@uXD7Pr{yy?dIQE~^jMO_p-=BTECYG}-z0g&6_Ni%KG@~ER zi89-{_GPE$j?|c&X^Yy6zt7I=-u$XEeln;xA!}I`Yx_QXldbK3=CU%-gj2|`2Ywcd zr={<*zP$U`*4P)$a_3e*y>@%*yc@ZPK7Gw!d3dMZ`*Ui>Ey<66$$dFA&tm8GmZvj8 z!(-99^A%5RUjL?d^X~_RkLN|@J%4jrEzJ9WjeS+P?%&COU&?O%vXS{k?$$3a9IBQ! zY-WErFY4aL!VfOu)A<q~%XNXKy<Yr0ys?-iPjY(qmopPV(_XInGQE>8tLe;NyO!^{ zl|`HHyNEmHc{1+bYv(<d^M5aO-EYBj|0CxN@>V|m9OAbq-T#>F;}0TL8(V^x`$f#V z9C*4q)Ia(0+&<y-2g?dy`plbb+3lMXV?R4i<M9(qi=flbInOP9e(rGX<6|Ye>h1jE z4H7H;!gb$=?w;VguW4>KXok!1{K>dO%hF}eEfCi~y7F{EwC?=nRhD<T3m$RZG0}_l zEMGrsgN=IF>FTTQH$>JQJDFXO60>vKytR+!6;{aZt+4gG+i?3;<@-|QySq8n^N#sG zzNA~S-RxoI`=#@~$8F!Fd8cji_Qxl76tSN_dH3Y9{5w0k-`(%MTzV()^z)Ud#Y6p} zPjlu)-P5jV@crmGhpX_mMMcN+3jWQWHlVh1;I7{q?^Zqid_{h-xZLrRY<)f2{ueg; zgMuOabhYWcq{sHXm(M@wEBqQcZ*qNK?`2SIfBtfY+am1rbI$lB;^_~sc<;!S+2eD5 zZ`1E%y<&IkMVH4PmVNx{wQ#JRf1R21bKW~fdiy=k?`ci`y61b>i=*2MU&(@6^h=)~ zQ`0%`xyL<UYWlt9yX8S$g0sb3@|!&CT9hB3I{xL2`i|w(&R_l^diTGn-+#99p4GCu zzDLjbA!6R^J^$j}^M%)Ud}Fo!Z~f+v-1eT+u~pCbEzH*apBVQ;*zerIiG@$ke|a+< zl)qc!dJ12y-;pcxr+40OuJ66lk4yf)xA@C{$8g=h$?v`vJc{3u8S~5h?qB|QQrGt_ zd;Y(BZa%12qHBBk_{PG&)>RLy=llV+7UW;voL_L>;*b2^AI5&FY)`DS@71qcoGWw2 z{ruVf-^Y4cU;I;<6DLsKvzq(j-qylH2Ynx3QYtAAykojf*X-ic-y01MsO_}q>(TbU zu-W_3ysCTOODDb4Z@ugd%IcE4w!dk4YI&~c%e2DE%BnP08R_f6Hp_P?&A#HbBUfgP z&-t}Yzq5YYoDF@s^y-G)poZI}FMp(jr#)AxckJ)c-oGSW9aOQao&R_}X>y!&dCzLS zyV30G*XC}hnKv!!pJiNJzi*nr@nc`VzHH^K@XK<px@~ddLb3G2eTB)%yY_SX<#$;h zyL$G;y=N91kG4GDJH6^&b?VKOZAUg1)@oNJX3v{E%PC)){q}|8+mH4YCR@)7KDDuM zJE(cU_vKIDjhR-7mFqop--q7p^es!jW6W2+P~3Lj<a<-^8LoTh_Py+Qr{bNE)6X^E zJDz{jnf&-y+!rS~_3!gG*eqW=?K{`E#L2f|wR~k?HN)QQ80!i1^b?*d+zC4Ue5H)f zdAX+QV-FX8d6Z~T`lIEkrR>%{;a@q-;sn+EK=oE&$@h#qrt5qcKkq+yZsQJ6E;)X2 zv)wz%_T!hk)gN5x6rT4z?p5V`(=*4cIo16@8A{VeJvd2N`nvy8algYSFBdMEc3yan z<N3LrzmN59e(~?7MJ&j1tEYWmHTSSuy<XWl(DX#fyvernea~C$X^7h+uv}}$+G*#5 z_jbIC?Gc{-U|C@%+ugk-hd#}T(0w1ezsL7s(HtI7zHWN{WL?T-^Sl_>@9qV^vcQ=% z*7<yV_gpPdBYtuEI#4=et9s}*XAh_Qv8l7wch$_hqnq>VSg+LG{i4hB56gbt^L^Lc zdHb2I%R#LYy{c#LI8PT>W&QjtdhMpj`-3a1K`n>==M}~`Ro)%<eSC?z<h$dY(9_RX zwtqR~Z1K~euSdK8!sf-o)1H61`YEp0{pOGUhhHA=NRG+<Fz1hO&K&i^(>wl%*nT$Y zyZWi}Q2L9b>4mQ>@0jt;`f}$pD2c@_Fz@x&zjQZpM|Mng=W~&`w8=HB?#HHz+5Xmg zC)vLKV)y#TS8{C9?t~pLj#sT}_I>I*r<S{4`umk4Y4@C0`Hv4-S3HQF6LNa3v0J|6 z^!tnX_w^fR?JTulP~MxJ`|Cox#rkQ{@0F__CEqcT`_~c|bb9T7?W$kbcO=_<lCBEX z-R{^v@3-zd>Ha#e{1s2l{`AECky*a)K=QHNt#{n^CkOwuJy-T|N1?y&_K)&6uKe%d zu0O<FTo9zY{oMSQx{p6i|8j8ugPy3oyEE>YuKPRH?u+@H@Y8F*i`p9Y%|3Rxe);q( zMX&!i&-<tTPNM(Ii_ooi*#0ki{;&7<vBm!vnqMiBuJhIZC%$~&!Q96WqN~<{^7{K1 z|Gr!NWqv0SUcaQ;{^61T1($bxF}~}oWA|P6*}mg<>~|g8`t!xlpBpzb<Vj9fzi7<; z$nx06sC{{NTW?$uJ66f=AH`ywwbNI}D_?55*kXUV1M8ylE_YwHK6X|0Mey_^^P=*K zJ*)2T*eFta>(Y(z)47F`RaudD%;s&Bu?;w#n_5{_#(Kwi-pobqSv%LR_t0O{GFkia z&0`az@}gI7&|KeTy!s)?zP#P5H?Le;SjTJgQv62X>D))tzbq@vG^+hH>qhA5+$!Cw z<2!bl)voHc-FoNQwT0h%rC(oKzP7z~>m4!nmn**(-mW;t_QgSW`&8bSH+kMkp1;!} zx9aJ(eTI8CaQfwSrAxbK?aa+Rwrr2(xtzx5634T4zD>J%W!sUTYd<VmyK(Wf+ebvI zF7MdFTl;An*gESfP;bEhu3UB2&a-bF-b<U8E%Be-1+uPu(ekomxsN4xUtr#PC(Nd* zEc}k~yq(gvA*XX|%c~MO)W09t+^f9x&bDu$bu;OoD*6i7OuPN=UQX8U2Y>ceT&e^4 zE~&oi@{SUQ+E0xj>vlQ3mk8%y<ZmkhvQF0Ny|g*sQh#fn-&s3#<^23*8*d*o?gINx z`Q^>U1?MZCUHlTDyZvkCmqUgYl{)isWmZ1jRw^@lQ@`=?$B@8O->`CS$LGTpmpnn% zJxT#t$5mUzxjSp8?|iTK65;-f{cUAI*3ET%FKvEqiN7^B$hvvH{!>ALX$iKj_~p&d zAnQu1R!qD7>*tq4tQM7u5bHt{gZFWy>m4iZN#1&=Og}MrcH`&ik36R*y6bN5JpI!5 zagf9O8rHI_MYH!!x@Wj9&UL<36DaQ1E&JXpef`4n*lti@uKseRbVshu<Ep9!({7*Q z{qm-FN4m{tHL!JOzZ}xFh&&7l%)Hd#eZ1*;$063ePYB*E{QUi)$?uN!Mdb;Xf_%qc z`)MyIFn`%q9p7O!+3suIo0U(^zBBC2zf&>6PWQ;ZsJw3ei^2Slw|(q!f6*9~r@i>g zo5djO48hht{^G=}J|7x)^HPHAxYFlAeK$WbxLWM_{KJ!HfdjL$>hg{+Otqi7LDp4? z?M;rU?X>%v3bu}GZ~mQ(i_7<dlS=&J<#ESzA4_h239>H#!sh%(^FE&0{$gQN-fO|V zAI$vrHA7P7-qXu$+Kk^rGs64LD{C7*=i0n<ezW4K*+;>>nK8d+*!>iKv+AkYU+t>n zJAP&Vx^h3kUw8XXzP<T(e(2WTS`Ny1AC0SC72h$N_mLHp@t&4{dGo&Td_~%HP)_*A zUiGlw;-|XJZHu7Ox!HWPKg}<E=52G_BJ6bTcm13!!*l;d{eMZ9T`8LVqwAiDUhie~ z|FWRO^1t~WsP$pDwH*|D|6JcooB#8j|4shQ>ZjX&nA(1g2U*AqwlKPCTYvR2<Nb?4 zIlbOr|4+kY`$v$RZog6UKYw~1G(3g9&-^WX^!;qs=ZAm(R9s>Lg=&(}-prV&33io= zAaCw9*qa<v`XX5TAgFlhzV!Q8@6i{R**2`7cKgwzFIR+i<k}>Ag3^rFq<OJi-+R*a zeEF{yMZ@NoA!%k>dT<<LdJr@NcI9MgKm2p5;*u8Fx=>Jlm#Y2r3KWS}zV9W%uP^Zb z%lhp~(d^%<d-Lzi@R`3&?c3F&=$P(#QPSIc(|3S#MCps0rG@7!o>hS}AZStFoI4EX z&HAns-M%%!*Rt7I8XAexn^)#G!Fn*;JI}uKeSAsm%gIQv?^O3D$K36*E6oO_8S~bA zph1ZF+jK$J-RYZm>fyGJJ#WEj#`?l$Yfze53AS$Ti`Kb?MdFY+Je?eTPc*&kFeFEm zrw2<P`f2-Ni7mJ^i~F*yP}6_@9&=Czyw?tD*?7<2B@go5?fLi2^JE<6mnnd()434L z4zjKpY+d_>&FvuT4ug|u`(<wT2PuLO-&sxa{i!j>QtG^6-_@eqbB`@6<2fhatuEUx zyY<ewzx7)*?a%%GzGv34rH@}u_6JuH>X)pKT}}LTW%7pQ({A56`DI_>W07B5gh001 zOr2-NyS+DkjvxP(qG-$JdA7ped(!8*g5nU`d#Tt~xMbSxYddpJJ%4zn1e#E5%(ikD z{ByEd*(AI5j+)*g^ImW9%j)q>v0LvfOTS=!?5g+6VEx0OBs2Z;?_<3Uc5k^r>9j)C zwp27v`n<$K_N<+2V|>rYHCG=qUcVHSPQzcezApGw1qsvFY-UfT3!kY$txGf88eOoj zP5o{c$hy46=DptAFRR~g1X=ffNqNue@{7yw^@8%nE0FKxer>7V2uh)~U#|GvF`HLt z56%{hRo@)%7|)Zu<h=FHHTgy2a>q`(f5>_4p}T!;pxIOR!e@q1>k7@bvYoSURJZK} zSvL>lJO9h-_RS#c<}WSpS$+QEa<h$~!2Ardj`i0Taj<ndRj*V*xzGq~ooLmz*6L%% z@nGNWchld~H+l0%h;`;SrrbX=bNdIG#}T^Q?{@gU&7D)v?q4Mis@{7p&OWyE`-{o< zkAtdrc~D@Q)xK)K5qvuLopROv9Ul#AZ>fVzneZ=H)`O~ud~hKuTlH-_s1OYX7ozE3 z4n4Q{$qK0=zFM0-z5m!p9Fk=IGS9vxU--|z;*t!wSW5?$qN=r@;y0|GcDuyqy+rtb zulc{sK{?{P=-&K063hL6TZ0nIA9mZX{&&pgU0e)`y+7Ua{+hp&JpYFuRO9~5u6nrN z;wLZELfzR#^6C4aSz>>B@NdTF^+zVx9RgJn|3T4be_369+LWhz;*&vT+j;l*65-F6 z`^PeXDnj2y-+QIGFD=(?0o6?6%a+T48ZjK8Kury-Dl@!eJkQbrltSa@#6>WvPd@@F zWhUjE@_u;c610>t%E>zY=uc6_rBC2ehPCSQjwy@$;{-w0t#^4Z5gxwGKb93_-CD2r z(&k}{{i9_;_3pBlD@_Y-R~*v^2P&kC^zn@8OHfsjeUUr+@se&xRk8N)vORj|*0e(! zF?^d=uI>LU{lHT?2~_X$?9Gh1)nQke3@&9jL8Z(TyHaCNm~OY+n}6p<lbtTO-rc@r z`L;v3k0qlogBmf*E^NO2VBW_wxnS#VU*xu&b4L!6?Qb>uR=Urb*#)ug-H~P6jL*Gq zQm+L2E*w;bO4ojR2P#9WIzXk2<NRIf;8Ldho_XGrmzTdC1J%2~F9iR7wC!WhU$F0f zU*60PvW^*S9c$G?g*i475bLa3e18VavE+dy8QVF&Z#m}ZKk{@3`)=_I-^Z6OemQv= zlw!UZe(5Xx8u9DOV^Hxj$N#;wdEat>YerCD&hzl+1y%Et!Pco?-n<-Soe(&|gj78= znPVdhwXWIsr_UTqZm4y$eb@GUe*VDoIoP_-AnQtgJ^8$G^|aexSV7h~{kp;h^4;!^ zd**pDKJ&{sK?!t@qknAI?PJDz-~<}ucb*NDA-KR9V(OPep%#`+khqIIyR3%yTue74 zFvD(MS$Fv7>yJyMH-H+Ty<e6UzEu15WH#73-7kHGTixbYX@jlny=R_x$8UZaJIJ~{ zljrT?-rk#j#}`z1uDrbYJIHs{;K2NTk=wZNQysK4V>ElpUZ{2iQbWim1y@Tvmp?e! z4O~Mk23cqL>&bDDbtRxm-p+CUFBOn=)m`_@^K5+Qf8zmJS2Jy172o#WbQ5q5asKjV zeUNqHVCy!&Xq{g0DHUp+sM%Aob9=fVzT0<f**B|m=Z{UkcL0?8@-NLkwsiZ8$;zOV z`PXc3a!hTz-Pc%9$~1R-FKvErv46EZDDLVU{I`Nimv(S<+yCNbKd8D@2iJe`7rFNz zJ9+;Bq)j1f_VoUvGs~eFFFhw~{^LL56_?(FL-ReT1v=gCCqF1@{^|!sp8uDX#h|ou zXa39D$H%6B$*eyJN}GnZU&BGAr#YxOaVq@F8w*hBVhv6#@?Q?w7j9~Y#NOX--=Fs_ zKFdQ(>uj^F{~!G^ueh`wlouBH=SxlBx7h!m94M#%2Q}#Hm-zqX1m*O<{rAlC{`kzF z=5b1W&iCJGAX`6Q4E_vCqO9N~I{C#-R**NHz}{?q$;z%Seh^Z;h@}R{@uags%j}~! zuS`4eQ}yE#6;Mkp0@PB|^_{<m2UN|+O}l5fPS1V*E*nrJMmOFw&s*~1au~Sbt9>z8 z8)RK5*t*r1Hm`m-@8g-P;7H7@O5~mM2Ucc#n>{^gu~}_ivdrqI+jfi1zIDsu{UguU z383Po6x4{R`f{=v6sT3TRmXSiVyu1D2C5_UoZm~En=Se+{rHO-XesfOce!oCvq4LL zxp&P5&7@5G@+a)ZO{wiYr-NV2%q_@?xj!xL2A_Jdh0(ek|MTw}s*gSF`|>Dc&MnUE zz0Gr9?u;$CzvJP>zp;f?=64L&z4QCtR*=Mh$3*X~XZiO-v5%wX&ATsc{TnpX6JM2P zFC%^Z`*Lx<11FgaQ(`nPfJR>nD-8BlaQMk~-9C0O@ynfuJM!;XG`$lq{N(|fnQ{Hz zcdRpWM`nyw+dH}L-N(%3+}E#sTD|Sn<-Ly!AF=$|QW&hey;u0=kHZ$VLjR8JSUm0a zx05+d!3FDD_M5$1{d8No*6a@^F*dUL)ogw%pPE&w*&3~z<9h!~&YaNGxyyX|dtX1l z@ZDNm{7TVm`~G)6$8{eYpIbitYEksAhIertyN{WNy)fN+Crp1)wfN&Bsy{LxFN?~P zHooy=`lBzY;NkJS+tW95GR@h|RG)h%{B-VnFSCcCJ1m-}@0D79rD*n^HaW@gbxZT3 zjz(_1leTX0@nc_Kzx;i-fjevG+4~;ld53f#8|N(p+t>Q;TEX=n$D&_??8{$Vz5VeK zS*U&Gn?Alj^m6w{^<%PI?`(UW(^OsXF7D6P!g$^7dw<_(F)sXAx91JB#nNfFpEQ3t zu|w#Rc`Zl!)uP#zGvuVN%P)Ly-UYJH-m6^hu<m1HIk5k-F5FcI*{2S+Z~mfc|Hns+ zq4u5M^l|>-muk@P{G8JyUhvKpYF}i|q3H!5Q}?{l2HBU=EhiZsw>1Bk)VWnp%|2V% znyov(?EQB(y%kT*{;S=s<lf$MzT-ve);rVgE!+M6!McyV?=NL%?OfY;aqstnN43!S z<G=AEcg{b7`lLI7r*nTRn;krE@tyPEtsU#9-M+W}M$7(VNAEufVFyp9&bhfiRe0sn z?RHD2+aGS8|F|dW+dJ_u>pu4GUwr+_Ci$PXdsj`9D|xxo{=xd*-&r@m|2v`fN7$}} zPj6Lf{EyEr`Deavihnd^m-+VP|D67V(u=QpTJhufLSNn4x9-1aU0;wTQ-0;)oxdmU ztMA&eYT9|3Kh5)gtApKAyZ*S^A91~Z(#!uf-#%u%Zy9@5W!lsIU*4F5>XB$rJyJD4 z?nmCC-kr8zZTIHN{Bbz{x8wJ*-uW;7{a3p)<M)}9_LpO~*0hx*tpsgWm=}6{hn0No zt3Nk_PrseQ`oePS7hm;@o3mavb6+yfdO2Bq(eA95FI!*AK3?VgU2NutJ&QMM^j&#) zCoZz5wv6F>&*7slkE#}Af#%C6eYq2~BmYjstatjxi9hGO+w^5!VXkFWUFDlK4bfSZ zeyXnL*L74Md+7D$(J70qr@+IVHEUO`-t;l-aOc&+%R8n#vz%#q<H)hE@fW!FA31pv zJSlN)$@6Q6Y9AlFRdWC6%ThI+^DkFD-JA7#)5-Pia~<y(>8(Fsyh(b)OUZWWOWl%l zZgFhC`l)i2^yR(21xdkoO!RWy%FX9PCndHg1fO{HWE!XxIa*v4z4@iI`LYXta|^1X z?-;JjbN^msvC%e9YI@m%-PV2;_o`Pu-+Mj8taWZdQM!y|c-ccs%YNVcJ2q<Hz0bS6 z{J8Aant8VbZ1=O5eFM!N#C`FpgU(6ZneAIBKj)VC_TJ{d6@A<7XCGk%l^qk_i4}zF z&R<?9u(!a#Pp(P%@u`Jh-Xy{XFAv}R;r^gRu)Jrr`=>d70(0gpE<C-%rgxqt+wrWQ zHV-#`c~ofe^zpXB0vp@%LoH7&JB2|7tifGd&hp-D^~;}^CoFG?KK3;D<;=?kYvz5A zTYkAa{lS%)J91^_xSpSD`>^tT=rZ$O@8=ip7K64K$(`5qNuO*Z?|y75_luj{h5owp zmj^EVE&lM!QP66QmUm}oY_K`LbILs<y?D3tF@4F8s~q%W8YhQ8I<ccj)Ycj_ZhiI3 zBU6h~-8^ab(5iP<cg*u*{J-ZPcqv_Yd`Fe=-QAMvc?W$T2lc+Y2b$2j{o?2Cjm7MF zlGEQWNq=`}W8vSts)c=%zk{}i=-XDyzmse?etGnF;VZ^FW_)r>o;%$!&$Ds)E`RK$ zd%^J?R$kxbj&>dg4M$qs%>~Vlb5{NHd}GrZeeCJ-mow7~a$@SI#Z~aB&$cjHXXAf< zUqkh)%KO*#Fa3?Q_$%~IB0PRs^?IL*d*4gD?-}Xs_d36)E&1`U*EY*7X8XS41x?&6 zd4BIu?be!kX)gMEd+(MPT;K6c&(_{7vC{98ZB<H4<@|YnLCM|h{7-w)dR^u=ci7g! zEqBZ3WGCPM_J65+zZ}mZ_ni?{1|4#?RR$4NUtSzcczJO#d-GC#RQ~<SH}A{mJ-+k( zzy12_Z|k1lc>Z&u>E^d{v+cjXuJT%c{@H`|7ykJF;@y?J^PAfDKhax0Z`+ah&Mm7% z>-~qG-+Fhq%9QL7zn`rB)$aSYr?1%W6epM5FM8};c1r%E&$DE!Z87~j#qAaf&%ZSB z>8bQPchA3E_w)hxyQBI?fBagq@6o+&F>i~`*ZO|fHQ$%G{EzPWlzoenPk(*CQ@n10 zuzlCZx~Qz0{eR@nr_?X1o_2Wt&g%UeCjXmSeZ1(c`MaO@GoSPCT6ygE$}c;2*I$Y~ zeK7t`cm3s)g@#tSrt?<+;y?f7-_qaHr2iM{TW+2m-Pi1w#${-=@#(KAcesNucCLKs z(c5Rw`~A(9lJi9tvgP`Y%a@5Sz8v`Y@0GL%Gyn8#F&4hNC1&1C*>bUYFQfR<p2qoH zI(hTOwAA{tcQ-9x%zIkk_wHUyNmky+CC`KWtJYOksLfwAxANt(r=M!x?D+iI=X#OB zfxSBz=Eh9OTlukW6?ftJr7!nAJ$C4hclU*tu18-S$+8KrHJqoZ<EK7tf%568sy9!j zRV+)ljOp6F-DGZ5Ti)uAby=s2&j0T(o3?oNw9}`H&+kYo*_CAzzJckyNcfV;+81?C z|2y^ON!Y`g`<@<Kb;o=4#g{sFK^_Qy9v9K<x5m?bns)P@yIYUE_;RIUT|w@=kJlCk zTkgu;xl8Z+ovM=iMai}Amh!&%(pKVb`*Nq*qqrM0{GPl#QIsnD?%lFSp68d&`w}zz zW6yTWxwo3~qSxh}^sCdJSIAXzxu`Px-OSt<5xw7qb58HwAv^cZyu8S7<qziF4earY z?|q&nQn_r4@Z5Vd-$kr@d&n=bBVA}WC;{Z%xqJ8JI;r>SUv^YiUs!4Ta@*5aad(QV zyDt`gdw6e4%)RN=Y4%pzV)Qo#-<h92iT#4^>3@xPHnU%}HNEHmIN{w==NDfVmK-ly zd&ah2;;oFIx$gA-2m5YJEOEPQ`d+bC<a^)KTNm$`TeO{9U1%Qu@ZR=27Sn#;JAP5s z^j+2QVt?Mtxu=)UJ+ODxvDp7E?`}?hG4H8#?Yo6i<;|0Kiu*5|y!_Jnh`g1B=2pGw zTFWoLll=00+U~47z2~3IteUDf$JM{qY;M5gb;W$&mp%Qo^NzT6|G5=~=CyVpTUqaz zueexg`tF(DlY86m?CAJ?Py8~-*69WQv0mGC=a)%-UnN!k_w>&6*Cppa^@bPlU)>=c zb8>Hm=v<kF^EciJdYo5cdOl>`!n@fo&+C?7-673x6TH7qFUH@$mKkiTGRRinJI3ah zH$MHPdxu+b?vAN_I^lVb-`(>o*JUp+(7)%Y{(e#Pw7<J|rc0NcFRI`#e;;R6Dt~ud z%-;FGA265r^{$Wp=J?L`^h4)6?X$KlKX&)g;X9N2FX)~=++Xzl>W*m1r|<0C%60o~ z&e;Y$&il*xuC>F@#<M@F@5+wbHC^ea{4dW;e_?ofqg1)|`A<G?Ev^3B*yi2LJlVIq zwlw2TMdNdC{Y%XH7c))YEsJ}0Z(B@l>+c7vOZ<9w-@Lx#yH0!VJLmP6=Sh`!=NI_z z^W3h(zyIjn@W**ibKkwQF1h~c#O^!Hrthv<-{F3LvGe=Oz|#|@%4f@e@Tu%MSL4wi z*>`ov?Vla#S@M^E&XC*wrbfy7-M6a?3m*s1)30^oukl-cb;s;qP1U!HzIwlFj=yMW z`Yvtvo$6_a*YD)7b*|33qg!{{_NVOKO*VgQ%j0`@PnF-X+x}AQX=U4YjZ)>W?F;q) z_^8({bk}vyx|93wl=0L1FV4LGGUI7P@9w$w70a$I|6G6R@wB=7Q;y%=XJwN2=j`XD zg;fvat=1m9du9Kf+tXJ6FO)BNW}yD`yk&9LowI%i_oiFUne_WY2soUt+?jkC6wa5y z;XJc%_v{6`r@1eCF1Mm^yB+iQLp>!)YE@T?mK?kL?2JwDyw-DPydXaI{N$5sW@T?} zn|E`jbJ?A@tDPSon`09{t6OfyY`@io=BE7Lw>{13`u$)JIGlfi!ufNtywn0)(|2Ox z59Y;8$cvPV>)5^i*j=w#yLTJh-D<Om<GVxe?ys&p#l;p2cVAvSP1*FF+4Ki9KTRr8 zbB5R&*_EE<efekVi^9|AHf?&G7cVPi{_ptbrB7cpK7F}GsytW^RM>OfVGmyd^6a`J zd($mrX8yjQ1@`Q!JCj#m)IEJT^4&hEa_!Z{<<~);z4ip`*%Ny=h|P_dEw@!>W#RUn zeCMYWm!!p3ohe##?C!ZLo8ajA=kgu;qsz>u$d><pbz#Rw!SmD2ma%^-iR#_G(s##p z-Ei}#b~_~J20zX#D+VQHu{-VCmVi9F{n0!fP!LBKgOc*iw%xmRL7tTbd-m;4@v;TN z)|Vij?Y0TN*Lm)Z55%)KThp_gOX|X_?rhpT`^}Eq;qAwI?^f=6a*?_E0w^hedvb4E z%-!za5AK13xE2(|zl-E=^?$pvBU-1>|DFGK9e()(;H2#E?wunjDIWwUW&Ve87E}DD zK$CL&N1vYsR>dr~X_jG+^PYRmTPf@MynoqoUF+i~AUSBohnklWRSzt{iRvRLQ60X+ z?!N#O#Pd$<O}Dh^{5`=Rl$7V4v908~yUC_T3!IcM@7TTka_nj4^aB1XJEH9;S1<HZ zKeq^yl;@o_ep>wE%uZ-h{#>!F%x>=f2D$BTu0WG=%!$uSyI(YRgCll&L4NeQxKp(s zjI5R)yZh!TC<j@6U#Oko-@E&$_D=Qni=wBsyYKj&b!RQ;;G4F0QF1${RWCSpx9Y&& z0@=Ac=0PI1lKK3U{3XWb7n7$Yo4zZ{dp7fyZi(HJ<GR+{PeJ^;qxZS@_RGxW;2?en z3gW%pzaQKM2Ql0Cc~3vcy>tG42~=8qFZ90$3SxUm5Ieqm=Uj6AlgDI`t-lW6;qJfC z=?)Fz#WulpJ?CuvAQ4;Hl%6Fxw|<h`_BS@F(9$Avp1!R!zl}Gjw5aL>l@^unnsvc` z<p%jxd?){27f=xIJ7fD36vTfZL41A3?%ZA3l}}2+rA2;${vJ>Dd(a@>ch>l6`HM5V zp+U@d{>r_@pWk18JZ&yNG>CgX*1UACdY}xB*yEsxHT-_i|HT}s^3C&$-beD;Keqc~ z`F3UD_8+X@pG+?~{pmyaom$g(VY}|!UH@|3(~0>z*t71etv|i@gY4WN&>;TFe11y( z5@TCv-to5y{yp>Df2aQFvbw2|yz^1|{Peoz?Ee;A&bs6Kb?0{7@c&Qjeu#te&bNF} z-m&<;upS(-^*h!7FOHtpKG*WB=g(qeQ1Pg_V|Os9cnmHCrK$O|suQKG40Ua@EQ22B z6<f{IRDW^i3)I7s70b+&=g#kx+y3T^4kVnD#pdas_2O3nr>V__`O)jnovSV21Bde* zP&n`0!GG2l6wc=k+s*`~spn7MtuEYdBwt=$w=26cr2!nfOn11sFLk!QfYb$<^ECB+ z(!~}Y*Eybb=dI2u<E=+u{CV_cM`}9f&BE>L`Ff?jKh<bD^Xs^RVmE45_3ffk$#>1C zFM;ZU|HnaPRNwClpFm~QqSLlJneJ|~*`ox`KvQ?@)&^yu)!+;iKeam1)N0~VNCsMW z&iJY6i!-yJo^_nJa;@w0>!57=8k%iSe5}cgtxB*3$L=ps>`E8N-<fc3Wuf_Q!SC~) z79_uOuDlieIImFn-AUh<)1E#&3(i0}=kDHZdKV>ka}wCIVRyJ$tu~rMgIIc=X1;g& zw#5+7=A1X)D*NJ3-<KV!%Qc`utUgcwtq=bjCy-~QKrQLJQ-43m181PSJHP9+%Y9#H z3yxjeo$B8~LHzw0D2O-lmzT$bg4iCMlvUrg{(X6b@ym**9=*HIGFL9E5twT^LvFLo z>cZ{C9Otj_E&ZHYb!SuY3~0%CxN=_!i*13*+_1-auQcA}p1!(xC%>HY^D8@MuPt~V z$=CnXu0#fulq+n$KQRE6QB2?<7T&pA{bKBCWjk<rJb9<M-$LQ#(DK;-@yt&zOP=vS zlk!ZP;MJFYZU$98H=&i!xraY5?fi0}5?l>@1|?<v2X+yQK_z1xsASyJ@%zG2P{ck` zy)$|GCEe49rx${%ft_=9M=#$!&3)YiP~}sn`u$L7Nm2{6j50kxW&J|q>z5#96w|zw zvF^{;FF&qpZ4Qmt9TT5>n_px$zYMAd@*dvX7Q?%f|DG4fuX}r|&lgo#e_v<~4r1$_ z;HFpmdvFk6dhqU^bGdH+ozviUl-;}5-!G3aLxY&Ta@ik&xtUN~<(|#FrCjnau<Fhx zV=YL;J~o`EZ|lcz;|Pk_E>M*>|B2lX5pcH61Z7*}JMHt9f~vgvkLT6Q%ZrrD1N*hI zarbYfyIXBO34w!n`cCn<g~IEhK^*^hX3^|(dtfDFb9z?(rJvhDL3|q;#P=TlytMm^ zLp!Kd@l_5~zyH3&ZV#?}`U*j1)Dycef}n`~Vfa18XS)u6{ULCb7yRyBI;hHf4zBX{ zKZyG=$*;y0(rA41$>(Rb)n{I4l@~p4Wv%=3{h%PWhX(PFiO;=ls~)I>^Uirt-q~Lu z|7R*F@BEeqRRiVkoa--us)5>fC+$IbXFoXa{5gA978J4n9)cqFpZ@nl^(BX9p8m7q zPvlKVQr4_kW}`ZHW)~zW8=IcLvTWh!%b+&gOK7RAQnAm(*4BU-l$5jFKuLM#4*qjK zpy-|3T7ACALi_td6_AfDq`yCT11gnez@_rDC-2U=mh1MPISY#3TDf<vB6DX<ha@UX z`SVkrFE?g}mKLlP%eE=Zjqi}#{$@!kq~)k{=JQh57mcpqqOluPH0qtJE#L$fjgvq{ zBhUAROTme1=}z_O3!|sCPX`x`pX}egD*^@dCvZT=zH1eIaij~HsHRpd+ha60vK8Xl z&06QLgf0FY32M>og63P(ihWzuYz;g>i7KiaR5a$kYkqwJR5TWYY%Sf%zt$a8Ag?=b zyOR-AG%AAgZSRiV(x9TT5?or$F3`{MQa4)+DH`+689&v1ab_CSvui7sg)R6T4XXHZ zp+TH;`t#DaFB;#1J!=hWTf93_yTJn##CJQZ&ll}5{=P64>{-QkCu2d;dlwwNZ_eHQ z`eNPFiMHU1@BPWW8^q_{fHfNV&rkWj)c7~FZQ*4Te0%;mc~GN~587xf6gWSfZy7t^ zLQusgdung)&ZuX06%ybaQ~=6Bf_K{cz>UWKNAqlE<weTbwSim6t-Gsr?ryayk^$$S z$2-N_ULN6u2C;DEvKpbeA74WHKkA=--ilb&YuV=AwDg6x%U{~WTQ$pBOa|qk(5Lsd z#n^WLesB|9AQyugjn9kZt-y^&oB7qBn0BRB?l=a{K~C@9xq>40C^%yEAI9yN;uqrv z$w7POq@OCaDrSY|Ag_5VV_l!SgYxZFXue(d@aLtiUmP4j5xWcAqN#e<Yz~gt{3rJ| z%iKFs`yvfg`+O98cXC2rq};x#Aiti<y>s_AsBK{l4&wVK_ZEoH-2n~an-6AwvMqTQ z2Q83mZGv~tKW7gLVmW9Ke-t=Beb$!)hrtE1JE)M7e`;p|E*fils?Qhwu=u`E9UQS8 z)t@|frB?pX1osB~i_7OP+&#^G-cxYCmHB??bIBrTX;IUdeoFt+%<0fBrv7K2x1v`6 zK|x#z4Pv+1&%M`QX3hsU8t;J`jkVpsAKV59aXBc6-xtZh0+$x=3;p+j8jbbfMq_Hv z?%#@_qEQeWvHd&6ldL{+%0{lMId%7M&$}o-yXSF#n*8cKx9j|lFFarNa^BMq+3)U| zm%NJJmAmto?Dsq0L8Dsi@0QBHEa`t2vF`5?+yBOI|2UcJPOpDD?`LnH?)CcTaX*^< zzPvn9^wj^|yZDmpMIX$+KeCwnr#&z7+yAHY{`S9%<eR(m?0K=DpY`&&tV~t!Zi|`Q zRh^f$D}SdU@ApevN~)}OCGVW}VBY+BWoZj$PdjZ~bpDLX@oBG(i}lYqsi!SfK0Q^X zyxeS8w&jeu)rEXkmg;vmy*Yo>*4q5<+^6%T{+}y*{&1#(tN8P!>9hL%Ru!5X+J8S$ zl-lrn6ZeI6Qsu_nMg6+h#UJgfoTay-(0so^dAi`P%%2NfcTT^$BRZ<5x~gzj^3OY} zJFZ{Z5gj|JTF?2r?){A--`k|h4=>$Wefq-6)R)tBw_n|H`<MLrAEz(geERae&i5-j zZkJZ7MKtQI^EkgnXl}^kyrk536Q7>ax-&g?YT4Btvm<+}b9T;qy6@+!635=%KTUU7 zXJ7I?&CXk-zq)Yy7P0SNZj~JWwDWbr`jv&-XFZ-5J^fwuzGT~Xyr%EkUKf8iTM#UL zNk2Mob>a4{g6DroU%qJr_H6m?*&lp1XvW^@nU`5?wer~ABVu=yPfyj|slQwF?W#ia z;0JQi>+;Xme$IW-Bvt<Tc2W7Z#j~gJ-<nq)*}HqG?2hH-ORT4z*DV6sx=Z-`opUAk zKgsSc$X`)tF7xQ!Td(D1i{rQ5345HET|V!lt<`RJsIAqXd^U*3-kCiwQyy&VK9H?{ zcj!kiiZy+g_U*Y`^g8(iwV#<^f^20kEazJ`dm6u03)oiYoy$*y{Mud!vbD<M`yD}$ zt?or2TWz{_mz&JBYOA|h6y3XfE!X)U$1mM{`~nifw)4`P5_eTF*<S7}3F_V5IeCZs zG;O=@ss77Aw)#Dki(WVXRPE=>V81ReE<XoKKIuj0Ltfq}`m6J<b@R(3mL(}Wi}cFB z+w97=w3uK0k;y7@arCsm+^OqlKi7(L*)4v#)BDAZq7u#T4~%Z@pQE?Z`Lex7@Bg|z zo2-n!y|#(p({wJ*bNRYOvZk|wS6}p5anYyuL(Tc3*IDllnwC6`+9l9&@qC>7^7YFn zn_oEBn^eP_eEOpAo#xpuPwDP?-CFW7Y+hmh;^3@3^BGO&nXm2ZxqG|Pu8{ri);qf< zSC@#(-tqm-duw<5xx3!W-!Go~`{j*q%y%yHU+iAV{CVEyta`7{#R*f={emC+#P%BZ zrdK?xGd;KE%Epb~WzN4@du(Qx)vQB*Hpe}fdHIS(aQI`N$Dx+Zf9p*5JzLA^pStgQ z{>INcrJnzOwXN`>?7W+Py~e6rv*&4lS3IAy;MmMXyVBp(oiWy4U8uI+Z`OC28m06N z_g5UN&hxvm=lLoAHx{<Lz6js_H&gGQm;3&UYwy2wnxFSi^Vs>T5A=8aGe1A&_{&97 z&)ct5oS$c9r1$^u=b!a2&wv^u$LE;bcm9*hWwlMl_oVHNu5Zhi?ah2~2b9fEbKaUg z?_RX*jO_t|kDuq7aG$qXd~9Z)RC-nM=QHcG=6Ie_uFjEJQt`~q^qk4nAC=N)&l{g# zQMhdJv6*pE=Mw&h_O7o?O1vrk?73%^XPH>=<C?I$X6sTbo(Y+rYsva^=iBt>kdXM^ zb6WeunTc6*R<8P3Y#rx$F5!Pn@Ao_NH&mWKD`UO$>x+U~tDTCm_dCiyl_Ux8+NPQN zukio-^$Dqo!Q%N>PsT6bJb8a#`20Vm+07^8cT4;KyS4k0&6c0qv%ZvnF0FBi^Z)ZX ztKavZ)dBNsf4=^&`?K_9{O|WZ|91U3`DFdx_iFXAKaxLP->G@l{PG`B-(S0bRvK*H zGw;Xqum5JHUF!GwQ&IFUQ+@BeA0NLoP2OK7KJQOy)y<Rf>fy8hY~6hC$@=Qq{{O7* z6@I$@*7oVwBfm3cZGQH*|JupE|J?)qc>CWzd-PsCpWk`?o$9CTvqxUH)P0*-ardwN z`snGtb#_<ITmSgZR^R$-X8NOj>*rUi#Z~{hnf|EM&;GX0o%6ju_xxjRf4;u=zw+*- z^H#!pAKW^5KKzUE`6J&?@Aj*!c~lw)GXEUde96Cej4%4XNT1(%{qFzIyOz#xSF5wR zaNb3D@2WbzSru<zr!VgO?E3eO@yD+kAPw^6UyIKldA$Vep5ONCcbEJA`<n4GeNpG< zg&+;FSI@f%ulN4-GXrFevhSXAckC<e7tZfjtF!s|;EDaam+6aV{mFjjviQ?u;q}$O zW-eE^pF79F{{EJy@zLs+&KrRQcd8og_j|Pd%k4|u>U)j$Pka4*;+%h<YyQ4@n6ZA% zeB(>Mtgf9G5#H-yAMRu0?`9wEvuEAQ=iQyxYyb8be!O-4yrl5@-TQt2eSPurd2gru z`WNYao!2+ky_@ml=cP;M<%HKO|NUcFxNqHjeYLuOSH2n_JJLV>Yw@uoubb+w&8T?$ z>UnSHb;-YT3_n^eoNuZYSN{L}>uGiWX8iaVVBhSsXWgsk6FRS}{?#%1XtjR6`@j3I zTmIOYezdx9US8Nfeoo}m<LYs{f9*_q^xS{Vd_}doeHP3`b&s~Lp3kec@BX|xz8OD$ zUcPq!vwGb>mtVhsA2~n2?O&YT$It3}H+@mB+W7CD?Z<!KzkYu|@_O4pIlGTmtM~W& z@9F#U{S(Mde`_9XUA=#~|DL>8?_UeA{~F)?KmLFC!`jNUKh9QJe_lVSRj~ed_4TTc z>oYTbzOC#HmhbwwJIA~C{zWbMlXi0ppCuO_+Oc@vy&Y1g?V1a}34iQ47W>ub*jNA5 z`8O^8&#Vx8yss+k@rUA@4<Ct_Jo4U^x$}$Y_mJ>8CgsstfBq{3|BNd8W8RmxPw?^n zz=eyC{hwO#HTveq|Fgn=`1X8%@W;>m%8$^)zn#l0Zi+vCKhY|6&VTQ3mA%32iG_21 zp80>}>SyWY{L^X=ScK>&ypdD--E@ritJATY&Z+*==2y9s^-u5XC|nYE#iD-3n{LVN zSG%Rf`KQ-%K91PCr0^xjP2*#>UxSWKRZfk+b;HtYPfud#-Zh1vGH(7)j|taLpJV6t z?P;&`*6cT7De(t)tc<&8QNHGlw&eCJKQv-2{Jw?uSicJG^*(-j->gFaxu)xQPTRdq z{>b^r^h{jn`ph{tf!`b-@2b*${Ka$A`dpb+*A2^RZx$cR{krSey_1{Pr{4))pC4oC zT2>?CKTqr41&e_ElsT5ZWi>YWt3Dswc{%m|ksV9#UD;94_GY$Z`IXty)#<19wHAi& zU0S$P>v!0(qnnSgK91PCweV%m&FzNoR&F<4H%Iw**|BpwAHC&W{YtiH^7E7X78l0G zn)uDv|Lu2dVO4taccz==hIy;ndx}kOmYe3SEH~DR(fIxDnC#Z{H?b<e<&MREop<bM zck2DqJJ#R3ePh4Nx7r@z>0RGV*RA|+>=&z6ebOS}eg2%CG2fVbq+c=jZZ5saZ`5~% zUHbX@34aA1Z~GPS_|eo&(|eP@CLUY5I>r9Zj^%cTb{HxBo_Ors)klkYR=--@JNf#F zx}L(=SVO;f?cW=ZE&OGXY(C*{#p7jFH!RBMzEPLhennkMeBJcFDv$eand{wC_<i!m z)#Vb^=BMgb6^7T&DtxMT)8D)-Pi%f-MCkm?IXhgxttxb`omOZ#MRvcMdfw96uQs2J z+vWSMxF>k~XP?tWPx;=>w0_z5^i}Mg&E=P4Pk&|m{>QfDeo@8xH_spay{~S^|Nej7 z$DhZ1zp@@%%AT@ba=DyHe8!!i_>`DRk8j<*#=aj_kI8;rdhBX@>i#npzdL>uJzlpf zFQz`^n|9CV#gDXmf4^GYbNG0QyrG_*-o9HFA6tG%Jzn-J>T!@tb;6vgpqjab-nDxR zpK9LBH#ldbyYJ$T#rsa~_|@~qTsnQe=>4QSq3y@MK2O<y)#Cr2A7+pD{VIFBLG`!n zv7_Qg%B9rfMDC~F3AvveQ|0-st?*UqN84k%U-urH8lJNMyv65LKk6R${bGFlDtnWC z#+=-4d82o$W*_@{J+=OZ#s9V+f{*w83Vi&*_ojPqcYde5vELrux;r~o)*agMrSHwe z!dI~$Cm+-O8hmVO-y47F^n1eg$#cq|nbw!jNk9Al%JK!fgD>4&`SO)XNt)Zo;%9lz zfA<uYsojh|Ht`qh<3DFM?a#Oqv_B<grt7!qy|*<#Y9BjWd33#0_2<rbW4|-{dD7-r z)=P`Cr_>+55$W(}S>lSi(>pdSc#|*v{px!OcJ7n^))kuSzLVI^-F@Hq-HQ9>-^3^W zWqy2S(Idmh+kQDeK9#hoK1D`X@81oJ{Yxy&-mSDZT$i5m|B6L~+n<?*X^|hF-v}40 zPn;9)`e$ijQRv6;W4@)=Z$$fkTi+`j`O*9s@7L|ej$Tduf6iiW+mGtU(|)l({xoaT z|BN?$UDd~Azxp5hyEWzi%^e%J{SbeAr0P+8@9bCid%Rzt{<piZi2I|w#o~9;)zPQ^ zEiX*c{uqCZ_v`&*N1NU}K6dWfqk10aultX+=BE5Vzr#qUY?(p4bG%J!Va2A3yy9h* zTyrgad+Ob@mUn;rer0A!z*6J%%e}=f-c**9*v(yk@h$huM@LI)IAeG9yo>b<U$<cH z>KCs#=I&`*7w^4%{o=!~Grzm-suZ5PyEU)1<g?kwDbHTd+#72%wQ$Y6TRZC7-&~dm zfB)#`k-Ikc10L6`Jox!&b>+UrZuj^5m(4kU@BHVP?3H#Qk82((&hs~^-1pz$e7Kz8 z<C<TA^WLxc_%FKuW6i(9dGA+#tUIKha{TA7$u{$=x}GbWo(rG%?B}J;Hupmx|GhGA zvh4HE=RencW1W}3s_<U*#OJ~KA8Q_o&Rf5#@LuiI=ex7^{P&yvynB6j;l0Y{=d-is z@ZW#*^UQ6V`(cm&hToqk`&?Ug-uu-T`R`4Zect{4b4^{(^XRNO`t>J1&+M<T6a8Eh z+xvN^f5pD_$9})8JN9|$`J)!||1^Qr<kubjd{giI@vJ@ne_h}7xaOhz$1TTlzm^=U z^Z(Ye@%QA4s>czw&4q8%Z>F1^`>QnX<c{U@Zd&{Z{TA61T>Ej&vA<8B8{aqiEOTt1 z_Pnz<A{}jZ7lzul7Z$nRd~ULC^>ZV?^(w#b99vi=mt3BDGx(VA*FDE}hNjFvzhmjV z`#UzQdc%B7_AAq|ds&<GGwy`yr^K8)HP7tbYH_n~;nQmcA0Lr=<l3A4>S#}J_Nje4 z3Kzs3vM|>8J?R*4=`oAl)82SXy1!c5`#JmczMjJ9y=w{!WpDmZmsvgCSnp{{{JkB^ z%X+n6pW4^7ar3+i*T<`>R3AV2wP}4$O!)ewIrkjCh4wms%{un3ZBu&h>{nNNi=|KQ zYb*5LyR5J-`6hdB^{cHt+Lbr2o0XXfu1~%b@VsZQZ)*H?i=8toY#)2<-B(yyeAC>( zFJJNAg&m9Uo!GH$=^JV3=i7vy_g261?P+d$Gh0f0o7nlRd$Tt4-revf_q6R!S=p85 z#&b`7yJKv9LH6`j*`4X#CD)5;)!+S``*PpY0`Yf86|FXE-`x^(tG7C<d{^quM}_C# zIF{>#e}6LXZuh%YA4@sDJ&U{1UiNLN^t8Qycc^=pr0m>d|NRSN$?Z+`3gzDwtitm1 z?nS>%c(>E}#XPC^_BK<hH|pFw^rrLh4s+e<e9z}uHRVOFlR0TyEOK{ajP0!IZxXw* zcg8)OXFu&-G++PZTJO*Ex{rVMNs8Dr_2%rXKXdfwDpVhQvr_oz534O#EgsK5()arE z#;3PV-YH&w!S?jQm3O$8Up)7+#Ch-5O8$G2oAQ(A*aYucTe##{wRU*w{c~?-Za#8b z`uHo^p4aK8_bo2`CsTNF$NGCGEo{QS&F$@e-dS$wH&6eVy><})%)K>@Z+1&9&wg~b z=k}|+y??n=zxUkjK2j}l{FQBQw)p9N(+l4TeY|(9_N(2ohf6oT&yLyS)AN1ujyZX& zKF+uHD!uveis+-iXYNkhl@hZv=-c03<M2*?gLf<VP1g0DsGCsuE$idKW42!vj~z7K zB%c+tBP_<OjOk<IG2X8akKHTXB%e5EPh8EC!X<X6cGNV#ahG16FCw3OCoH_@@9Y%& zYd7{r*32nfV|Q;yS@)a6$94wPG!?$&``CFb_N(WyuhyyGdq0<V`kTF5?Qf`ePx<%C zW1YW`E|<K1Wx2Gv`~=zii=P~RWPBzre17Jfs+RPi{?9%Oj?MfcY%{rTR=Shvxs-Vi zXZm!fOJ&U|jDH~cU36aNszSBjTIW;lKbYy!YrNCEQti9m`7Kv0CcppSQ`eJzD{GEs z%?aaH{|Zo->(O$X;6IbnlT6Q5#DDbpE^W9^{AvEtA8}u<{D}Kfm$Lkl@Z!tikA41! z&-+;aSn_$f;W>-?k3ONjevk6!dHy+WY-)N=W$w?w0=2()W<=S%eBLIN9(4JGPgd1D z&MOv^=QXBZ+Wf(1#j%-Z*4Rv**$j5&^Cyz#M~!_=&!s$nI`a*ajqu7swe1S$w}^>7 zt`3ZF>3Q!O-J71Tf6%Yc)6h!R^jyibhm!e`^E$6u2=BHDj%rNbl{M$(x<kfKLo1gp zKQ{B8ludBt<n&)zb28WJR*RnB+9y$+7yC}v^xLyvcZ#Jy?Aa~&eVNqr!#3sR!t?y| zkJ*MiuBqjI_fofVU#NipuV4AP^S*oyf1I~L_I=v6=XbC4-C;I8cfIV<yjwG$3p?M* z&YJVR{PDaSbD#f~E3fB&_jK=$?^j=xe18~sZ~F7SLf_X(J-22*Q8(?UTqXObnqL8i zb!Yjn{4m`gFim#<Gwb%wkJ)w3z44zXyPvXqdHv7gqc5&snLKa%A=|<=64n1L-u?S{ z=d^mk`_<~U?>+CFwmV<Fyx{#Rb=&uuclKVc+*hFXy-(_Sbb6uwoU@;I7Vq4C#Ug&6 zL)o90SF1l3uMB#8_s`Kix5FOim1utdlWH@6ZE^n<i~Bn!Re#f(cRuFq-i610K8SiZ zv*CI0^<w@j7V*0$R=-l4=YQwW-et!s|A)Qnyj`)cuw5d4@|(FyHNLa5?yNPdFt6l) zZ}q)!{i?!!pBT!YJI;G=dt~pWCvjCR)&9MHHmCM}kvK0t|AE}<!hL^LzCQ}KnP1;l z9o_r+V)32N=RfcH+*J|vx%9);%aXg-pPFaTclEOL^|;Bh^=wnKyVFhHt^AlCaPak} z$LAMt<#&8c4_LeX=nvx+*LElU`5p1%Mc%#ipUj4J`EOT${Oz6EldffW-eP`7;j!?? zm4Eds)IO@754ma){I@NA()~|9zP-j<<tx>$KAyQtD*e^|Pd>lQL1|9-&q?E%`zzE! z9{c>zKfmMuM<3^2<KC5QXYR|g$IpLrCCR2bxYu}R%bOpS_ktcjudJ)OS*T`jY@KUr z)f!o`%)t75$rX#>InC)^pFjAlIVP!p)c795`I4&^!BGwAUfiF3{Cka`x>hXP!*c%1 zRg2)rN$FarKlE%~BavQXI`3|IrP@X&uq$I*(<iNlxYD#jZL8Y(D_1Qh$GMl)=sTJ2 z6Q4Qfjm6}f4RhP1-ru}CA^nbT#j=&hX1-ZwGx_eMbS2YsPqsapd1sr=<)Fttb=LDv zidQaMdu-+*KbzniQ`4=o=4ie<W_;APQZ4Lp&vx<i6~90Fg!dXR6*@nK@6pV8Qt7AI zKl}VNnD=sZ;j$u&^A_&iANQ_U^>MF<%wgls$2V85yy(gI+2_)8P{0MLfA)D*0t&dv zcFpOR(m#VUtEJ84oekj3nr-tq?hrV$>OY<NMiiV`%T>=mS@&?}G^zAY*FX9EW1E+` zx^P*6@_7-bc`sKKF00T!|K+{N<Koz-dWLq_Q{Ra_E{=8YJzsjY?ahyv>wCj{&X-<g zKbkJBE-y4cIVOC5_MEI!b@K|B*xldpXTuwDiRJQw*L!C7cV0YWtLL_PshjctmNyyS zu4J%He3KzIPh|1UTDP9GpOJ>MYE5TNeV*cO{CAJU*=<t3`&F%H?VNs8T&n!4xa9Tt ziGPzG|5=^%$LjKSnSE-%RiF86)ZKT*;^VF#Mvs^MDtdhA_NK>w%db@Yzh}Ma@n29Q z=GE>^kN>7yC++#aPU`)A`DEjd3nk9Zk~;guebeHbA&Vn_%{UvP<F@(ZOo{i#zjsQU z_2^A|$9?nSn^hOr)N5MbOaG^BIP0T%l8N{Iu8VJ0Ueu{qx1P1~{n3nVS2DutCdi(B zb3Uo&eUj<E@~!bH$G_`+UoCNe_q?YM%x`|&tNlms*!OckoqOKLI`_Vh{g;39<G<Tk zd;UM#@uuRxtm(b||NGxm{C~GUY0v+N-tX}<ix@xim&lmRU3nxU>}rPEvT3qs+oXK+ zrEXsI@zZuQo)g!z%(_|P>@umdZ+bQ@mRx;t%~=)eSvzMQ&9K_s`LUGm>JKaN^y#wk z&yUC?)y$ne&Aa$i-}>S#J;QzHbWQi2o4Pag_;pLm`4aE<uRHeJ|Gd8Sz4`^mexK(* z(f9p+_~XCr+$Z|J&ySvQcAC`LLrOW@u4aUZr5bOZA#t`(>TFTl*?m&J^_pgLmmbY% z%i44Pkx`D?G||P9I{L>pr^gu1;x(OjH`cMt#5&7lwf3pL?=xdPd(!Gd4fmBF%u1?x z&lPF7ul(Grq?-3q)ApKzLTcwSiTk@fdf&%Z29(vj7qT?GSMS^VeSTDU*`EKqq~6<a zpZBKXzpd%L`VITuRQ$i$d$Z?C#<R~k+pc6xGfy<$yAqT<&fc1~>GAioPr{P^?2}yi z@psxz1?#%|KKZ)G-p~HGE$Pp`nYTTA{@)Q_x!hRqy^i(2;`l0Y!+*u`(YDPS?NxsF z9DBF!(afIYuV;>Jy_+)ssKv(R6=9Dv@(t~ppMDp7Y#ia;`#$+4!%g+SmiwzN&HpT~ zVLi{VenQ2i`JY#-|F!(TaFc)4vBO78zg!J}@J?{k<N2ZMJ3iL>%WEI|t`YM1_dH9# zvYP%|SvB|nK2AOU{e-O8<LWC`o;_&?#TVZUTpVc=S!Oaf_(+D?m5i$8r|e$dZ#6!W z@hiRSqEE<CPzu<UezGrZjoaq3ZErHt&WSI+8L)Wf-iEWwq<q&OOZ((xIBTNR*+)xr zj%As6Pv3YmbaCYFIcH<$o}DLk_7%tMldF$rT)SHF?TYE9#gZ#OzImE`(}z9DWVU(7 z$2U8r!Rhx|{v=tD>IZ2zKfY;=K9W(EFT6N%Uu;iWd~e!QzMB_)?rXYjUh8&wzjv8Q z@b|8ZJXbQ(-c6Q08_=8fu+D5QJJ{*Z<kL2<b=&-dW45g6tbdDhb}a_ENLz6BxyeZ; ztF!i;KN7rY@y&q8H}@{T>0_ttW?U23lU^R3WHLL;WV8MWun)g2dQ<W3j(3uY_4=-h zU*d!pgM5>9x-achDcF~vq&F>|S>fK3=G&Y0D)#2ZHLEY4DZTM#<wc%*$;Q7GXHS$m z`^O!eH0;xiWxFrtto~@{J%97f;Kh+Yo6hc&I{U!ej5~hg%aWrR*Y=A(zIpk6=S7~Y z72nQ$-?aE<*yGnP|0`JETmE~o#Mx`-lT5hxcU*k4;-bz!E$dn5@{>%YvrP8hPj%n? zf4PM3e|^JQo%<ot^B9r||0-M0nyD#f^1t9G&z*q9Gv@}Cf&8|2Ws1A8<yLTsVt5b~ zk0!RWV@+pmmArB0?1?o0-n7y%v&o)@vr0|xrDvJlyx4Q4V*b;cH+{}4x^1@HE)jqJ zj!lwDbe73y&6^iNUVS!I_I%t7|DLpRM#EW8S&wFX1Le?3vS&-8deWkMm+jy1CS#eH z_+rTw7tiP@TF+W2b@tGtoLT>k&U)UD2YKT2=}kAo7DxV(2gg)V&aTs&L50YgBg-~# zk~q6c>b>#Ct~VKGS1QW#L(L|y?!3rzUEuM}yQW7o%&umnv8TElhb@kb_Aaxj&dW8N zHMjI=M%C+1P)Vt?R^NKoN!}wFZdoR$rBC&xB?%kOGBusG(l^z8^Ex-<?UJ)?O=lf^ zmXpSv<CbOeIePQWpv95*+RlF2mSl1}YtQ*heL3H*WQ29)e7l;#X1y`;#!TOIal=_( z?;ff6_T^oYiL>cE+s7yS(xQ9UFW=w(reglPc}XVGzdJ7KywP^syx48?j`?pgtW-gz z(5!p^HZ8ts@6ofYeuKo>BZ{CjXmZyX;&|=TeQD1;z{+kezLB%);+MWO<H{az9DS@X zOE#UgG|_B#mPxkyhMCqA&w_H2W{#S_=;Fxm$2WtQpX^Ipa%}T-|8(QpHi@&(B9m;Y z-{lz2(lwp+vpB_l^Kv(1i|{g=>W4Olv+mB4IQywJ$>evIiMII3zO-LShO=@_XZ=&% zw0P#KZg5UoHvy89yv*jVhUBF6si2%BvEgRm;+OHqmsQ)&-Y9kU)Y%-X?Hw1_tompt zybuyS@59U{Z|}Uw^Iqif&AYpgfTQRAG}*Hey=iL|t>@X6_e-2T_czI8FZ+><va1=> z<fh1;ed3&CqHH?r>9RK&YHmj}u3gDkCO293>@KOZcOHWRpUr>6%-UI?>hGVVS#*}k z>GPXr*7<=F*7wLNa8R7`21k$n=E#ax-{+x*v%X$GTJi0RG$eXHFME@*?dp%JU->8d zzQ5e3>$dr-;Nr*%|DH7W-n5UkH!qgh34ltlm%S(Z(*7qK&Z^UKGyd2KN_W2BgKu8! zxtej!ZnEszGvVM2w>j2qc9zNB^*4R$l--Pj7DxVR1bOeJJ2-l5&5G?ef^zrY-m_2M zCz-s?vZ;Q>56(j0isqmFGC#>=_G3`&$U|bMJ{250Km5yVst-nHTuQew*&W<@QO94` zZS#7!%|^X%GL|hDU3@ca@k@VD{VsVlgDuO%*!W~$+6uSL2FA0`>407JdGlsa4w}<^ zwol5p-p}mr&Ko^fGs2#wUY_aSlNQ?heddh#G85@6o89II(ux=jXC+4Uq?e0}fm#|f zV_nKjl1*oAbxl3K`MH?z;+v5{J<FyGg3G!nuQHR#-rW~_u4GJ`K2i4Ukxxk`*;yvR z>)vEsyPEMVECH0bA|{@FvkIJnR&NAlpmUpM#>GNnD5@KjSWn#o$I$Bykta63T%+x_ zd0(T%`FZyOdeT-L+njDTN%rhEsd)eT<eMMu?(UH|do3-=gnM>3xU9=lw4QZt8rYM2 z*QU5{-rp<XTOVyWtMe_mF|zCSX>dWkt@%wxTA3IqB4^%h1yx1)9cQ1c11GJcwxGb6 z_AO=eYPZeX#Aol^d-Ki8i)*Ic@Oh{2wt3yL&CB^F$e#7+P1lz{k@ic$aMoGpqZQv` zB74%jd(-|IKngj&>9XhJES!4MzGoQDdYXI$T*zr{T0GM(4CK>g^>f~2Eb|iq7jkn{ zz=d4X`DMkMB+j-;o&EC?)M^nspRjqc+hzO7zS~cMirpf;+2<-j#lW8PM}9)9BJLYL z*N$YgiFbc|^G+2~6-_?{_F>sfP*wCa$;3Lm>*AMnLg46;nkakr$yKl~pJu1H8yD^c zw;`|aZdx1}zIf(taQ=9pX100zCdri-&)h!-^3u;Tv&-cjkh+N1tUAj?`}>BQ;fp2j zoKAaaXEyiu(Tr>ILXU4=_BEWfQ0n}=%7`+P+gUZXf8A4#|1OaiU3{}DrYG$or{OGF z(^*G7%1q{}9|boR{6QHg(s0(l;v^H{^W7KMth!hd4XKLuDp=2&84fN?(&AG$uXMXy z-Fr4Ax+iUk+vXo)pwi^h?VPf!8Q1P58h_UV70OrnZ}{|eUR<;K<C~TIsqV%>k8hrq z-#GJML{Hl1V8eN~-)BmI+Jk?dZ(4jac(G)SqV>GJAC^j-eRn;{<n;ZHi*Ht6TvMZM zJ?rKBBN^MSX8gK;x-adOy5X!wsk3L!Z(1z5>Y~n{L(4v|2FFj${IlDn&OVx-Q+6fe zSN+D9|IUKq=ac;GXD8Ka)!a9)I`-SgN6{MGmYf|_w&(nlkR+4CnMW(i%B>7%`I^qU z+43giSe8ll<<os>d2EKW=FU8tQMI`PTnC-ivYvHv3AiY)G`o4x=Zvb`=4EcirrRM| z=vg8t3wdmuDVty%w0LHG1ISySnsSzj3xS#dwbR5>H!pYFyh&nqt?8_Tk8;GOC0vdS z>Pf53G@NI<w@2b^KyUha9c}Abxu*N({reA!qv^tnZ$>%xq$RpS;%I7$`{l^Uo;2s) zW!t;nWPID4Wb*gw(G0a~0*fO<7r$JmX+3MB)Y)4L-ejZ|fpgmC*Qff@f_s;3pZ6w1 z?Yj74$(0v%@^rweg5t_dKo!yK4Kr^~0p;yi({j|d3xSiH)(KEO^jjzYY+9AH;jFW7 zk5<gzDSHIeC3#jp1>B@tpkY04?}o_|XWvbbIQwZGq#oLRvM;Tw99$3W1C?@nA*I~! z6W~&AgZ}Jubzo<GW(T(uY&t-t-2Vi#yUw7D9mbb_+0qG8%2@<KO1W~8*(Vnt&1gH` z_3_QSLP$P34k;<jbU+nRlf>CReYeZjkv(bQy=gB+ZeH}6rv)z0?R?8jghL_Cgv}}7 zW<t3cC`BH$%t_M+$I*IlDYtLJ*)OipW<qKXsF|RbzInZy@ruonJDPl-dl}C9x*Aju znL_HJ;<eCvs1ejmC`~enHt)Kqb4LZ-OsLrWCIeKN=B&8*#p&k7H~012F7J2lNqgjH zI4jh2*1K3pHoB{8J!|G~NH%((4$ejmXP-2K^Z!wIP+&}xO9hp3W~b8L8G^F*YJX6d zr^c%%Ew(q^-&WUp)<miEadmEGd(MB^oMbXv*KpQOaYzisLt^NG>dlKfdvx43|DGjr zwkR6X<}ST?(PzIRxXoQ3TxJsd9@6Hvf@GvU$CrKH2hK>K#_rV&G5a)7LDzq?#9k2G z9jsBbzPJ4IQc#`x-uUBGNMm8Ww)L!&>yKu%-S50uvR@or75#ODR7LWs?#9=J7vKEp z+OzEYYKgP!q|RQ--?Vt<pIC5_@u&SwhT1=|#gV~_XG%?nG#2bZQNZ?p)6BU=iR|?Y zLB*ZFsx_z$^mJwF@!vlF%5Iz29{a81t8YEa)^yfX$rN``Y|RKPGvPMwyjYSZwm8zi z>!QzjH8<ms#WQEdf=WG;zm_TPm*)oeq-Dz)&T5?k?s7ibvuW|mGwN=e7rI@Z9babh zo4f1c7jdD*Gd&<>o;ak%lPh==)ZPUtGv2-A4X80xC3*9rkDe+xo&A{)E;77NpX>uA z!Q9H57ticWGN}f2Q&0D$6^Vix;ImeSg37+dk#W9dCYK`(XPq@Yn(>Sc(o4Dy=_MuP z-n^)@M%iui?s*btKmCGaDd`h^X;sePEcMTA)8d)8LVD5`xEXJr`6i<+%Y=LO>Aq!~ zTOm<)4b;_G9C^nL+(-VKo9ce~u3rzR01TQU>zl3)PJx|sQ{6%Sz3p0NGTAxF<nZ02 z8N22|`uuW`#Q9d!de+XpM>5L3i7tNmR^1Jh4nro%o_+EUoIam2gX*UaNd2@BQa^#3 zz5cyv1vB1cr1cAdikUO=x{x?aPH{Jm6$G_>BBiE*i;h#An-+trsW}?fvsxz~$(Z$@ z+4{5j=QYQ^1|Q8><}VB?)6dM&0~Lg`{z-0H{BoYA+vd`35@!!tCV_hY-Oo?;Ei0Y} z^0e>!nwuBj#A~=+-tW<q_9zML#doR@f5d88&zh+X$z<!N$b#Au@3n4T^y%vWwSvT$ z!A-(x>n6*BQsu3$n-<U9?brkAHhmSldC{j0)aWw_-hQeNRJYa4ev`2*UwrY+J>ETO z@A4pxzBb4J)Atj7X%FKJXWeB#k}*wQ1mw5KN{=!VP`wLkkssc)cxIIkINCn%fJB@B ziN0mRofmUfTs&j14EE^J^i+3HUaR*jGr9ZxD5w(B)<4;|>@&FIboPigs9mx6<z7%7 zbp1$1TYksIlKUdyyjJTC$!ptF-HpHZNt``bon*52`%!Si+-`#G*(Wo=b=FVkn-_g* zl)!b?*Oibu3)CK-Cw2Bg@y&~8%8r71SD)ig_kr6M(l;;q)N6xEip^gZgFPBn1&Oym zD%P`F=O4|m+TU?;&3`>N<G<~o$}4S|KG-M!=5Jd3@}H{P=CAz{XAkX%MA`q7eajx} zH0`$pH!y-v^rh8j8P57=l4K%$xeMIDSUwrtz0g&(o;7nOB+}B;Kqces*0WF6fK%R4 zOK{2f4BY-R6Q8|vC%FB0?s!^J9VnB{vo%$Kl#6i<XTLNhnat+y{`h96E2LNyp9)TW z35KAth@(d{%8ZjtPJ4HP8yGse*0WxwLK+ySPxYmp5(T&a)+m76e}}qqth6Dqwf<OI zbZ?sB=`{D=W&5pWzmozFMPzS&3Cg#i*2R+)_st8BZLWU3X=aRnPuh-!66fFLskm)k z>1MopBe<Z*uDtp2%}(DV8D(bTi(lp{g7epwrEfAowJ)fG7Ef_E-Z&SMzrI28*X_o$ z^Q6uuxSMU>y#Z7p@`Ed`dsEMT$%9s0^FXC$*taB5QFvqa*+X_YR=>M0u6eJ2Z1Zw{ zfyI)mKE9dBoa(-L?Xl~Z?LEr&_<v6@oV9k7#MwiMkQiz|-M6f`1(K;eZeDyNuk3bt zeaA%~8Ev=CtK2Tz`au%e<5YL!;z=N7XV;tuH7&}GW}gfOcg(xfH%P9&s5AE@s2E&( zD(#&PxZ--gai&c?q}qywM$fv9Gxr7bq<t1LoM&6U64L%lg|z=-^sMLY4G>>^bFWuV zTBQr5*2=nh(I;LRlol`V4=XbXHtzuUZ}X-=`nPIkb7zBFuFvw5LH*kbYw-AkY|gIo zO`!3IJBODQuZPrGh4UeG*84iM$^0D`d1fcs?Ebw0Qv4}HiVa&W>v^{3T5g*^w@CQv zLz?GRL1iYB{X0SB#<cm<WX~S?4Cy`TLPm=A9sm^^ACzX_3<nP)#wTxH>bCh#n(^OV z5@)wbz2E!=-0+xMe&fyRi#+#IH(yA+T<PDFR_oJ~?q9FrW*qYPrm;M9_~HM?Hx={q zblf(7ohfnl&~r%a#GmL}2C8@4q<r6N-@N#yUfJ#P|FE94N7j%c?>ofpe?iUj`QWff zt4{>wp5If?KFNpV9{Za;S2L#lO9GYW-^^$4ECff-xkW!J>|UO~Gf(n!|?RaB2} zo(?|Q_kHHPsGhW9E5muV(*+ja3|c&Meq0%-W@`pjx(16S&c4%0GC94u3!I5mQr(Sh zXM;TKTVHnbqR&}fH{*cCGiSP&nK+xyY89D%ZV#mO$Grj6$Bmf)YW>wKn%y-4^&3tl z<ydut>fMia!rmwP(wuwKzfVgso+-FkQcwBV=G~{eF6OMdc;=r!Bpp6YIllS%H1WkZ zBLjPuZJz{bS)AImcxH?%xMi_t)f-5=IC$~QsNgb_*I6dT&7eHOmc4Oi>~zpDM4c^o z7~=KjnfDw(UBB;<r#6GS{5M-b19}O;X56zkzRb~c+q`e8#QAx89gqP%a4q}z^~-!^ z>wC+0ua!7^jW5ZB+qw(f$rVd=zkJgZ(m>z*CgWSV=;D{})!d9X?gk}4-|LVvE|>r2 z#WQb|Aq@)s*}kT;?$za}c|&5U8C=HkrGa9pjAQmrXK)!OcQEaf2c(QEo$@BbEz73* zXNKA3;~f`s<kXLCe%>bzjvjeP4f}uvRK^J(%_#F1TO4WU3duzwH!u3kR|DrF``9v* z;Pg&#E;=_2l8a=_<`#of&a-TACs#iOR3Gi{@co_y8n>Ey`ew;1XeU<`R2e<KxmWw9 zPn@co@gBFH^z!wh;L3<M1w4qi+po-~`dyXbthuv~WK@}VgF8@nm8@r-3;;(@&FVK9 zYVSnA4ZmI9kmxA~g}$W8jWhSor!8?a{?9O5*L2oX_M1NTDv%bR?I}<;OPvCWo(G0z z-2Izh+9<kh{x?P9{Jgp-$QZ=DiI6df|B;|Ei04N#w#5l7j;siTj1sBdyjT(k9$a|2 zSIK(T-)$1U|4R*Lb($Xm4~OSNdg$M#y~#+k0;ko@?>F8IS^RSE`Ls{W;DO>t$3Z2& zw;_0-)&ItsTCkhGdYes-hjwz;L8B+z?DGANi#c`r$2LE&gXEt7fsouY?@h(GL;gu7 zyZ3ip)cLOl9wPd*`%T8O{{o<f(#!9u?#8Bi;N0^QntSSRmi!Y2kBZFCGOU?1|4Ze* zwQid&w!X<IyOQzi@`=9hFa5OLK;x$~8-3H=z@<XxOi*Ryc6s*9vrlxAOb*{XQc+f3 z2I=QoLgHz5aM_;ocVd!EtThd1-P@A{>JoZ?KG_GZQ71~B{nYR#L+uizu;V`6mloTb zmL~`*H=12<p3yrAil_OBpu%pC$n2L(k7jJUS}}j=Q_#Tq8lCf?QT_*;A|o1o(_IZ` zeGLVTwXTA6qBhThcA~)1boFS)HnyV~V%LPg(-tw&WhQe=!EJyl%bOS9tk-wDeBYrb zEy)?|t#@va!Y)_Gde+RdU}b6M;7-)-rn66`C4ojEkLH52(Kc`=YMboroo~U}=-rWL z|HE149x@Sd4U|~7X3ZLzND#2xl<0YCQh<YjLW0172^&ljvky&bQ`L+%(&0QB8Wz^K zX3d&4E|ct^t6l$V`RMfS^#+TNy@@EUE)27Nc3{@+#g^vHm8NO?7e4#;s!6Iiqc<}8 zN}uJ{V{h~_pMNWe?6r&xOm_1%eKy}TZO<xM<A~tov}-d??kYR;s7-2fc5h_YmgHsE zW;|Rrd#A2x+IgwX+uo+7^+w(=EKcd2c_eRI`CXal<YU!&&%Rxqp__egru~J!o133~ zb9<EbFras)+{&GMYt|fl6P+C9w<Wo6t>NwEw|4Hm6_ng}?#hhbV$)|wq&8PBl-*pg z@|a9SvRn8q!_U{V&TKPH`{-t!c3f(6)$3<hq&8PSPkVarY@=z~+k<Bpnx=huk@jK9 zu`_Eb(?8!lyV5l6&m)lIzh6E3;q2KvyQMZyUbWNqM?mtjYj@&)UFn+{`FZ}y>1FpK z|Ai&<)nA#B=-+F(>DZe8S;zmdE2SNFF7tt%#^ZB0b%%23zSfV2n%Vi~_I!A75JcNl zJUrOUu5VNE;Q=d;fK9~*kgNeSD~~`z!-MkmasQvM`_lgM{^oaf`PcpY%ICkE$$ih3 zyXr^TJ(qdc&E~(4**U%XaOD?<+G)jq9e?FK4{5hMZfP?A^_=Ps>sidkvwGWiE`Dk6 zxA>;n;+geMXZs}2dWE0;GTCHStnsX`={x5?cw_56|HU1%>vOfw7u%n-l)bEO9Ui~L zzwq3Cuf>-Q7Ypw>COg-s-%Z_Y@#Fm~&wY?BUG@Ibi<I9cR<_1gU%&5IZ2Y6)*k_md z7b@iH9Ts0UUo2dAN_Os_bQ7zy^_O3S2uaOtl$?7k{LV$q8sEjnCX0iAh0Q&~|H|a- z3z7Pe^b|MsU!B%gE90-c{JYHWWx15>dw!|&m(3Oj|D0@Xb$5T}9ql<4iZxu-JHoSs zq~`WX&P@n<_aa4Y@x_(Cj*Ek5%yL_v?Y7*Cx4dN2<x4NVoO$u&(yN}dpyg)9y?yrF zy?*z5{4BJ~OD6I3KF>Vw`na;F`0@*$GcQb@J?!&KjFXyst;WRapp%JJr{vschwfa| z)N@*FY_vEy&S&nMpjTVYyqGdA?D*-Gm(3PWj`N%Quj|#6@I@CrpJv+lAMbh28TPEt zZ;w`Qwdu7bh3iWi!A{vFR$j6x`|^t`*Oy+@6w540GPc^vyL0j5d>2sIOuio?o6lYP zDy^g_dX=%k;>$O>tgQ~`UU`|b-mi{bYVMJq=b7(L$j*J_XJU0x&*WX`-MPp1wxyLs zRTsD~-=f~zw_Uu~FI8Sjw!U6!?uj11pA7F_Ea6*n@#Tz*Cqu4E$}IDHS|By|i=c^B ztg+Qq<(-Q!ufA9@?^&VAJoRFmUcVf-<z-CeC4Yo7OAbxWDDhf;5fo%s{Ga#vz2gB# z)S<{%OU?zWn=GDeA3yg<kKg8lvU4xCzADiVU0xvBd+tKd^Gws7i-UK~aa&%i+FN~f z-O|GK6D8-aI4V2$Q>ls7%Ga4CP12bqMS{J4w|o4ahP`{SB!BV6m$NROytmNrQkeRV z*>38^{1BJumzVtdez{`aHD{A~>c0(o{m%FJ{S|!o;)&eSi<vfli-YUB+?MCNsn^W1 zw)&Z#Q6gk)b@cgF&;3jM4)^#yOf3b4%lZQM<F8i=?_cTnQCDj2M9K5Qd(X<w{bX%o zHP^1U`l|hsLjCv3QggRS&OLSf&PC69r^Ug4r?{#Amj|b%2i)&or0iRAvGeSUAMvY= z|AftbRc>N+^L=JXRa(g_=XWo7>Xv-8xu|b4@A&_zV7JH=x~u<}?)Cf3FE#g+y@?gG zu@!HA;`gSV^7nTd2!aC0FEi-f3!dc*K5os{b$y&2p6?|U&wu^wk6TygcUkX~dpG;z z)@%#Y@|w4^=FW37Hd;K>d-YAT#gX$I&tB;<nI&sHYiZ=wH?uFUIkW89fu6J(fyVZ` zXLVK{x_+a0^~_oAZkrR2-M##|$bED2vAfM{X3hMWVRD=M(vIpnMX9svB+ni?bmyYa znG7@Whkd)nYo*Q}d}K1~ppeNdXX9B{Rd+6)8QJA#Y_>QudY1L9mrpZHW~Z5KK3(j- zIn{0Rj;2}4#<MPVWr>9af|Nvso}DLo_EuKbuho|`zMZW&_bMu@?@Y$E>tUCp+ue+f z9>059devvG`{J8gmv-#luh^S*yJz=vvqybt_j}TI7?#_l*9l9Vz4y#y)>ENgkXKT< z-(@V@w&dcQ85eoBJ?dMwUA8wZ!_7GMSzp@Wp0v7ZQ(@y-XJxOPdAlg>cu!hlxasaR zljg2jGw)`YBpc7$ylMKZPRX;c?q%_v%`p2OxLIO_rP1P>77l06G@8uPHGVhGw!?bX zM#=T*Zxc-aYW1dlH;`JN{;@y`<cmv!cP`4zyx7zCq;L21{zVsM<eV2rT2FJkY~AO! zInV8~{XFYgwZ^j^a^AUkW}eSt$(a|=%w3dryC>~uh-vWhiy38SGNzpi*_`jTxo8@w zOnrDW>)H80W5dOf`737bYJfzFI5bkaubheTS$uO}&#}9oeIb$Z6cQ=xAN1`OU%%v{ zOpNnl$r$g&mL`iMa|_*#i)DM$a@;nT*_NAJHou%<b~Ym||6yO+>7F#hpyCua<6WU= z56Na7OEd8<Uv<-XapZ1q-~GOeZ<;^0T>X8erP1QZ{qer<8Kusi=-EA8KE(Ly!izb! zPLJRHTWm5b*ZAGM>K=&i9^d&m=cjsxNwxpQjA`?iU6h$|agEJk*|S$RgVWu?;yV|= z#4WkVb2ejH{3CFr{7VH#O8AvCd)I*?<smOPQp~TO+2guca`wkLQ}=-*r5YS5_ro{; zXapsqUjHj+crr|))9%>*)+{$kHjX=gD?RJi{L2|-`xkty65GGz;+vTlbyOjdvhPvf z?&<rNU6iTwUL5(m&+YQ>dEiKqFLK|U?`Hg`(R$X+`I#ol#<O<DU&)z$QRn}vv@Dm! zHw_m@{t7<3Px9=k_$<CN8Ey5eXa09Td!#3=c9QEIS6lw`GiObK#LVPENOn27^RcCI z@P&+DnpI)f*ZTVYKYw$6+rOJT^Zy;)X{Y@?zA(+K>d77B|IcDh7yQ3#X>I+ty*TIJ z<(*dS-}lckF1}Q6U4Hhzh5GBl?*-F&Uv_@@BYn9dtzLNNHqF0lZtp$&@}}(JNptQ6 z<>jfSZ=bk0_i0SzyBvM-vMG<}KDne<R3E-`)9g8K^uoVSaj!o4wf4!CeMQOkmc<Qs ztOCCAPrDszS-;|qyr2J@xbr7$I*VU&yqj#byl;tmv7GGE&s(K>Ki^zjx-b9O&mR$U z_2rg-b~gTX@#CGseU*#OrN6SeZ~D0At;$?}zl%RXb;z7kvd_DhzpVMkGxz<B!hJ%o zYM%Mb^`E=;^Fqnz#m`^VBpv(w{ru&hpMIL0tL6nMvD+2!{BL*3zVu_C+r^8I|Gc%- z%HC-4{aroQ=cdoLir?e(JlXhM`1&iA|F!0xk6HZL*Z7?Hx~o4YN}it_v;6bHuO{b~ z=U@1Fqv!M7*(G-Mo6qr|srdIy_fDbRp3vvP+h5k)?)iN1tyTO!=jXrEZ2bTFy|b9V z-~0LB-KBO$k857a&Go;#`tw~r+nv_I6&>>qRc82EZkKyzr)t%IA=t9I|5C8^_Oq8Q z!sQ;@tu6jlSY`G6gJ)IM^9SZuZ*5G@KZyA|_jk`Z<<CCnzS{3N|7Omw$@7lxOq_Ss z^0WB&n{%R{^=$rc{{80M+s`cLeEwfB|MJf4d8c>2`1!7Ap859T^EUm(l}hhETk)TX ze4dc>?z1KT+0WM3)gJC^*le!3cR_JR+zCrt$?vUmXFqeDvw3py_qocS7oFRwxkLZi z9Yg(hF&>5Shb+zXU&hRu^gHNW?dMD9rmo!ae2(yEqjOhR?$m#6W2FB)W`5i6Pv=%< zz7(A!{Y-SO@#Vt!lRHz-S^vIV9Dj3XZroAJ`Oo&PDn7nvR&grZyVcg}&rIj6HZF?4 zY-ueVTkvk?^`dp>&(!8VzoYZT>KyauUFUiucdmaPGp9H1{>{@Dq%F3eb$x#7%^mX+ zzw?gyPwtrdzqi|BrCx4fJ^hY!ac$n)os}<oW7Q2GC(r);%EwT<|Cr3#<$G=R&xvw) z*JBwGP@Se|DOa4E`1YStQT>^P>uvY1nA5X!=ZtTU9(~F<b5ZElY41xFvX{Iw-}mj@ zwdGrm-@D$O`+C0J+4L#lOz+bz;?t(LC+DqQuy>2n-p*>XeATya4Z}a(`S@q%)KWFG z{8!&@Y2Er8`t;w7!&kRF51&5S(ER#^xR_wMUQ4YE{q?HLuT8T~=DT)rUgY$9XKage zjy+GwwS6~x_ucsT=f9s#x_y{;$Kz5Bk<v7aXV=<pc}t(XcdV%T%v9ek%gv|mPF|O{ z%yzfs-qz~2d6nMJt2%#&o!hBfQkQV=*4wkXJM$mM-0He_&2p>h-nqrcW6JopJ>0jq zI4!!0{rQbOi;HWm-&ODyr&g(N=Rad@ZNBaKzV6~g_Nwsb3wJ)cb8F)7ymL$EzPLMg z@7$NRb8bJgo!cC{<Neb)H>cjaZE5CT;jeusvEE#&{@sgy%R6&_+r3NvTz#RjIO*Q? zojcXP|DDraeet(-{~2}*_v&K%3p+RQ*G?$5TEJiSZr18^S8I35Kbmv5-|ouJbh|S< z_t}3xJhzzrg1ZI(8Fwplz9)54iXSTMdS+uH|Mrg6jNgXmmTJ|m*<8+1JE!<|&7R`_ z9PfU-pMA>x(vSDEgWNBcKJWXf@_fOuzbVguNc<9ce(U3xmFMnpe#tynYJ6|{uakHD z%d20B{Nj0jp~v{4q}61;(q)OqW*)P&nrs=KJ}YfbX50efo7|<#Qjg8Nw$$oz{4(Q- zlIe@IOV!G!p4)QP;&R?gpMO?!U(P68Ry_HfNZz%X>m<{6ZGY+Wv21SVSqtI&OO2Oq zJNM;`Mex3U>wDdelJ8xg*1fX`uJo9Dr02U*MMQej@zS)6V>4d~TLsrfrMsogS!ui2 zcxy!Z9`TGhD`$VS`5IoL_I1^{mb5vX_b<%+GTZ91!DFAtv2#y;DP5L%Z056etI2;o z(xcMmWY(@S{+DhgJhM>kms5IC{41Z^J;p!tcRb$vV%Envk$<OU)47~WlZ@l$KjOCv z{u7yAl{QE7?;_)+_e+=MADj6}-YWQ?dpcX%oRt;byVO70*!ZUgN!j}g8=qsD{L;tH zwLS0stdAStuH5o``L)XWsJFSs=e94q{%(1xo$=yt(<Glu8)u(Cv*`26n_JA!Sj?Z_ z{JZ7)eVd10=LgHrp64!q+vIWb;WgjB)L6aOU0`eSxF%Qe?Y%=*_AxHiXRpiYEUV3M zOW)A)Ex~iHzW&<UgkwMN8QnU4`c+L~<u9M_a$nC_+&@u#eErXtQ#1d}x^MdU`kz-? zcRps<m4!;}e|9~5x%!`3`_+2)g)g`$zi#Q?ZQH&j#J+X@-12+fdfOWg&reHd$<I)~ zQ=a_xsc*@?9W%eplYG8g_3csJ61)9Vzpayee*1RD`TW(Nn~i$UFHNYHn(y5yDS!K> zr+oB{Ncq{fL#vPXe7=}>t9bY8nlk^l6D6O|uFgLHZt>@rwOh^4TFAd!Z(G}Nu9`iQ z|Exv)>a6d47k@rncq_X-^SqqrW7Ezv6~2EKm!y?!vD;SH^X*mPE%!7V=c1->uR5*b zEj_D~j{W?nc}siwtD2W3Z)=Uu9bfqE(oCy(i|Fc{V?WRByv6<eWzD*Udy|j-e6{qB zdinap7i?OKUmLyCd>%A;-ldzn=N!B5xb<wXb@;jmefyie;yoX~U$86fk8H@lsCPfC zSNth8sk19PTQToo@12jYD+`2s<If8lpHtcX%IB-uT*+C5X%)-QU3qtD=0wSKx9_if z{@Ts`_-=XOv1*TWC*yhQUpJgvB6oden`HVW{}(>LGUsN_DpdR2b1o%mZsyEFwckzW zQsOVpJkewPZ?)Csy1B=mSN`Lbn*UtZ_}$e?_qm65gZf+NO6)JpeAI3wJiAa$?uF0G z;JGhn7B2fPKUcGUp>eJ8xi9;#%{*mpWo+>H%!BH=oNZQ<XB4XaoPRE){@ToilId;x zU;4Z)pDQ`DFzw6sb65Uflsq4O=5PGZt?lNTz2~o9=(%oSI`f=KkjcI7GgD0NbuW{& z4=au;-E{fli!Em?F3$=~|1+~h&FHa@$?S7ajI1u3J@%>An|t#7T4Pz`b0yC%&ph<U z>ayu$pYp`HntF?jH%g{II$FAHOA9F5UOxTOr&4n6%h`p?_BWji3A-}0Q8Jxv^$VX3 ztInyU%~`qbr0n~nCwjgw3VYCJytVY|$7{jM^N!8@)n+yM7AOGEwak9$Q&l`Sb4KB^ zjZ@F1Y`ZpdnPmE`)VU|~)*I^@pW9M)O|o8mZs!>b;kWCIciNUL%RM&po1N8U{*q<M z$7Y^mv<kKeNZ*w<=cU{t<D<@{YG#jp3Pj3lVyDmeSQ@+em~8xY(f(y0OYffOS^xUf z@xtTROBV~j+&t%X>93sUS3JL1o?G}c=J}nIcg`2f%{X74H|Nmahi~RPg2z1gufCaa zam}2SX}@&9jqy*Fpw=SW^VKtFT+A`Kq7$>=*@d38-$L)i7u0LkE-Zd!^h@b^U*)0f zdr$b%^_J_Gr6+w>J-1bRNBpZd@t*O|?(A(lmL0Tb+Of~y&s5Cy)_qs;Z=&S-;u6{N zJ!(&1XWIP#peA+p)>)HT_jFBWl^V~gJ0c5eq<#o{myz~<!Ns048PDE@Y|eGtTr+*v zO0!;2v-+i->F+cX?eD8C4Hiq<ElB(42x@j;RKD}^-c-4zAMaK6U#xh4D{W8w)Z;r3 zzf{lIQyy!4Z+F&o>wCN3Og6c<`<$^!+~h->P3GB~JucO=J1%?P-sEv<p6z+r^`4r` zKK?oBZ8GcX^h^`?G?VQ01@6YbwR+Re_oV$beV37TZ^^}+nHRs@f7F+j;<owAwpsVA zLA{1qSHJIkTzam?;c;o-*^0T@@<qq9U)QUAKU*>Pa6d?<-sy3v-<gWJujdyZ|GfO4 z@8h4+X*Tu0?C*U1BWoNt`2qL4ihpOOo6Ot)*R(h7pENk=57qBn3<~wS)3RqDm7C0J zmd`M0md`Y4PcvC<U+8Z9*<R}Gjh?i(>F+X@)i1u7Gwb4+f9GV+PLn+QNFLPpbgN$_ zS<$__{K)4I_m-+J`gpJOY{mOk!o|m{r_XhHH}m7YtLjhtzU!Gw_I}SxI944#dy@6M z`?I@_eQr;)sn5!KSMhI~<a*Cksdp9szHBjxo2=oxc;@Uzx6R3J#`enPChTb@(#D1E zn+=S6mrY;z@pszYp8Mv-n~T?5^Pl-qyV;Pv{LeniIo-$J&wl$e^UqHG?F_c9pAYod ze>W9-(r5o&RBX}mBDq=1%kp&3)Ji?Sr1WLWxq1Hn&tszJ%)4i~U8HyYL94VH-f8i- z&h6lTtMBgrqx#>|mz?$I_cQfcmz@#*H?Q9Ho$$YT_Wu4m9<M49{(JSs>5m)JYR)aW z_N?#wio|2pQzF}~?=4;?`93f)_FYAPTiPD?wO@BUwmp@<BJFxlTA{LOvhl2|y;slV zIW4|vyjXI|6`5HV*W@mIcA_UO+uPJTdgaSp-^DlY^c}mqIaLbOUHpB!*nM;QvAeIW z3yv2*D_e50r+nc>owr_#Z<;TTTvy<J`F5`xD6MX?E;n(nzL;_C`?8BZZ=DxQ&boMp z^$w^9ne*nftnYsZsk7T8&;D`DDm#-A#usKByY%9lSr>KW*MU0z1udXX;<1TYVtqly z8E(clVQ0S-n#}SwemBo@p7pF)<9Bhkj%VL=n#`IzIrGlm0xocGxI(KOlrW-~7aqUs zz5H^9TKc7ou=9&R{rEL=&&r;CV`(zW)_B&};+>0U?rd<|oa%OY{{-t<6D7}Ha?Pp| zznrn{Y=+qEtZ!#Brs;=+y6$zYvvz7<1$BC3S1-H1=pxVAigQ;=?_A88UHJXf*Q{mv z3oiELEi3$fM;F{3{s|pEjo$hAUF+=(li%eRGQ!?10gbM(l^41j-(3ds;+lJBWzSyu zYch-1c-Bnz9gA<8ERL)QIJ;2tY?%AmKZ~=<&Sr?o2ZP2_YGcm65e7MVo^eGKNWm>* zP@3L0FLd*lIkWD4Hkox+JoAq2Z=v3_lw)`QPT#rsrt#yiYxW<KJwLO0s+)1$T(`|% z*m^;uD?feTWmM%~1o<%R-m|`EEBqHn*7v&^f3*XTsC;LHq-FUc_sjAZK&qbEhi*=G z+x%(fte59AO?Ic5oQ_`wDr;1-)Y43(<5x!h0K4dewdv*ipz((t)oCYW&#sd!U;l4G z+BbKpv**s6yo>wM3LZQ9Gu0Y2oU~T3{LbDl(!FV))O*vu>PwxSD0%kQ{W}-W{Bs5+ zzR2&>3g(Nv+gf<e$7}ITqs1@%kI9}r(UX>0^e&?+80;#s<zbuC-8S3wg2rCHW?ntx zyBw5Ua@|b#&de}zHlAl}YFut|JIy9NRm}AA=1UoFn=kLE&f}8;4PyV914({oj>?wn zpYdIM^ZccZuxE?G(et_3-FPoUFDOrxI=;(TRwH$`P4euSMR!0&ijK}QP=TLaX!_ZE z^-a^ok!My)&c3)tZ*f{#BxtbcyyTh{AO*RqrtWDb(Uqp&+N)o#by|EgX6~`Oo3k%u zxTW2>8$a24maTEz`Km_idB)qtdeipk^`>RoN`XrHPrvS5{9?A?V$SS~YeaS~w!AgN zZSy|P-n6V>sk0Y)((;VoW$>NJFf)7Jw`{*CXw)pNF5A>P%|!e5ikbJOxoysN+q|b4 zG|F_a4;($#D<f}2f)Y%Dx#{KI(CFcRmr-_hM|G*c>E`N-8D&gT<@#^D7fa5*sPpc) zEJ*RSe|HMMUt%<wb@HIetgBkRpfcdCz`Kkz{-vP7mo@UoWY3-n1dW`{s_TVhn~Pah z#~~5p9{`G&nnmD<*)?nD<SU?TGk4jta;x67jAO;i&OPV@4flmRnO;u6l;QUL!j9^9 zF_3tvgv867^RngoCK)Eb#V=-r=`91t%k%<w<62E{ynHo!m$7W!l8ZSrE}n@wDtmUB z<k>@4L8D09)&&~Z?*&ax9F@M(b2cL^Kg76N7*t<9=gA8#&T!jYHXAg?wD$H@oxk3` z@A;(8rp-QfcXRn=aK!9yheXV;y>~v&+4(!e<S~1uiMK2yVw~?>{35pu95FVBWY1oF zY%=SsdWK1GEI4A`c|sy)-lM){|7E}t^RE&dF&k&S6wd%>oAoO|*=EnGv?RC9A7{;K zod?PZ52Le|-CuSwXIA0&GuC%5zL{0{{nhTQwBIJP=JIFU+515eT%P?9gp_CU#mDb@ z&%cnNHveKqnEi5adG>d<^{ku!FJyc>lW}eTgTAz9*-~exN&5bmewX2vX5#%n^z!d% z;Bxp!GpNjaDt{F;Li~S8+PivCW9FJ$R@MHC8Et7c>95M)Wo$dUqxvbo>E-%M8E*eC z?5I8$ed({uM;jCCG?UN5h3>@(Zkr7a%kS(p;OtGSFOoWYO2h<IKr0-TJ^N(}cwXr0 z${mYm&gyg9oakn3Y+DW*Cg#pGZBH|aHeNAvzAI>;`OzBCfaL1UD`%bsxx3<Af*wdg zcJXS^u<R`NvzPW{RYgjjea2++ZeHvJ@PP3@r#lzl%=}n&P5fEk^E0|mizW5l7Dq<S zaRU|4dsxa%_Nr!@+)gvm_AYcU-on$HRvRgG_DYe-tc8+i?|g!!t2GB?ed|*p)r}Uo zx(N$4-YW%8R67@d%8w1xK)yM4D@*KJAgH>z<8ZcQqTA-oV|R_Ei`+M7AG^C+dgaW! zGu$?Bmh9avZnpel&)Ezy?>iS|W?jt5Jt$kQpX<4JW{&gX$h*_ric{P+Z<8%I0o9lD zB+vf(cIV=mH$LD3?foH0ffjDMmkXR)3jIy1(@aiRuLM<|_oL6=u>(iWxA4o>4Q|FJ zk1d1Qi`+No9=of}zH+AB3^!w|DaY;>w}Xe5pYcEM13CUN$GaWX4?U#L{<~;0Yie?a ziLmjkgB$N$^pSU83@WT_=ULBMIXS~bI?cpbz1V$orrYL%E>I#|8+`RlJGi<z9|Edw zY`xFExe2LmEaE{0LX<P8gucd_HBB$%^6n0|&6S$HyVLa-U+g)vWB2_heQD==u5Yfh zEVoI2$0c?4N0!vtOQt5E0^n)(&c!dcW`HB+dZBx9iE?k+Up1+-SI$BrMi&w>E_W_| z`R@vDa_@HrmC&c=W-WWa2vkYx++PjyTfuyA#Qe<?lM4VvOijeuFUpX1K;;xjJD}F* z?3>3Xv*xO2-r4&>1d?w|Ao=Ee!STD^=PzZb8TO`qWrb8X-FGgY+2;bTZt7=R&zdRM zn|8Wq*?+5Y&}i{e^DAFKt=ribb@r_WwRd-}2UVVbZB2{cgId~=zaxC#3xl%$b06Lv zi*K4eemB+ss!zS!V#&Yl$L<!#UjU8!KimHV95KqE36*_|F24Cc-EH$H-QKh$H{&0D z*0Wx|2Un=8o!@0F`{%y+rorNwKWAFc@-?2-8V_oI<@{Zh_KzPlY~EeJ3RKbjTblMQ zA5ziy>=D`5GXKN*lajM8uJJu2dmfy1rdYp=o7LlXdG;K)&6cXYyVI910`(ex1%sMu zZkz3R%T0<eU&=U^DRuS|4<v>pcP@T;)&&&ykuzsn&suyl!{oK3)Y&U<ATcBZiJ>zm zWqs@8z*(mz3>-r>S<9X;2gi{98c+;v=m5n~+xoP`Ku~5rta{Z)-*xd#lgIC7F5S8K zrs?B%SLe*SnVMl@efsi_>O@v>bG^{@T?XITjBR0{ar{pvvreuunRWGQ2DrDey4c-# zHyfz*POJ5OmyvdDA-K13{VBM&v1Q(@d%wWFjibF+Kr;gQYd|qnD{NXk8`M6DyzS(h z?+uBe+@rE*w@H?-&sm#RB`tM!h0o)6JKtu4%QWi(aGADIx%|%F4Z^)?_2E)yy;|Lj z4HrwkIVOAd%R5M!X1inY%v+!dG&f`60&vdRupXRq{$4pFzXa4+_?Q50K(Cs0(>cSW zI?X1%parC0tMXNunHO_pm!_>;wyfNwH|?{9RQY*1|HYCs3(Nnryvz7@ro#5MdeQOX zXRl0Vebh9WwKO=><ae6M>E(s)m#yc5OYS1JaufITiy7C>FT3b77t(^Bds_BvqvY9R zm3J<F(O&|pm(Ij50d)wUCYfHIej%gmEU4{ToZx1>!wEF8@z~3hJA9?3$zsWESA62# zArbQ$8ZpvW&fN1@d~;{(vAf)Y;G9#T4aqs%K`rR*mowDLFJ*+ihqR!#?p!=`?`$_v zHDAYHZeq-TA!FN_j9>gk?#4!oBVVm}X$whCH9nyEvrmt+zMajuHb21ldMK#+_|`M) z-eyqRIzO|j&w5s_@w>RnxU(fbizWBEJbt%xIwW4!L*nJ5R{5R1AEbKI{_{$mJvAF# z*F4aDmjS9X-^{wWW*=w@>NlhX%@1io{{Xk3ueoP|8m`%Hn}5ulwNdiytLIs1|9wHt zV#{V1P?2^k9NdE57q<CJ@2q?EnI^OEU*1t&<^hQqc4)-dgCeGG(Z!zng%@@HLb^6` zh3=PsL%KGfKwX>qiy7DcFT3dT7ZNd*-n$L{%ld#;Pjv2B{L<eIl(lBgpJNT0pPj0C z#b-IBIdB==9Pn8UY7Q9Aoz?pCs?4m5Jn2Ekw#L0_mwTR{Idcfqj9#B!8fLnA^Tmv^ zXA26yADUwV^39_dNR4y$tZcddS?9$w&$us+oI4*<<1mz)Z05cMZV!k*>Pt%t1h)t3 z4I%A;?$s}K!Gjx-XI6sd1LNF4?ap7DvYt%~21U=dNweP7pRjva%zySm&vT!(XCPT; z&7!obP^q&Syi(=o*Ls2`&}QmGqG+QSDC?N@rq$a@ojvsn97PGt?=qHkL$VI<&c!ot zfhN=3j5kX{ngg>}TbeAsskL(E{U~sAzzp0RxV>uT-9ER?>BoxMtXF}iH*b4_Yn^jh z)5-!b-=6BWd8c*n?)2}VagZIm_p6tiRHyBk_jT`%$Cky_motw2zLYWT+v1CFW?$5K ze_q!2Jp;J3I@NgRqD*L}$?NtD8O!*Vf@Ub6@jV6?%a04*W&AP)tNL>h+#Ki&G_KVF zHwS*Yn6jstH17hHX~#UX#QegFLA}q|vtJ}3wGJmV>lj}>GtYJLP0Q)W?s7lBm~kx4 zr2BcXJE*OB^+Dh6>3Rz;`sgjYxF*hNF=(=N_Y~_{H&25K2$R>KGHu-=aGAFL8MsU< z>78|NE2K=52A65~SAfd2ziOt%=HOaqx3BN}GDw;Bw;Pgob~>N^GS_6*#k(f&=Iw3= zk4Mz&L;7&v3y<IB{(d>*o3+WTsqK*Fz~MU=ee9jU&4Jn}*0WaX^`;%}Nqgq`4m5Kt zHV@Rt-u!jTte?-Xg8Jacz!9UrVrK0`NW`oMM@+RZC}P6=&t7_+Rh54s!|eXD!tZaa zO=eA$EdPH0DWo}Y?|I+zGke_^OV)cVj{MaDZm0b*EH~Nf4`~iCzsu;eTYhoP|JiQF zU*$obN_)o*X+`gQ(zonCFSuRwZ$CI<_Jtb%m4!r%J2+zIUjeOD__sXmVLG_Bxqrpy zzaOMfv)=)!ZS1d}`RBU$W?}ro$I|cOW^}lLnl^K0KsunFJ08DV>X~UWSu?|AwI-xR zFy+q0Gk&h%7Qy^k*0W~5%ruEkGx=)*X%R?X`H}`MXLZi40<{P#%|HWhuO&^3H-qD5 zcC>H49LO8bea@bSWSW$#KIh#ROX_+&ez&tV16<#57eneBj`BNuA6(A(CcgaQ8XZT_ z$QIAECw*yEn&82%SC{Tw^wEX12qNb}S_Got7QxyTX?3FD7Qt$8i(uWdw6ao2i(t)C zaMv>z-1U6DA~Gu6H{Vw3Y}&+QcQ;F40{3w4cR+hM$3Q(C-wcz-XERN_RUthbu{#&P zYy<mnP0mr-vlq9S%=-E^!z4Hr<f*j0YDnCaJ?LAupBo%Eb>ZM1j;QJ7-50_2&G#Ts zeRCrkRNo}an{qdTYQTq#S<CpBKzcZicOX5SgIQ^-O=itKoN;Gwfd!<#VS&^)?nTG% zdb?lDP&<AhBdmWZxQ8QqT=wjnkD&JGtgpg57SFVt2_CVrZ-sO{S7uc$zX%$s5IY|N zs*`G_fm)tlH(xz7cQL48vUcIJaz;qkQ|}3+>!}xHTx-~yRwCKE`}r)$h|TGpi!BWn z-`vq;{Vs0DB)84=yuE2pqohDyx$vkj?UxlKV!qzF=yTTx+*I4y3W*pGP<3)`ZPv5* z0igbO#d1&y{VFyq?Ka5C^Ne@+gB0BQ3$AbE0yckHFe|RzZSzl#-rec)OTkU({}Rx` z?D)>dmc{-TGLC_kS<G7oF3ju?%lf{L1Q%1Mitk*M+3T=4vVNkQ@mC?ph>Z~>x34d7 zzg!P)FBt!C1J^a?SAF)og0uQNPzsgYvm8{{eB3vyl^>Lrc<cj>Z5KcyW;rxs(zDX+ zmtTBS<M{YptA3_Qvhl2=EszYe9+Y9$XPQjT&oo(m|6&GcInTc5eQ9ML;0*J>(R$X* z`H&0~zXP-iM(6LUW#)@O4HKPzD?u6Nr#?8t)UTfT+a1(&xutUY!Reoz@#m{2U&;XW zKeLP={m;~*cNOQph?vZ}7-I5n-t1Z6Ld~8R;?2uN?wbu{d(-OKq|Tn|F#(m&32N^$ zmZd|6)Xp7}1vg!Jjc3iYgfs|d1cSo=T1?in&EP)HbMTPb-1xI^m>>}p0d5e?S`QlL z$PP61?q2y)*KhI7n66`YH+w^d2F@3PhB{wI#<zjSY;=0l_AvFPWx7H#j@O-wUp|40 z?Wt3DF1C#7b=$npsy8i*7m{&&AsL73&c!d+mx99M%=+b^hFWT^>1F8);EZD)2F^Gx zpwyNeY|1?w+)&F~nO3DOb#|F#`T3l)pteBy`n<(yWzkY+&wVp_7k8r(T%z5X2#KJ% zpa`0qX)>9sH?7nhQlh=PbMeeOM{oq)pKLvACS<7d{&YyjsmrSR4H@d>2M?yjgo66g zbse*II)jHgWmhgM7lCA)Ea*_@#jIuh3orKcEie3jhZB-<gdrJcMe*^w-0BxIzWFb` zxMq$UxJ2`N-k0`^2b^)TPRO3UVhL%e74HNOby|S)#kHSV&-8=98D}Fn<EUn(>4S!u zKF&EP3L4b8wk`zJkuIG&Yw7B%U)C+Wm=ovz_}$IdpjAEZ-rYYSdv>1W`t-kU?<&sS z@im!M=(0F+*HmzAQzKn&viCM*RN()kzGpA=q|R2&b~7$D2WOmeen^V?UgUncegdeW zZG1lvJk&X1*2{Kq&+K$RIOEtX1ZA9yp_@M}0hef-vzE;VO)(UHKlAwxxJ1iZllF~O z>g>7GChy`ZAVZxs(4o%jpm>q%P5Y$QoAy-~5-+zweNV_xXZ>{RSu^=T3!Y5=^6vy^ zoZ2PJz8iu|*pJ5GQGxlZL8Aiyx6j)7AJk}!{O#rYJ{y#{p8M1uhEz3w!q0x$ZZhlQ zgkyJ$?IE4ddPwK<u{vl}px$KG-}p=uZDnwY_CdPb<nsQ@ptKkE|3P2cD|zrJXU}$> zeJbBC<jlIL<8uTu5_sg!#WVhX;6h}M6KI0PbZJ_d7pTHHn-B%6d9yErR*~JD6?Zl$ z$~T`)>g=;KCi9G~xgjIbk>HW&cVBOU8flVJXDj@q&R&XvbUb&0I-bs;)^X&_>DIFr z|I9Rb&3z$bnHYE(*)y?6;6_?<;=7Dr-Qe>5nRqxTdN#GpdZ`MDp3|V<kkkRUp*PN% z)%q0NhW?zjEF3zdrUi+fSF5tp!WUnBv&QrByH+M}g|kBpQsHEShSXkP$WVKIIU~$` z0l2~u-MM(?-3j0h&OX6%6XV$z!5y60#o!K(*2<T;kQVeEH*g1M8@PkBJ=i!Ll#MrU zn>_2@IY<ZRRx6~Db~E&BNvoUjt>$BQi>ohzhX%ewy_f%PM|GmS)Y*S^CbOpg%><9x zFoMQxJivhtT9~-fITJi)(_RSfc^2#dCBn7JSI)?<1@}B9z&+38t7qigAsw7uAO%|| zUjg+z=dMY6DPp=eIMZZy`h^|UW=p^oPQ4nm!r2UpnDomTeCHQ`JfF9Ap8w<dcW32Z zD1AOp^8cG_zgX{lJf9~U<MMd^U8z{N#gEN1OMa!596G&o@#OtYZp$l0d;ONWE(R?| z-qB}m)%rTKq%Ez)%Y5bJouPA=NzQ$g`>N;63zzN1?&_t>t@iG|s(IIW@#DMRk1KDb zm1uo0bYK3Pp|`q~|MHITSAvjLwSn(mq{uD4xYE{fac~7>h4wF=@{&#GFTMD3=Eakw zQaAmT!PPU}j@P$>7uD8GwYKu?KlXXc{Y4*bc5crsVM{BKdSBqa{DWSv-)mc`xet^< zOQZchC%=0kV!!aB<jjkj^~?OeahFD=m3YOkdaU|t%h?x8_6IKiKiBH%`^=IcXQ}g- z4IfuF$?sS!{NLqqTyK2l9c|l1poPdQ{~eQ^`{uog)mQt>lCFD2KR#V>RySK5JiEi% z>faF)t6F2LpC@-Le(bx_?{1G@o>nQyF~P;|=@x6P=1N{UdER63<QX4r&d%JiIC#!X zH}zRlk9`h#zMydZLdm(;=G?icdDeCD<FmetgJ({6J09QQrVd(8KEc{*Wot%>Ra%MB zKC9-VS6AvdE}k4W39@2bq`aicQtJHWn0d!Or-Us4twz2Q_N1?GzfiB=4x?Vb%u=bj z^Cai~lDc#8<XTTqOa(_o&%N^NRZCjQC+QWFXI#t_+qu~ImdD(8X|F`gmS2>d_0eXn zP<e@0^o18&wl6ANf2+*oovG1c;i)?p2j83LroNTA*KdPxuirYS#h|73H+!wEUf#_t z*_BqZse0vPmRBslFTF_lwixV^Z_oSu{yRvWziicV>~jkL(u*%=UR)`EOm^;_hbC6N z%9$mnj$e53<?M@=<3;Z3^)kJFNp8z47FoRvzLGiPqUM}c=Pva4y*7FGVn@A4?{EI| zhd&=lUma}I?v|c%?6b@BBKPGra=m`b9Tx}hpK)yO9`B42P_mj{<gQ*T+3WYUNNVn% zt0q>m##RSS?_4|?<GomT_Qj9u!_zA!TV0)<S+Z&S1yGv0qWta!Pu}8>HW%lb%saln z8=S0iirv-g&3pYm$4SjS)eK&%-OE>A!o`2_1<%<RQ{+O`FNZGAbX)#oiIuXk)y3dD z7d>rP`{{=*|H9PU_g$&?dFJekEB73co%>GvOH1`$zsK3vkMyjMU7cTW{Q5d)<9%hh z`%cQn?-ski=;PMcCwkV$O4phizXz8yY4@M^ftK`sYn=73-DK8X{|uY-LI-dq{%eXg zX#V12`kjw+o}Pcn8J}G5xH$6nB)7}n878mOO#ZIl0h)c4tOLz)mV^3ZXYYK^+IBW$ zT6@;Ax&@%+(>i~_<77|8LEWgs@mJ6EgL+ce{)ZTU6$kYNcQ60{tS{|&&u;erD`tM2 zR<1wex5f7D>I)0M|5*a=(6^r40jk_{{Laai>-#w_ww%%BW;|n-8>q``#anJ-3>sfd zGs(VO=x%JQ3>wNvD^q(1DnP~3!-`YfjAyx?J@f|DvGgup0UCjr9qe1L2Wm;3k372y z)JdN2@0(u-o?@OZ9$>sxp*JmCP^$cUEvN}xeqQ$!cuhos;k$}+e;%34+PgHv<h1u? z(7ae!5vbeb3>uw~)HyBdo9_y$B-5%?-({Gc$$0h|G$l4$d-crdSkMUOAt_LEkS%-F zOw9`!$3QcMv7n_BSHFT9$2sfPrxh}Tx>C~8t7qP9aoe1F?C$2-MefFCkH4<TUAAn$ zWp7%!x77OdLTzwuc<CBsMe??XeY>Y`UwTm{&wp{`?MAoDw<m&EB;W6ZETldMYLdBu z>iIKo*MXLaSMr+%SA*+>X-uF7vi5J#@TKIt<!N>GAoqN2zUmVSssQfT)^e1aSf|~w zwFo(TCedWpTjk6<w)G<5Zt=onvY^J}=Ztqdsy|9dojv%`WY$4W$l873or`C#ybKze z$W||OH?EZeN6NPnNTi$(07VMdRh>DDL8-1}9cY$l>*p(9&M&|CX7<N9SGz&=$eQ>y z%gQ;ymHO%Ft7h)%aob$V+PnLA_$Ba^(L1eo8Q;!kw1pQRFMhUu;l($x?u#S$&2s~d zC>1f6n*^JKeaL2B=ze+kR5xRj#WVNyT7xFOf8M?d>dF6Knzn8&s8#$h57fJDuD){S z4rosD<D9ANAO&I8pthiye9-0}8$em0_xRN_HjayL8a;k@QxCdKd~((=|BD%A^Ot_C z5}UsmG*hW#dtMeaRH5;#Z};^01s7%Z`7DmCZgsm{Jrx`&^}XOoN$j_tb@uwp$k=1m z^Y<NkTl#tGxqIw))*s5-C-uAi&icZ>GuN%A+dsNj<X7tx|NM?&{Ck<2Lx0(xU%T?- zpzV9N=Nh`<X%mc%Pwh2qH_p^Qqf@>4g89z0szXn0-lsi3lVf$+{QjeFX>HXl=`-f; zySmeuy>@o7je70wVhi!V`p*yDF_~9y^6Z<<t>2M54d=(qiTBfWxc|^9_H)bi6vubv zyY_ArsGVM%o4D)kow$~Lw|73i{Nsk!f6-IIanbYtckSo&`y8MA=<eflH}*>%-F?0C zKz+@o^7+LF>d!Qn@8A4)zi1V|h4~MA_pfp#dLQ?*eYZN7`OkFu_X)*w<3IWT*!Zbt z_1^Zoc2SMzzjYL+tNsdne(Thi<a0Z(zC1oRI{SjZ#q{e-cAtC6^mj>dPTi@U`^3I4 zpZhuc@_7sXv*)ecUl-J$+qp^a@1Ej4cCyyb&6dO$d^2AdUm`a%{?Jd~o%`R!-0!J7 zYPsL?@2bxi{><9EpSOIEezW29ZI|b1o!%+?B<0LQy<4|MEpPaqd#CsP_?;Wh)rI~y zZ+pM%*|}@`w?K#QcRnxwwm820+#CP>59ioAJQw~vJMVqmnfWdGmfQ6vzMg(+>ggBT z@6P=5^p<h>iGK^<EH;)Xu9@-e*TkZNDRb<j-pQ+n`%Nldeqv^D>U$GQ$>R4VZ<VXh zmEYOiyY7nd_4N}^Uq7{T+MJz!-_}iUPnNs0U~l!}JG(sB-Pig3{MF4%&rdEk+^&B? zE=D6=f70*dyqGn6D>~=ISUo%a^j~Pv<E)((y>DW*#g%O9f0_M?{a&>#%Ifm2yffEN zKjba?>bA2+_-^^!2Wh`-)Bnd?P2SgBcmM57>zDj<_U?YcKUes(`?<Yl-}~oUmtUT5 zvHjgb_H$Q%zu=tzx%`vf{9SwN#Q)ALUg}%_E@sE<f7^?{$^EiFcW>_(@pH_dr=Pp~ zdx!dmzhA=7J^lUjaoyWD_73%rZ4CZjx2$ZjuG7u3)jHmP`TnlG_KSb>e}8NJJvOuK zSM~SUEWWB2`(DqgFX(&z<lo}o>&(UfPX4{l-u$oq_qz#lQucYpaXY6>4gP%5e)s!J zpD*v<@!p90!v0<FH*sH5{yulVz~9-w^|l-Q-Thl{KgZwp-|HS+u0Pa$xuWgjjN9HB z{L`jv_C8trIX=&8{@K6z690{&zvnuAF8U?^J@%R7KK*+~-hJQU{<3q<;`A4tb5EbY zIQhXD?k`I$K5N;|EdH-sRrLIk^%s$IKl@)y+5Df=c4_hF;3}=>XAalQTUp4q|KP6t z%NEaff7x;)e$n#7m4BF??el#<=aBlE-_y$EYMswNnDc<Q@P1m!yT?xNZu`93EqCbM z?KAIof2uKwo1BoibH|E1kv@FIMTwG?CeOZYy4C#j$(v6_^=EoTw}^*N**o>gxf!b~ z-<}P9a_d!59<QZjaklT<ms_7yocX$S>+|aq+mrjWZ+&Jz{q_FOd5^A7zBK=f&b$9} zW@l{TTyOd9&XMGKF6Vr-swbc9tPHy@>3go$?D;tptIM;do!enMt8d=jorboy?Zvvj z=U>LmY@By`r-icZ_ToIXD!KH0F{$%5AAYp{*pv6(&n10swD9F0Cae6N&cBbDIVJAE zP6Nrk3yRB%sua`nxvCB=kL`*(^0xEuj(x@HVRp=Rva2^={86&ztn1^?X6D;t=1q^g zGnbQZ@$>>Y8DIUEcWm0?PVFqw*}J9qr-jt~M^hhX)<m(ZU-+?Q-8_%S$G^V*lyK(k z<Q<QL_m>*=`hR~RA~pZr+u+QaUFCj@KWg5yoqKF~MGf2DO~v|4KEAwXJ^Pq(%kvYh z#ZNEJxcxkn|J?HM(z(~nzhr$_ZzcTC@A2~BO0RwTKQyn*>^tVaFePl)gF9R7H#}S( zknZ>Hhvt-&%s*RB>Nu@`E%WP3)w!)pUw)n2oce-y&hOI~U7u(4omBsG=jMf-#^!q` z7SCB~er%t`Ue0stJ@Q}OiRr#~#_~?fy*oR%$;KA(l`Y?1uujG!|K*%HE{{v)=IVVv zKczeU^i1w2_huaC-YTv)$vrtQevR!8mveDi-;dwf;Zwa)vwHgJe^ZNIN$s?Lc5Cac zV%?pkb7S_!`Q2AIp1kh<ioJi9$m~}W|2CuO(C?1x#=HCP$QLVLh`SU1?b~GQ<aO`X z-?ev;>)Bbk;M*m~q9TsHQ>)iUw`ZNd=br!e+nK*#%+9^le~~+PvHr`qA2xEvmgUvC z=D(lwZ}z=|JL^7&{ICE1NB2{~nZhM|>sHU%?<?15xhuB%X?)Q$zMbaJKKQ+D-Tma^ zjN7?y58f^MCb!f6*|EL1y1$>i_qQnh%vJU+zxhu!8=70+^5#Fevi<418QJ|A=WVsr zlk;qs?ftW3PMuTV@tF5n=j}E5kIUFD-TP_FoJzNMy_Pj$)ekK}DgE=U=7@9q6uuw7 zQxRDGQT1kZ<h!1ozq-o)hgKRqdzO96+t%{yt~;{DZ+YMDG=G|MX6^PZ)9+8a{Qktp z8L!`Go&Wyy;f%%Kw}juDZY+OduVK4<mVS*&|FJv&BC0=h&XGOw*U;SVYTO^6Z+6pn zC$IY(UH#6!$mrQM@mr_kPrY>MJ0A1jtNLGdrNy%s(r>5!KQ%M|>Ae|;-)|A$H{Crs zkAEw>{fV3MPyfxBZJ(+C*Wd52PWkbe->%i~!YeJFWh~kIY4)6-vF~~<|2S7a^auGS z{#Nt;r*G_w>d)}@*IV}8zuqN$^4<|pNO^AgJ^56#;dZslar0vQ{1uNUuUo$5`SL04 z$$iT%#m$U5=cC+z?9RNfYJ<6R=ElA2-#PJG+#JVmX;Z9|*PUCk*JSgYS+4K;cABmJ zb|<IEO8I!Q+}VYDZI{oPJNw?nzx(c(zkhOPM*Ht`=i<ViTPJ^ee%(%@xR~+nQr4$e zoQlfNJaygq{!!hUOG#hWotvwg@#mw_Zj-&Ui`CrUzl({VcJHud<h*;AcWzbMJH1%d z|NYxJv90%xTW)5PwYbjxW#74%Utj#4Q|ww*|NPstFO28*>b_*2`*`h(<~h5gFaJm` zNSEIA>dw7sc1JAtO83rJ{y5|H?ri>VlQvhM{%ctN{c7B8|8sBk)A=S<C-d=Ni?a>+ z#y^=oxv&39oW0k%>rdWn1eJ1+w_Nv|Qd|nE{zTqBTv_zc<R<^qgEMBQXRV*F{QUgX z_T+b_TiV4>v~s8Z7Xqb>rMF&BKmAkt$-^1H!?W{al*QLiExvyG<cw(Z4F3FS+}lqq zHssH{d~Z*z-e2!?_f`3i%WU0h{%$HH<$hXl=hca)?N1IZ{*-a%?eQ(<yNW(~-mLcW zn>R7~{HdqUpB&-_Nr-PXkDuth{?y9rPaeK5`qCCt8LYQYx%&O7xrW>AF2>27c<KN2 zoqy5W`l5H~J3sW>NEP3n{p|mL8`C*%eaoh5Up{$MG9}+_r}49EI=4=5KK1hElZ$VP zs`)Hu1nZquUjA(A?&Q8_*W)4`&gp1Wcb}MR7+(AK>CvZOgo<pQeY<q4dCr_zw{O#? zTPLquw_xv_>6f)nf1Gi9btZq<^v&5PR&IUrZbo)?_WCvI>DQ)LC%?;Cv3J+RH~Bit z%_l!Lyl#G7E=Mzc`=sB=@A6jf-8OfQ_UW0jptL=AOL+Ozzg;#G#pV2Ox7t3v6IY}* zp*orG`_(vGhj08-*pvHK-+JAC;-~Y|H-ey;QQmsJZ(8y36DMa>AJ69ZpTMnt>fcFF z!5qBhy8rag=_d{wem{Tdo<+3YJmu%-rcXB9E_Lg*_{pE$Pan?sJv}qu<mscQMenpM zC5vBYy-oDii&5`CX0s!(`m@^3lFf7Whl0u*-|EMFJ1dsIxvQ#PetK>7lTUk#9x{UR zQ}eCa{HK??Ke@8_(}zOKDyMZNPrn&|S@`bt$$Ou_B%V7g{_^n0(r2r`2%g({{iX6; z@BEAImf`o8W}oZ*{&Ml$+wvEWTYP8xvhm#E^DiIIxg3A#xb^dW%lr%UYQ5*bh>^Wi z`uv#x7tas*Us~+d|LIlNpIBP|WQzIQ|NrH#%NFM<z1`XQH08|4lso=q_IZ;Q`4{^6 zI?sRg#@~PbvzR#(?e1F6Xtg_RdFH~xViWb+WyLo9wbP1?<ZHJTXDR-YNx#4Re5szE z-~8t?I<E8I%jh|q&sqHRCHI`iQ(th;ogDh2droln1##=&*%zl<h+ki-U&?2;K);yJ ze9`Q47ngl`dakf@-yzGJUHdLsZk4T_x_Liy?bhPL^j}iX7dXYgmU-v6ea_;&FJI4j zT>J9%+{w%@q~`>O{&GwIZ}3a)`J;(n&YnAX@r&-chlM3iWafI@e<d^D=l-)fbKLH~ zn`1Tk-}KG(e6{VxA8UU3J%8ZzOYivyp<QofOkeJv`&j#B^_<DsFRJGTU%&j_^0)cL z@7ChVzZjoCDEsC3{Da@F$1-<)?Vrfpcej5t$Hv3{(VVK5x+^#9=hU6q`9tOJj^YnI ze_M)w*xW7ci(4|kq%VHq{35@qFPG1)-2Nhc&foHv>2tf^Up{ZiE`Ra7bvv_FS)bkV z_=3Lu3*t-s_AQDp@~idU|K<(<%kVkf_7~S%vG2dI-m-oFMcd~u{C|}`{~`a2_j$^r zmui2kJ}fKFD*C0HUhnlQ_xU4}FV^P{e)+Qd+{2!d`<Am>>&{!AyYSvxz4(&6#qwuM z?MuErUv6Kdr{_}tM&`#C^>Ys&eK}wm)%EX+WnA08GnSF_{@t;hy8Qp!H|xFrAK$ry z?Qd)G2C=_Oi+AYFwQ!fd5N~;0`eMAb`s_>hEtYRv@c;FjeBb)lF?ag@owK~r`0t+O zotbi0?xMfgpI7kza(|wZ|4aS(mAWtg=lo@UIe%_<=r8f-4-9^VKmXuS^+ZP2_y02) zdH4VC=FIW<|8R~~>%Yr4?dSeGy|Y5?@Al#XzQ6s&6?SiZAN>-)By4$n`UPQYb>AwX z=T}0%EIIdY>z9;sM_<1@Ik$QC3(Yx)JNzu&w_gsnI$nM$*iyaxa<KLCcgvR-ezX3v z<=j#C7cb{DAAk9B?qT(pTZ+H%*ftmcv9VoT{7K1na`CIss<ia~rB!0jA4PvzbMD~o zFFEHP{w_IY`Kx2zT}!zO#TM%GFBe-a-?!v>(YO7}o|oy>d7pnQ!}GFo?&0|_))ar? zww+)6N7{CO@hA1Y4aKKs*Bv_lly&Z3&ljz8x+h=ewq_5$)NRpz`NF<p6WhHjifxql z&L}qG-n*kXtE`GMy<V@%^ZBDaUxLmZ{PX3~xra_A=PW<HOr7)i=*!f(lb61DIw$z_ zrPJ2GwJ&H}h=2alb?)G*FJ9*!N|oHRjOvcNX&E;??x^L>hPbPiTMhTl+Pq(K@2=uP z?ke8r3E5S?&kL+~J&<|pu>Pscd!O}h=Y+f{ofBMr>9y7G-xpq6iho~xy_}D4iFpCv z>XM6=Rz3GFSlUgxcf!(g*1a2+r8avzH^(nGFV&mpl>ba-j$i&enR!3!eec%K5GiFm z{_*1B;=oD50^+Qa0VO{b=V)x|eAF;uwZ=Wg6J1Tri`FO<v7PctTCAeiy2<lmkchCC zuGbyUz-SF#mR(-++9$0!(XV(uPf`E6Lxjc_{<^9^XY4=knfKlJ{F!*;&$Z8f)}_CY zve-RiUF&D>7ls8ND}R+)Z0V8XcAs|n@q-&&zxXURPLkta9(J+NV)v|l!spUoau$3{ z{iSCS;(wpl{n};Whd0=&gyx*M`2E1j-YvZ8<`*YF{F7G26?4byK6m@4FCiA2=I-O~ zGrjcvaHs4S6N{~r{SMrW{lZ*OXKpL2_ikzWfrZRpnhTc9oX2@Q>P7p5BZ^gGbF!Ac zevs2yCvi@G*?wN}{!5!5Uf`_ald<%)XFATK*Ht0n7jmKaVTkJ7md&{@k3Xofu9Az% z@vmp+D_tVrt~~eU&w@4Fe_7_d@TwR0D_t&YaeH!|(7Er6<~MZ<Rx!%Fa<Av_<5@7j zZSv(8tp#aTe|hH2_FVqJNp>%X-uY$w`P-jgvTs=Y`Nh$K8oR$bb4p%3e{hDWigQl1 z$MT1Vlzz1rR2u%Zk@36i{qRN5FZY5P$-l`KJLkl)rH5UxZ!Fe+$rBUds^5Nk^~?E( z9-4kR|KLSb6|2np<@J2(*DvpHFqVEXwLs5(cR`}^U+*1zI^$T|w_ngda548wcY%%n z`nJipU&bGt>Gtb-K_2_uR&MK;rUlN8b)4tw9QN~szg>9!(8<~_`44ve{bIGFpkZD6 z+O%Dadi6g0nVv_z_*(Eu;cxVgbyNSz#{8Iak3qff!v6N)<1g$FEYyD~BV#$YyY(N( zY|$_C9~4MeDaVwu{ne6LwIse-IP^<+ar?Qc+>F(8uS|Y^^6K2D1>!q3A6#L5ReJZy z3D-^X1^e1}T@LPx)0sW}RBOZK=_}QLpSW84H0glv->bpQDXXLUVl;m<rmqVsXFGjy zMGV&t0~g!cwKBW?`XV;T7o^SDW$e_~H^rM#Jn7ZT*-vhqE&95)$S|RNOVz5dZO2YL zZTMUGYNqv*Ss#l^nqs&ts;5^~O_JH|eyruz`ukT!mY;aHx2R@Sj_>5tjOHp=jE$en zVt;zUXQzdC+3P1i4sSAb*JHo)p`%Jo^SX^%`?Hfvk3W4=bF<E=&sI~~|K!ewyUVXW z=6<>={mG}|qQgR#6Q>>4KjF)`JTBz?n#tL(o(43_REL_yO)h5KJ+G=}bIev(z5J=t z+fH^~e>%(j>4oS`;sxJwOLqtI?N^q5e`;sL>wCfd`}M=$PWsGf{wB=7Mq_sMlM8h> zxh-C<K5jp0^{l5g2Y7W~buP-0o8mnGMBIUsVWqoO+U+OLW;!Puxc+xcpUl+NeW!mm z%oTpsIeF91))<Z()@u)6zh3vLWalm?zVK7NjLXl3the^=o1^=i@%j0{@>{1bYFXY1 z%;U1S>bdt_jpe8Rt-F`cTU&SFC->I=%b|T`lYN8rSmwN2IB$>3x_7GL%z0famRFq) zlea9|`G$SY%k}SK6y4cREp6a#zH&MI^u~ilUrj;5-%*vdA}3aVI<p>6xc_~H<8CLe znx8t_aCb&k)|8yPO4FIY<p-a?a>8_LQOTMZE(>cvTiNN!<)@CZ?5x=S#&62w$tl^J zV)%9>c2zyI+xe`{(!^KKRv~@)>2Exi-zMaonN-Z!{%k}3p$a3T>HmynX1iA}KQ{C8 z%d-Wi7H&Uqll4pdgFjAH+A%RM`OVJfFRed3(c~|iOtjbYhewQl`C4q9Q^$R7-Gc3h ze@^`p|L~F4ueZVHE-hadT;3~F?|E+B<hNCpcNEN7=Ty1gjnbbj{laa|^-~w$ANc0B zi?ht^qIE*K@n5|+xl8Xi|22Oxcf-cH|D<!wF1<f|jju{P=lv4y4V%0FF_e{G-kq4Q z|5x$N?=NyUGM0Tml*YZ6e;eP$--)}YeoI{LBHwWL@R$3E?=}7kzL8%(JMpo~U&9<J z+5Nko|7YH&^+N2%x+UEkE>E-L*!}i}^M>p5{;9tyUtr(Zd#*$~r)=SW*67%m{)xM- zW1HsA`;xxlcK1K?H?A)ZZ@53*j!ByN#recyg<6qqY!{CwSbI%ROy{VTDQmx^o{%r` zSN?{)<9~6pq%WQ~WL)bRqZhx_PuxAt?AC)H$uBP_l-tx=z42Y3olviIm(5J=a{7U1 zA-jaOExVY0=v#>ui?#8~Z5yK9=d)ICez`gEf8Z|JoHL8}GtU0}vN+-N!rO<xG40|i z6T9^M&^x7F%x`p;Ss(f*v`aWgXX*Lov#BNNH=_LJ^WWBfVen?nlHCX1Y5iil5$zk# z@jG&tcFvlmyAP(>)(YmVUB3J9H?~_Xb7k%_mziI(P0SarHGGr5Ouw-=w?zNOnak4; zT`T)#bR%zx@`laL?|64xzqH%1z55;a@7*u!Hf-$QCu7Ec;cw#VnQ{!bbxTa&$Shjl za5t<<;my<++KK-SYDIHo7PB9G#`!DjhLtCOTW+(wM&}_v*1x_t)-GA!xOdwZfgAbm z@!XG>XWWoDR=VNlL^-bLxi4QQ?&i)t=oelk@uqBf`{6kMUu-wtyY@Hq-uvQl<BfYg z_cpc*=7+vHSg~0*znGn1?scE1+WAG`2Ky=dSk2llZ$I?Rqsk=b=!@G4)grOX){9?8 z-B`Qy_<?&7zsi2pADB4Lb(15~>0?`7v)tSE@qy#=iVu$*{Xaf9*euR3XHx-Uu=B@( z7)QIs`QzRM@P+O9aXUT!`|h+K2j1`d_K$zFSbA~W)-=<XxyF+}*4O7)zI@?-d-Haw zx3B!(taZPAw{`E?4EEid|6c6-o|JEW>ul|f+r4Gg_20fNzMH+FTq5^zz_-4|v9?Wn zFK6s_-&ij9_CZ9M!L7nG_fogaR^M1I`}W()H+S83ms?eTzi4(Y?Y-fxt5fzq&)6-# z;k(q^2T5fXw>~a?v)6rhC2RHki+S;9@0D+<zP{<ZbnaufZ~qp@?wwhCAY=FTjr=li zANZ9eZ+X1?CVOw$XS;6)7vI&p(cV+`KJnYa#kn@;>~glO_TR)WpZi_%o8V&Ek{cH@ zj_YsgmwEe4`^{pv-G8Nizqt5r?@7C~ExnU!-(-Z}PnS2p_0IjxV|VKxEwcS(e=L8u zT+FLGX;--Aw(t%0-m>@6-%c*h{d2%Bb<1k|&Hl2v-_5^eE|&ds(9g~K-|X678Roq+ z-Rl_-TO@C}J$b`<nYZ_zyxHt_dq!97w~X-Rsq>9*<+awn%V0O&bopXmdg6TZTW4?H zc-~)D?e~p!@!i?&wGT6-KX2BTdi%=hO}G2)*p}Lp8SLGgH(%^KzENNH?Xyd7PP^^i zEA#u)#dnr(wB2q;wAMb&2oFz>H@)?4)|=Jt))DP@W!~OMjyJw_miOlMzOriJZ>5Xx z-tMXWn;{*&F<;{Cs`L8_x7>Yu)4aEAgRr&y+Y0e-XBXeSGq?71#`f<?_pNU2t-Z0m zcUvLD?{62^$sO63yydR*&GNpo0+!vTw>}2G+3mKwQs?)(i+RW2RJ-ji7y5ngqM2Xv zee+vajc<JK-&Sq*yYV7lP?_PakF0Ol-FH_S{$6-7FaA_r#g^*u4f2w?i%-^-Y*~GM zqr7zPceihk7t7u`lh<EXBmKMcV&1)zbwyilmv5dg_4Z!vo8|7eD<=FslMybTXm5S% z-QzdU-K;An-IaX%L-O0_#dqIqh?jW#t^19*`|j^tzn5M#i%Z{cbL;B%8|!<vMQ@6i zefyxctbEJk{u}Fi%gU!ky45#cj8EFazIo$)iMOX>-k7`Z4olr{dh6@YoB91^$%@~s z7thr@r02eStHtlT7vJ?I?>E2Iy!7V#{<74{Z|sX>qbL4-m~lNkvEJlX@70^~{bjq< zcAMW?TY6)DPucb4daGN_yf^3fmZb)Nd%igK_ME>*Gp=t>>X(1pcK%<{mdkH%{=Jy@ z_Q=1iExUJbQ1AJcQ2y=u;#kg`_j}56<G*cR9Bbe3_ilzcUsApOt(Obm9L(6>m+;@< z*4@Q7?EAhwi1_BeIM%Z9@8^u`erf-WZlxXCSGVZm`NRL;y!i6JZg1JK`ttwvWuMRd zue)pidjIaCZ}sKR>&t4+)$e~d=l6ZvAM@uf|Nr;b4gN|0Qudm^zLDkiyVmN)d8yx3 z@n+waFJ``wk)Wi_esSg+IiKg7$~a;@z6b15e%*d?DG%TB-<t|qY^M~u%yv)GTD$ng zvNf{4;+JgO-ktchu|%`^@r!*qGXDIjVwb;hMtFR8*)`>%=NE@#>X)Bs&hUC2vP<*r z<rmXRgmzU~J=;{q7VGidXP5Hp^ovV*&Mo`BsgTch%Ez5sW+rK^{rqCtmh;P_FYRo| z^M3ENOZ#v4i+Mcy%h-)}wU`<Cq&y9>ntb*2i<oPA%ei01IhLpV_1ZP%U}#Ca*}7%z zMtPT>na=Qf9kr`c_SW@e?_K5>WG!c8ZQEF%w`k?X8EnFmdT!f~pS3HyzA~{^QzvzU z$=&I_sV^4xOkaBTsln81pGtynX<yKNX;BhgrF|*(<$9OzDLag8CtTFpvQbNI(<Z68 zCttlP(aYA0^(g1vrTjYk(o&vli+*p~Xl6U*qt%w3dS&kqZaQ#p@r`;R_VW)vsG7vN zf0kWS({N2aLbiV`XGBf^+xZ(mTbKPmSTxD<Se<RP+PCFL5As;d5$)Y+FjstY>xP{Y z&rEZ4+mCw9Sl9MUN@9KM;nEEc6YH6I%#Lm8dpPaJZK=Px8+Y4QStnXMq?_lwZ7l0J zTf3oJqDpXEzti)G9NGSFoi}VG*IqWTl|8%pf~B~&ctVk&l%(7AhYz@T)*riP`Xavn zuJpy-l8bL|xOeE>-52-Tk1|J8b9{E+@XI32KjCSn&%C2wWJ~V3Z*RXR9x=b+-*<^W zJrCdC_`$x|^O?-=orb<^e7djkM9dX^Yq`-(-->(TZiy<>HTv!Uyl%wvvS&ZM;G8UM zvi(3;|Fyn|yP|LRZrCPo#h&=w;Ei1C(~mc-C4Vn}{6Iz~uHo3yjJVdRuP>b1SZ6=Y zsBVgx{NZbtU)VHXi@q`C9(zf++x&~ip3m?<aO--+PhsPiem3I!^-mw0@+Dx}=8Fg4 zWSr|>S(39$_i*7ChqCbHwc@>B4SxQA=Qo=@Ss*cf+40L^J#T|ATJy4}CztSr=^u)@ zwtj)PWW4+A>&dUhO7f20i<P+7JC)sVa^CEBCyo_=2{4Oa_%?jwZ?Rwbi$5x=ZTe;z zd*VU%F8#~$nXlDz{`cLJw=j4n_xo$N+M!jQJJ<Yg*GskG&OO()cISne($(AvI~2mi zj{EF7eL?nSM5o-TXHr}Cs+HM(x$eHWuX9bVv$)ZX&UdFv_;1}3zWsaQc6&a)gD(Qs z$o1}AGv8ynk=<Og^9RDZ&Q;#n&2pGWJ3LwKuJp^koI8H}sh{I+?c?9gzxY3glz*a9 zw*G}yp18%ojdU|#$L7@c#PPE~HvA>D`hKF`>-`s&_WWOTcK=4xlQzlr_UD$|n9X%~ z<whgsv`ce)-<@!qDtGGHp)I+|<(^-TFWhLOwrQJI?1_ZjUHX@KGqaU*^84<IS=<m? z{C2}G?q{3iE-mfhUvidh8=w1gjyJO14+|qKS&d)Lb1hGK%v7Z@d-}z1PjBpH`<s1n zhoo?1_Qh5nxdp$CYJ0ZH9sg$h!nV74x<QrX>ii_V*Y7Vc?Xh2a_WXvgqE^Bi%ny8E zU&CWK`Q82!cQdv1eSiP(q!vfrn#Z@>c%i+qRB@70_UFs3JYq|K8^v~Qn|5ql^Sv&M z2w7$E)vpuvUeCU;v}gOGvuihQWwx?T$~WgJKYnW84A1WgyOgEfFFD`r$^6aoW?oxe z)3Kd1PTV?LqS&nca-Yxo<!hxk)M{64fA}D;=iR|q&NEK`5-!nv%$IA|zc&2h%cS?Y zJogWta-ZS(oqLzE^!*FYH~(~Qt3UQm{>A^!V@Ezq{r~Q+lpTDjl}D>2e%a<r(^}u| zyf~YkojYlZK-lyHG1sOq^_E=kGkbMHwr|O`L-&43<TXq^YcP48e|buBdX>iP>Pz3^ zZrqjs%Y4CtM>x{DO)sTD=<f8Fl3PmEww-r=Un7$u5!-cD?b)U};n-6@_-^eHj{d%| z*#4W`!4H9JY<g#w#4oYl^wG+8%GvVF-?neQUHH$^Yp1s9n{Dig2jRQ)FYnKMEuZ6W zb^pfsesf8_Wydn4)|~6ux#qmzbfbCG&7L2g)_YFrhID%(ueNrA+TE)!`f}Dd^QRUo z-?}HUJ9@!>RjJ#_O4++Fweoyh_S-1;!ZpVmc1(LY*pDZc*o65Xjk$JynYU!T$87P$ z*F`1k4&2)+5!XI7+F<g#*b;X$t}2b${g=z6cb{MMUq)(uf>L(=#a14>1;35{_H45| z{*C3!zGDaZCH{6?y>B?ZH2L4g`O|}r1z%X!x%TCSpZwp_junWlInz3`M0|nuri~J| zQ_e2Utd@MUuH&Cq#+t^?HI*fb&Au=9`D|adHh05b<tpok3FSTC4!o+Har&2HiRR<Q z8J{z9<~E$`yy0zNBde{Mc4=?RyA#JkzXX`&FL*1xv0D1q_Qi$zYMZ_p#GZKIxl8}@ z^UT+*Ipr6tdD-75gd}}UK9gE&6MO1M^sPU9(e{f!bAS7H<b(bipG^mDocC9|yYfX} zj*mZo>g7dmZ*H*W{}pN?cFg74v?bh<I_}?7cX8#e?RvZQLbNoybix+JF!SRv*S0V9 zmSo?ulY84YC-o!u7$xL7rXDt&{BC23yP4mz_Dz*)x$|4^e!jS$q4%`G#I4ycoY*Yy zPJcOf%hf~Q{=TqnXm&TO;_22DlluL&$oGwZd++}Rrrc&r&BgCdy~wm>qr$W9#N0xi z>BkZzO~Ma_SY=<xm8|;WkT(0$RjaaX$9|bv+!1^{_rg8q=u7we-<^CSu&YQz%za_C z$v6K4$2v3S_W8bCS`zLy{eoND&(Mh7Qm3U2b_kxmZ8-JZ-je7x{>#iWZ9T*1A3FCr zqMormwkO_s_mOE|?3Yy=N&1KHJMt*HWdGrR=WqOAUAupS=3Y-Rx!;v9Pu+UI<um)X zrQesoTk>E0?&OE}zc{%4zi@2-j1ygnpMQ6*@pE~;$;>d;qg-g0^6SZ$mhz}A{=Lc2 z(ss&6ku8}?T5C05F59wvQS_ymv+}(2rFLom&3rkJXZd1wqhI`Q<+|^6M%eTI-E3gN zf4F;Np{1K|PyT@?Vm|MWyxN;#-?8=hg-Wiwd{z3%e@nmIKlp%|$Nu243X^?q#SK&A zFZ^O&{eO||OQq!feQli=Lo8;n9sZw?U-c#Z&^w)sGks5EBGxCR+m(nPNlIL!+x7EN zglzlGpomDZyH__xFlT2c@6!vremuu{O-_@iY_G1tEv{nu$Fc=Ggw4th{>zhi)0x`w zwRYoX`(3;`e8>JJ-mnvzo4lb^=9YTGXO%5}M@<|*`}CYY_HbuLO!LpLH+D&OTW_f4 zFXMV+*Y$MrjcUH%`iWnpj^!tRvRh_*X5WElENAu~zji+2Pv6`64aO%ICEJ_t>pZwc z;#@;-q~R>#$CZYW9G8z8tWGSpJQMDeURrY9Ex&e6e$ziOi60X0I(lObZ*f2VYhcN7 z`}kt<#}7h!{0_geoN@Yz)~+H`G4lo0%HQ%2AA6f|x7%0J-gox-gx9Vmafj}`me|*L zFa5^Ui!8T(NPU*y@J)G|Gf$m+`KhDF>SC=n1uks=e_!=QM(Ue&jsIq4tm*GubM>VY z+wBXrGvB#HTPIiZfBAOgV4cL<{;ST0)4wi$8FWnj(lgH+R<d)AH%w2ekP9<9v!vSe z?!;c{mkV?5`Q0v0_#XG=z4K#1pOm+nyCxjuE{R{Z{^GUQH}o!6i?hooUpf40`i#@R z%1bmK$7g&F&-vSW?*5J0DIYh+-!BMS<I_8{Bz=MPCPQi4DQC5E&v)N#y}13NM0@8N zU4L<-h^g;Rm*n1xV!tiDXuBYv+3^hSHF@nj*SvR{Zp4>aoS!4x;m2Ivo?O8a)_2%v z*YXRpH!Zs5PCaAYQma^|@BF{WWZhAhYkAAKCAYlT=Oe#hEz|A(h2Jgs<{f+yxn^I_ z&NcfzryJGHH?u!*ZTX9uw!P~O<}^%|H<<ix!n+g4_J0X5Q;$!2^ZfWcrrnnp*~>~9 zCo5$aUuxxfw*0qI?38U{hrb2A(CuYrUo5)uRg&K8*B6)em@ho*yK$?iRdjMbJ5TwM zQ}1SYmdEc>mS(@~eA8yqw*EtTJ^wf}RA!vGwX;OAxf@iOEm^yIL#=I<`GW^xJ$Z*; z+0Hoq%eh4Jv3ka5&z#Z=m2%bVlWrV6Wj(|5yYen&>3C3O*0yc`(RcnY{`VZ4KjXx$ zBi?;~_lOwHnDp-S7N_XT$<<+BbY#x-UbKmb<tUDfZ_-QMqm!%GzIN)xNY?7?q#a^m zW(RzB&Aupm^TW%9Iq!UKGnRdKsn<8*JK%DSZ!x!|jPv)@DxuuDJ#URKSPQd1Pb{$u z(?1$>ZFY&~<JlR;$FIr0xYN1!x4|BH?fxXSyUSnp<?Qj`PyJkatB!g1`$hldq~<3m zW!LVSaPWIc{IdO*uI1nOC%w16z~JSMjq~Sc7_ISZ-nr(y$8@84bIqO~xVGoTnRZ+0 z!>${G4*TrV1~s>O<xV}b+Ok)#%-pFy*<{-hmuuUWb4$MQ`kuPWJojz$Ted3phYvV< zl-9`g?_4wAYr2u$Y_szRueH92Y1w<)a1WFA^+dJ1(J%UP?zr-&ZeH+~edBM7U%4jp z4!c~7TgWZB$Mt*aFUH*3j<@G8{FY%CHuxpA+CE9|_5RCCdwOr(INxn4xNVuk@gw(2 zB>u5$Z%$CVyYod~j*bI=YO&$1IGNqvi}&+LX(uXWXJ2ULvDu}6**9}_-`lkpZ?mym zCv6c9`+gwi+V{oYlJZWo+ml{vl=L0D$0;$dW9ntY$$4JoDUXG!G-iii{C4%mUdF%D z7k9`CNA8}km-4~j?(~<Fw|o`ZHsAd{t4xVu74K@*XPf>R#-6IM$*t>Ln}6{qU$uSm z5ALvkhkSNT)-nC|`SQfxl`j_N`1#*9PPpz=Z)UP;#)(^pN)($_U+(jnzIg4^jeEJO zRzFJ6?YVaBRojfyzn+z7KF-Z7w$5RF@uq3-UIU(uMG9$`Z0Ec?actw405kt(Z-X~f ztNlt}SjechsZ28V!~>~a`j_Wy%RBaM?u)Gj1@><I311D*r2duMHRYrCmY+u3?m0a7 zeY3ay;qQo_BE~Q4T+36E9r#l}o8OX4`Y!+FziaZRrN`^)gd%58(@QZBzB~P;%obDi zZO@(a>tuAAE-s3Q<tmOWe-U(S_2p&VYfCRi@>feI@8AsEcF1R!^#$3RH$Z8@Zp&W% zGJdE32_}6<T&^YUns6|)B>vWVUE^=xSF8S=^zP)7<Xx8zC)w<ZPx>F7Q`3G;|HhPi z@g?DIlXa}W-B0)F`WX^2Tk7;n!x@ZcZyHSXo0@mJAhAkev+B!N7H@RgzdkM8!F6o) zqP=F@mh4x&JNe|Zl2mS;ZLa0kZ`g0#U|lOP`;zs9cc)$y+_GT$oV>A2W}13(0oSbb zM6J2pFShaMFJ?E|-L@{Sd#=oD^T!|jdZIJz8+2dVyM0gj#1mU7);;|~t>n+6GPP{4 zn<I~>`7e{d^v>O4!rA_pRs6mF8&sS9U!F?8F|GIf%U9wzrhcs0^-c9#%B|&h*B2<v z7Jl*TddA--S;@a%xA!M%PF9Tl$-LYA)6dB-)Yd$^G4<owlDA@SPJRiywU?KDQ>At6 ze&$~5jjGLJu{*hMZz?p8-OIk)Xy5d8ciU}e-t*th|G>eFd)Ge&DgH!nHvY>~-8bk; z_g}hte1rDm<1eG6tBq=#%H|(w%&~L5KHu%JWX{P4k-I+0Y)f7296tZ}x}Nw2+4@QT z%k(e4J8d!HZ1{^`t20VAsy1JLaq9JrX}#tzUd_HS^<#9&+uS!NzsTOwEmY8EoA1x1 zcIeuX44<yACk^I`-F^AQFrsr~A=5GSr0*(U{14t+DWPUzA-mZ4qJ{M2;ti9M%H+cI z5Bzaj6WeoC^+jCQ+|wKCSf_<26_|dTePf4M`}M>c=2_;6vlbU>KQr3V`|e)j#kmpL ziJ$YnymNdUmvg888uN{*@0?5G&E%J9_a`b!_g~(tZcr(7clm~|JipE-J+<ta@BW+h z&B<S<zXWWHTey0CqWiJ+mz&KEtGQq2C+|M;A$HBa_LuB0YC4!DzhrzC&N+SSd5Pv_ z{fx!^J9+jk+Wh{a^n-wB_80#3XZ&kv<*8q${raQjI;UN7cPC!_wPi2kG^3jn%FGV! z%gOWkJ$u1UO);Z2m#?MWn0WEomc6XgjBZXU+kU7qC(rl#cE`iVr}1oCs(m{#+HBkM z)z%5&$E+`Hox4H(^4v`G1C2TFoUWI<CY$G+EGWNqi`m-f*5qy94+W_yzJ1eMJM*n` z_3uXk&wgM0_b)@Pp*2UwBV78%)Q^rOZxh~}{33A6R)l?1r9`Z~Ot10=)#i?MGM%|M zExOjp^~OrdJ74cRI`2&1;=PXxH9pVy=I`*?MJJ^KRNl)<&UL!IJV|r%<qY+Mjc5Fq zHydv#QrnjLSZ7z2*)$`|_Of$F_nis4WzFAiWIz9#zQbpyoYTi#OTH$(IrT$m*R(|c zWz#RcOSPDA_VmkNq8Txrtvu@&tzErQd9hk<eDhMDbxY^I-k`tvb>@3EnNxq<Ztdqh zD-*pvvA{a)-GOa7@0V8dC;c~+v1vWbC$)aTPqr|xEp|s<EzhX$JbL|xT*7nlH~$)+ z?!Pg6%6au~%a0r^v6v&+d-K8^>CHbk>@;}Rot&+yGwt}dQyFXfR@$A<TIYNG^`n6K z>A5)`-@jH~%Q3E6aDH>!?F+WG^RC`+eD~_}ntOUB(eu+wzb%RWWwUy(zN}nF7_+sJ zRmV5}<Lm6+zmoOc{<Sh(eOdIcJ*#WE?p}EwyVm$c$o%rsV5`f|W3|OEciO$rit%#~ zf8;Q~oOk`w>R)?S*9+gh`aJgW?UzCG%h%p_F8@*)zI_3A?Y*tvH0HkkyzZ*{OP~4W zYi-=C^&ba3TYur->Ws3D6CP%7`MOKz;$Mcn{u}J%{;oHaNch2R_V2)p_#XAApJz?g zyf}+*weh0d#9Y0SXU^q`U&N083VNa6fAEw=glv2E;*E^6W+$b3Z`pSAm0!mDwygql z|6a6}*xjC7Y4R=U&HScj<AMr3De;Asl40xIURSNT*Yi%+V!~PLmsQ-={0Tt|J}Z1n zeOkC{LgMUg^BlfM-aY-s^49AGKZUlXd@zcwWWBxVqgL$Or0`?wFaEq8QP18h6us%s zgg*O2w~pVK{7ih;^n(?<KB-#We-XFr{-t;28Gkx<eyLw{cK*f*5B0Zv)h<i<B7OG{ z_ul=58lUT5yi=+1Go2;!_T+{s$F7v<{mslMj(QWa!O%7={lL1O^d;HGiT=xsFTc}~ zIM+DUa-*(v@x_}pFV6Kd-;8N^r_<Eyx^b68+0zYf=F<=Tx|E^Q{Pw9~45Kc)wNcc> zZQ+N4)U+?3(~?-z_-t0hi2_}#uNNZae;eIy&@(@n5`6b+sruyHuV3GODV>??Uv2#$ z;F<NMe||IGHLk5SxFN3nJ25(pZy~#TQkh7V`{RHx{{_}YRui}RAF5hZJ#)U_@AQNk zy<3{oj4b=}Vtf9nW~4=&xHq+=NYpAkIX*1!!d{;IC2MD2*z5b<X!qQ2?+zTZ&A8hw zD|y%5T;Az@qRgp3g1dgRxcM*oD>Cg;#k_M%|1;(8>)5*PUFs*Pw|n{yT4zj)IPoFY z>MzIK{*C&Z<?jAtI{Y!Cwo}&fN87o5uJ5g7PQ5z6Yx+g~Eq}GI{lBvJ%>PAy_Z!Ze zao+Ow<OI#ho-eOWdEwvs>`KImxK_Vq?&)lAPIoP>mOSScy?L>9|GU(%rF$zkZWM^( zPIh-QyBou_SG7>%b74t&WY09`>PnrZ8@c9s*N5JU;myu|8K;(g`J9zRPQ$adh!X|M zR(E;kp53UwS@*@Z{)gK#a@%DsZ_GT$^rh_J!Zq)fR!g>S*=v4{|H9rg{7e3_9cy1$ zYcb8pvMEot`QOG2x%SpKb6wQc7Z-DVOMU8d_w)yyTUOF{KbPun=FWKUloQi+E%nC4 zi%&~7ciuC#nDTRL$#=D$qAmHyf~3kX?feqGrBeLbyX$*><QB|jYIeU^!^16U=eOK{ z@n@cIso!+&)(IY-exXXzy6A(|+`WzWW?M|T`n^Pd@%PN%%xmfwRnM&V`aM7Kj{9$I zom8X7yxRVM3}@vxOxPR$@`-s#+l}c{>r3<x*Jqx7Q2gm#%8ltapKLK_HCyJG9rfl! zhSe@h<tpQc0ndyt-@R!#i}|hP#wo{YO7#Bz%q(VHqr0ejrjD0&cVd8Zv8GPS4wJd- z8v2@RXRh_w9)7r>bW6;e69=tIw&_*9ei#sDer<1$*}}KJ8}}`Jm%2~%ZGP{^ZyE0! zmim+}iQc_vzx1r#$%@t0mrvTgc+*`QY3cFZ$Y#biK1cIQd(ZSO*}Hf{p-q%wSxO1h zT^rH8mkTsLx4fIzd(kpue)m!ny+y?@<9%jNPk769_w*C5TRXX$UticG$1Qo!Vfpq2 z#oFIe%cSq_<37Cm;x2aUqJRrGn_t+rI7jBbj&t+B@NIHLz2A4E_ZR+sme}9)ZFR({ zCEnZbIsMNyxqr~<o85xR{1<<6{ND6w=eyJ&thv4J-?Q(Yej|PBx0tm3qOZbbDPN55 z{*m2lU!d{X{^hzr+28-`7k6HmC*hqrqeSnoW@fS0n!oPfjaFVb_eJ7N)3Y@ZCoU|y z3>sbiZ8W<*Pru<|Q-*GftYt*cIWhNqPMK4$jCM`GxM|B?nQP&f_MQn}_BYfZj`^+W z#wjPGN=`f1*P56gaQbGpbh4Gz?8Nx6Z5Q|Q)ZV%!c6V-x{^q%v`Uj55WxVZ_m3-@J z{@pphUgp#vhF#ShZtaU|C8k}nn00PxJx{J&_g3Lj{mGLvo~Q8mxqs%-NvTkqyX?iB z_S%_q{kQ8MG;n?$^yb9D(2_FKDqopXuX=Y)zv#PVZt~x<yQlx~-P$kwxccHge(#xg z{I|=w{TDQmKkD>t-jd17FH}nX-t?*IUFr{|+`TRDvUg3t`FhKDHZ!j+_m1}U+*>?b ze)09g3(wdu+zlGseQP)E(vQA#OaI&E@@@Fm{Vw&B_S-*g2jesT^|tc-UwGN`nd!gx z{OLh&PUjfyvK4I$UTn%+_9UUoYBu9u$wH0Qn_tGcZNB_XCSv`oxM!a){i~7C@7dP7 z)JJS#wD%%=zOzzdo3_i$)#*RSbz}O}&{F-wS2MCpORitrd*<50y`>vBDn>~sy1PkV zK701!ZKigk`;*?~bzdyY$nRfjQns-8<$JH$yAyS<?DhG!Y;LWAg}_-hF(aD}KYq}- z@oAk!w~r@P$V44acIWe3wmJBMwP^dMs%h_1OU!cTH-F_W(f`by`Cq9=-}!fuPHGYB z-Cdv|<vo1dH&4HOl2(#;aNn9OCHjYVXS~iUk-xC_4A-q{0qOpQUoFZ~t}L5<e!+Jg zzSMs*cWXHpPrq2l>YcgA^ZBLvZnOKxZvEah{UrOA&x&mG7yXnJGx{^fZ@*JI)3?-b zrg!BMelSPbC%N<0E#9nHwf}KI*#E10dwPBztJ}X({m`!`7E^wTY{^fu(Y<v!QFHSD z3u|Xym@j!Ya>InZmM>ELN}e6sx8}_HYDqnZXzoS3SG-HzCh=CcuXpK%C@E{BsJ1+- z7i&A5XRdcC4?ljwwVGFF=|;J^-uD-u{km~m=eyKRns2Wd-rzdBcH@MHW+l5o<Jj?O z))(L2HMk?Y)^>w3`|k@k_q}-A?i^_w@!iO(WgGv|UlVst{~5TY-sG6!E%gM=$-*zM zWxi0)nAg|(X0EgP^F@=B;=}Z=?d8#5xR&RxcB%g6)fw-za$@?g@!pttvA5)N*q6M+ z3(w>)-(8+u!hh>~l4fuFi<n>hm!By|R6Bh)s+?3d@6dwgXP+ZZd|<Vz6`ia1E%lq! z-8$*R<rlOzDznR9y6OI+w#Pa0uhVxUDgWE?Nq?p9p8gYk>p$P)`4|34de8jhwEdsM ze}9wzhn&8t&8mO%eRfJxiQ2(^YkWM#jplT1TjsWXQT0q;|7zoe<ghI|Z%$;G?Xonl z`urf^S?I3a3U1sB_Zm#Q6w!2U>3)^mzQeaR?V5hFXv=0BHtoebxx|cOrutoXN!NXI zIwyD6Ub{Bw1zQ!%o-FW7pS^IqC12{*>w9~)Eq(iT!@kY$QsplE)024L`7JKul**Fm z-;3)RX0azJR<~a|nfOAcuQt-s?Yoi9v~7LP-&O9Oev@*`npHY|L8)3<N{QHA8~(kY z3pGA-zsPI5xHKcaZK=tu61~6K8K0xp++9*V^U0QCW47`ImFi+fITv5nzPQt0JJZ%- zyZpfqfm`Gb_sQ6}ru#4c&cm1b)%EV_7pk}FBww$89rrB%!oS%P`@6qYN1S?6yX&g+ ze_<2*qfX!UEtza*6`vR%w(t609`-F?nRo4f6_>XE;ynTB`o&-Q%Tm7R-<>n%c~s@( zhXKzfU%tE2a2DI!%#Bly^_1xSotaUbw&v`*>X~O8t&5Wk^k;oeR6P9i<rTMd!8fOK z0(aT+9hQpnPITv+wtTbbh2jE@)vI5|xvjput@~?fiT>x(%zE1%bEoQLozzX7cW*KM z)xCI!i~Hv7KEG}5>FjS#pIWzT`op{}Tdj9hzm7}$ec|4|8yO2q1<F!N4DQ-6?d51U zvY+%$uKnW2jN=hrk3+1c|I6GmGvRH{-P2EGZdr;qb6?!U!7Ul*vwZsEV%Be|WvX}g zi5-4@VV9hBQGxN?yG{4jT1>gRyF`ES?#$nEYs7a=|Jl6dzreBn#dnVdsrg@+n{H4Q zR(}4a-?8-<wuWz1zpVFmPjl_esawA5@!1_q<9|JW!AH$!wO{r-6*Gw${b*eGrz7{~ zkIrrX4)pc>Tk>}Q2J4Sa$^ZWe`8RF#+^9ZzWoEk6o6|W_x8`!PZ?ZIwozL1{oMb2d zt9Y>`|2DBB35siUdU7T8Jfk0PP+lw*8_T%csO-h9u84Jgo!#f8ZcJb4zWeoK2Q%{p zd(ReVd_J35&uy~p@HC!n%eAc&Hfp!+Ubxk|EG0R9*Dm?8)IIjO@?A@P_!iGSys?~T z+3`iyGJGjzTyN)gInSK$cKy8L<CvV23QNy=Z<vti7Ck-bcUF$c#wy;j)G3$0osBs4 zL~K`?__vfQ^SAe!|FRoabL?#|)R^sm>Fe?f6;jcc>L;4TA98BbzdY4^qpo!R#jD#l zer9x&U-VaSn$f?eb-l;u`RrdZ_x%R_&F?ew8=B)c{*=Dfp7E#e=l&PcHq$T6;oyGh z>&c%|thLL|)J=4aUw^FRd57nllkPeto8_D=%Cnlso*nwevsm%&>4d~xNsBkPyi47s z^0x1ALF5{p_S~Bh^Uj62m5a)pc($q}-8W}V>(#9rwcB4`KFhmdpQ4oX!o8AZse3GA zZ!^`(_vUU?ZGQVAC4CL+jma<dN;ayqRVS(*+4knW|8n*P)e3w|N@u)FeO$1silyrK zi#W6XrLT`CmI#IUA6wVszwop1hB`H=^hEDz&o6%b8L^w8`qG||??yX%*2VPs1%Ef% z+g7&z=m)hmPi{=>o&E9+U&;F;jXB$1oU6UDLw+@XLO7rN!rA>ve+^CK+<#}jIrT$w z*EgMC`injafx0Mj_w;Qw-=Kck{N<xqo_mK+{k}2nuiV_argzINCWOU*-}F&5c5cJH z@4Kd-WsLpLxHx{}FX^&Wn<bz3Z~VtE^*_mb+GM>m`{jMwww~Om&K`VWZE4B&ef#z^ z@|n4mC%?&Q`f4?8cH#!sZ`+R?yJm5R?Q!nKJ93w0FWlmsZM}Fe_qH<E^zxkdjrZbi z@Ls&nUi~|1hdm$PffbF%4&Ja3S$lYc_T7$mr=LwMc`Bik@;G8wxs96PF7<@hDqsAM zozj$;-&WRS8)-jT?$ou&lCLpuPFO5uf1X^%cuoJvx1$nqO;>p?pT4kDhTUjKm)ZJb z+t$Q-PdD1zXO?#)hRyuq-Pwk_ShKe$eJ=a*&f&3N&Yjk4cW+F6)?A`^xV_}NL0QTd zj$He$r9IkJd9T0Rm~@V(BtFgf(mfxGDL>C_vF8p;JKEQyb88;2_slbX*2M|&X~mcB z#aK*PyYpp&+?tS$y3(I7UH!R1`|;10x0r5Be%Z8Tw*X&CapJDL#Tz-crEJiMjpVt# zX`@AKER*+49Z&1W8}v6zz13}Xo~iHq`}BgHI$}mKecPrT@9PO$kjq|e6g#mj{7_@g zI-l#|j>(}pClgF}ZL->ydU)yT?1b=R*_XC%-JpJXYi7Bu%!y~aN@{IQY;{t0*yZLn z$V%pV+?Gz%oZOq4F8t<nPVlYOj{}~~zIgCiMs8!QWWMM1ZHMOhY+trFw?LzK{<rO} z#nw70J8W~`Hpoi8^|)SkXr52`vbzlIn|5-?-WTn?yFs=2?#okhH>UO4W>)L#qzYX+ zckjmZn|52a>+_}VlYjfZ{~2S%i4P99vJ%|g*e_l^yixnH@{6dJdxa79oPSxGHyhf> zOHWTIVLf&|p-6ez_M~f0zwOr8ww!zX!mjt;=ZJdNzvc!ShJOU4=O=wpopnFqDf^bX zqp#*?NO$-At#-*Z^7#^zlbmHWON_lrugrq^?&ac*e<L%C`FNfk3p#&v-Fg4=FEKgS zmvP&Q@7~5T_w~*jSC_u@Ie%17)+$nP?$izX)>V1icB{qff4TGKR#nNm=V{^Qi;Qi} zcW;uM`(o$KW7kTuqfN?>RHVzi+Ih40?#q4iX3M_uonDn!_LpJT;^%3*+b=X9zG%&L zyDD#6vCZ8}#hc$wez|Pk?A*D2%d7IXRr1L$kBI4csG0%lnJwK?D>=<*=N!K;civv( zEh*&Q_WsDZy*FwFP9NX!UF4g!MTO+!>xPx=m-!8Tv&?>M_+KiTS*PycH~AYs#dlBs zH0ODO7tiv;$9g0pCC;~=teK(LbMw)S7_QGxH*PiBrC0Sjp_ciJ`OyS#p6y4E`ANKO zE9^M=Z-!jYT4jTd4Mi&3Qvb44X?zyW{IAj@yv6@`kXrhMwZ#|xFWT^POUAl{>mOU! zqrWg)@>@!k=G(Y7=1kL<+j3%@!`HjMSG#*U&F^~tu@`)6?sdjWZh!H|Zq5DvW6U=u z^|oie7JPH!Mc}PE9`Bhpe%85fPM_LbqImdu#_HyGr*}=islDa9mf1a**Sc>`yvV&( z$zN?$JHO2SKx0mw$8~$B$H_S-KNVa3W6FNKQT=RwiGFkb%Twhq{w%4U`Ojzf{)8Xw zVgHV7%lYGaz31q$f8Q5OIq9;+*zjAb8RuKyF6Wv4Zsp4lWn^txelSSN_`=RF=}XSe zys)`I<MYjo`m)+uyED(PUl(^McGOAPA&{%vBb(W?c=hR|@MEVhZhdMP$J)I0LX0%G z<T~f&(hD{#eoNgZc=sO9;j<TSF<BQqxO{Wli`;hSNZu0t#jzRH;cMP6t)AJwWxx3` z_64;H(~L4NtUY|eUgoUwh6#I<Up$E@={vk{&73vWlJlIRmoKVb_Aa$d?Csp%-p?0z zF<2YznvfUQbuZOo%Fm}I+dD6sX2f?dH7T;1{_pITO73g#uI%-R+EOge_I>eB1~DU@ z7heKP<PPsUBe(pvfASBWsPjqgd~r)QPrpzt*}myl+q={+YPtJczkV;#|NK4kKXZ@0 z<L_Xd)K8LkUoHMGI!k!NguU-yrZk-6zcGF4{Sy7din)LL-r4V(eslkpbRD~IzkQR9 zwx}KN>shv7_T>fUx_qg2vUleSE}nT|o}_o?8OP_B=1(?ze&|-suIVTLY}w4f*1ce- zhL}-Ik6-o+oz~i!y1v`P4_t7}c71c=U{=XCt13Od)Y90yr!#DCecP~a<Ga*-oNx2H zK2FQXZ(ZuMZCUi~#rv6OStlu0@4j?0@5P(G+Q^&k-;M4}-}cS<yTaYmZxU`<vq%d@ zxhJ{1xnDf{_+odV#%JLd?^a68Z7XY9YI1I2@yq$%vo){jUE1rTw`{Jr!49Ug+8ZZ4 z3@xdWwYr`hpJsmHZM4B2(Y3cXD6^Meym|M<-Dc;=+JNsyRUO;pk5xr~H>z*?Hvh;E z%PrOsr=C>q`pWfd{i2&koxa^$G<o~QO7`EIJ}rEg`a>|cw&&gRUDI!hZ!wpXj$izh zw=Ct0?%h9vd*>Hue4hXE-Fu0@jo;=+cqJ>%`#j&fKIzsRmTa|YMl&asEj#qUJ|k?y zgoj^BthlW<C&j0U-JQpMc<04g?AApa80PAB+;g&+a`kAb{$kaPYR@(Li>qhqd;dP2 zxJT%gcCzN=&==RFUaaqY78P+~p1b<%#hV$wr7m4pEt%&QJ$v!)-gl|bF5laB;|Ay2 zxf_)iH{`u*yf@Ec%Fnoxa{Hcd?!OsymKJKv_5N>g%SI;K{dJt$@eAiRO3dka<{5F~ zLyDCxXues0v+>Kau7{NwbNgj2ceI={`l5Gq;hMO$)sm)}zopjPUr{~tzRPdRW7`+k zvQIPGIXUlc_rKbVd;P6%Y<<-G7kt+Emikol?&%Mix2o9gikIkb7SDXInzN_v+Upw= zFG`nec6lEwbLx-nuHS}kwp;8E21&(V+WF=8mY<^6_FdlVvv2uaMz;M6erk&u{pt1l z@AN$X&FMGtyKGNRO8!4j*stqa%8iN5D_`VfeOY#J;hJ*`swK~Q+%`_EHM@JdrtH>y z=EqvMJ|}5T{`unCp%=F^^qN}V=z6L@zOXVeK5W|cy*%LyblLBQmg;Z5nvq|cv!?f& z)s2Z4ca;=7e=$3>@QnGg-LsRou-=MJ((HZvBIeia%g^{CZo7Urx;eG1?687MalTH< z4*t1v9e&KejjTKJ<og~9X1Ly%*qr?Gjm4L~;|tf!TU0GM*X#E3#J@UsPyfleWzYVY z`@%i}@0l?!+x49P7n!U-;Ph?X!pYeeck=z-RJ8eBY6W-h-L5?E??$`3zr8zlj5$N} z#>9)wC7%ty$Q@sJ=61<<$)0(xzb$o^el(iv{a^G}jc9iMt2nj%i|1}j?CF2T9&zG> zv(;abx#u_NZ$AI>TeyUu!|UufCk{58t8;koE_3Qtz;~lxbGQ9J@N56B={pVc-h7v? zSL#uBujbN8O}ex;^WuEIv;PwmtBo(7JoDmAQ*GqT;O|Cry0<-ZE3dnII*0LAw19N? zqOB5TDO)V=#&GRjTBz|k^~Jj8i(MJ(Tb7!{SWW*YwPmN;wQHC6`q<oxlyCOEa7Tn& z^4iN1?UHTB_MO?b@V0fr4&^B8M0dWj#hdS5yv^LcY1jI9saxc8-}irQF4h0+obf-P zr{CqbhE8gc$=$At_KatRH%{1l`Gtz(^O85GPwm__J<%)r`NHar?^4S+-=^N!A+&b& z2Ia-8Uq1W#;%<ZU%=;eY`A1)vZOK0#B=!Bm&M)tmp8dVy+k|(ipA6pGG#wPqu<LB) znZM{VpVj%~_%!_sZ^I4WEcz-{_T)jXRW<uwq4rI`CcaDkVwn4V!@t&dsdn?e?LYEE zddvQ!L2CCeuAP73zvS8Y4HNd-zj)$b^6${TH9j%YzyB_q@K9xosphWWr1-Spi}$YF zSh2v=wk*Yj`R*K1TkdwF`MvMX^<K2e2;Vs6m{W<~-`32_$8HJjntpQ97Gu8V)Qd5E z+>(0!%e5D8=K7YpP3vx)@Zqf&qNJ^hHrUP0ZMfHFG3Dyn68*(zGpo7R{N6SF=e8~T zWsg}emQB!{9Q)$hych3V(>hw;y!BUSUr-F1Ofa~6`a{7jE2g{2CHk9_Gv8a}%xSrH z^2WrAnkAokzMMO<@XYx|yFu#;Ziy#o_IkgJ`8ECGv!@Z+uj16MUpTi_;!aCiUn@`k zvdh*Nb_)MC%D(s(wAx@T`vzt9{tGu3zp!m{j;xLPZdBE_ZT`_;-Mgm$^xpE{=-B$j zf7zxPRZh;^+x@RPV_$#ko3AgP2$$F$-M8jTss7>h8QDy`?!S&pW4`s9QF{NPuhL~H zCQD}5FaFNYm-<it?%a}<8}HXII(cE9jCZDw^Ycsdr<pB3e5+^I^pieYjE&hgFScYC zGnzBm@40Kb-J8=nb-QwtcW^{?C%N+-yBNv4cWHsfYV8+sZhX1xJHB2m(f@ok^ZwBa z*)7+P2C2QixVHDgeTlQa8z$`4eUai_vhC2mH9706CEqzjTQAzZ`d#Wa>9=qDdiP$u z#cpkMYkHp91#3{he8xMu#=w?u6C=DHS6EH2^O>!l@HXV`=_e+)JPj<Q&lYc(@Nj2I zm7<k+VtktT<+q^K4{NnIDzjg|bhGqDY`=5lUf1tNyQXf-Km03p*Yuxvx770=W4*<n zq&d0$#kI#TwqLAa;=Wlu&2OIb^5)veO4sj3HB-0kda-Y5^~}A#)$bD?GjFjw(${0R zX!iVt-!1u4|FPbk+i>xEMt$>Ale#6vFaNvDwoiUre)sef{#!qVnUh~`ydQt2bHjv( zM@p<%t<=7ynyKEM$9MSug3yC~GG~0!H!m>P=1VQryL&n#@76r_*W54So^fCL=Om%u z_U%!`sT9s#TTOm}I}e+-E6rWga_-cP=~GQh^beb6X6u&N-aVaDd+RoX^z22U$NPHB z7SE1eyq%XX_1)#WvWB-f-_G4Q<(OQF-e23yV*NF5msihx<8RHLP{1*(JxTHK;TK;t zO8SoNJJYxDwt7N^Qj~h4JD-r1wd`Kw0*$%FFZZ1Zx;wYM*?Ysx#J@o%dWZLP{xi*p z>u%-ATc*7|A=)f&>Dt{Jl^0iM{EmBL*YfdjL^VsbQDs-zykq;$%v*SOdV#`h{mWm& z3x23Q%U}54Y}WgP*Umh4hfW>8G3{Z+tzSacMz1bkeZNurF=Om+#?$u=Bo}?Q{+62c z;htT&lFW%`N4A)&@}=5|zV+`t#&cuR!Jd*-HJ!BM1$<kc9qG$C;~y@5U|o;+lD9`U zPB|&GWwXk+X-7*eOQs)hJQKEb@6`g0&sSgm+Lf`UU01SV3w+-B9-FjX*!H9AdYEqA zWA&c-#_RgGWAl8zFT7j3v0fmJeQ`MlU+QO#U3Df>#}mANsb73{GUCJskzGY%+fpCr z?5g4`(>wA)X^Z}`pkv{ewyxfwetC7~bGJ9A->BU>U0A?w_Wno}ckgb4dWOGDXW2JS zaGbr)rZM+s#iVWXj`#J%EqE)wu|l@le8VTTZK?lbt!nx2elJv5>tCWTJ^#|x^A}#f zjx*c8=(VuHFS*ssZ%+MSxB4&odjCShBhz&LFMC|S;hX-qC6+fgCIA0@f6~DxC8=B` z%MQ--S-$k{OM^Lr+Qx~|W@*bd-@G`NnSGO``rVo0(w`Gc>)xDN=xZ*1@YkXYowhRG z-9~X;>vVhnab;NCm~^nKWRujju;cT5Vs}NbH<xbQB)2WKUfJrd#9iM4g|)h+`qI%C zudcm#JMR0Yoy@WK1sBI|+$CL>y2pC%``)j$7jB40Z_2sw(J{hWqWV%#iGH*4%Ts|b zWY$;DJhr8pscm`EQ<I$2Zcgdxi>vwgQa;D*DpoYpJM`-4jj4xEzkDVdv0tcn^@V+W zyN&kETX(nNpKivTE?vnxZtCTUZ}Z-q{35qY!=OSyn?Eu7oBZ<2X1n|!I7FR)8E0ly z^0o3y+{L{)`@F92me|ua_xr|=jA8ai*7evg%9ek8!~V+u&&#j<-m`woy6;oNzWh6J zFllpdY-Ck^{H}Lzl4CFb-dMAjUHd}y2B*F3s~3JxaINAG`(yNf=aW*O`v>1J=hQX* ztSI>+rxX7E;HNKgYj)jhe)`%rqVZm{=quX@-o5PFm#Q};b$=I9Enc|vdqU_}Ii2YD z2UqDH{Vuzg{q*1e?+@PUS^u5?z_*<lFKqqhPXF@t`^L+M--$=~9k}=X#(zon{f|G$ zn|!&q<jS1lH}CV!bj{Ka)P&`n?L4~YMjVHAcjET8r$slSIi*)8FZr%^^!4SFYBTa0 zXZD|!HM}nxU7fg5ejERxUH4``dA--O@!o8aS9?8q_nK>7s-FCa`{#Mp=}A?hY1b2t zkAHhB@#3$?%j93bj5A)>y8LS|`D7^;pB(!B=SqL0>o@M7uh4pPzVBMi3*Dwb-fF$D zFL6@w@8=tSoj0@Vo_O%(-$|F6cjmv?YjAePyLoMG_nrq`u8wBfJ70D0y!YGlj~=`m zarJlbt15r5I}cy44d3|PEbNP%aQJ)e%olrA&d&c)_nqJ5i`~RqQ;Pfl)^dEQn@|$A z%hsGv?8vHn_XDq2TRockvnt#7&Dws^z3zv<zHGbKeeurZcklY!?xhD_uGVJSJ73AR z`Th3uhaKBO_u4O7_ub`4`G>F9YHw7tXjk<&ul{ao@+GeA+3uSCx1F8e2d{X!m$UI+ z|Ac>Mzu%sG&iLE+R0Gqn^drCCNSyE1m9BogVbOPv9aZrT&hJ?>@{WAp9lc=T^Pkth z-1+Bl{<*(&&Hh>zzP`h&=FN}4Ui|4X_s^==MQ>ueMQxAYyZZT+bd_H1=fZvWYsGkN z{r4?<evG}!uI88I{e1re2l*qee!l(MZEAhqvIEyjZp@a{E?&5s!A$J%vr9K(1$#|5 zJWJf5xhy)l+Fj;N-_^ex>Nt+MC%$HRqZ;9P@#^PY(%~1+N^hu^ma3Xp_BPR~Lg@1I zjdzb8w2t^I@%pjhKe1^0<oEt-d=3@I-CeoC(AG@%&AH~bdCy}m7tdz4oo^Z2`hNTM zLkHRZukZQYJ9Y7@!xnWt*LQ48Sbp?NUi6B~NsonA^@Xj|4v_r$+$lry$c<K(nNrHy zERs6Qq@_<*Y%N^6R5IX%+5%Zc-EK|;p@VO^Q?@uxzoq8xRkG4lj_Fb_uleGQyG*&% z>g4Y~sQrA>a{u1^Z~Jyx?tktW|M%p?s!5k;{C=cX67S}3du-vaF5$02&#h<PuD-a! z-y$HtU`^eFH=NI&UXHMB-uJ6o`RkWu?mP7R7FA2yetpsQ>a_2b?%Def-r3h2=e5}G z_5<5F$zLz0Wm`;to*=ql{l6O?wi{nQy(2Dtv6jvD>yEZp*}hj!&$@r^PT9p3{-y!> zS!?R9ys>-sv^m1IXWuVx<*!qg{obkXRkGj7-*(Z$Urxebo1U#V+OB?K#d+g^{PZ<- z=hnEz$L*9@o%&+^ArIS`FRjiUttxrGc)8r^fZC~@RhpmAo_YKH!U}(*fc%s-btiJH zKNrcZe!pP-zhfS@y)Wh7b=SU-bN09GTKG#y_^Z-0_L;ZuF9@8UxZvKQh`%j2nLd9~ z3H$F<-zK-g!?y8d(7B^UC3aP>K5}2ZK7GY>{rd;*#C5sVRy9_APWt*dHG0N$*)@62 z@qbGvR=v6;dq;ftg<5gjuP0hxb$egoo-Tgot^dUp=hrU1XSTR*+r#{s>aU`+SA?Gp zz4P5aaf#iQSyksx8NY~swd%M|K>W=o%y*s_-v~QDW4YbNz$3L)vSwGA!|tA`+#s6# zwfySU%c<|5?JKu$dv$%5-JzWt8y3gu#QzO{+<Yh9eZ$gwT8ryeJ$!vf{nhNO72#PS zi{Gd2l91kfBkX-}zV7pfR&&-I-&Hj;>Q(%!Rq2@mhVuExX8oEt>y_iH74KKnvwyx= zHs@TjbFEA6SH7>m?(lzqkRpHWD)*J3|Nh~&_RY*^j<auERyS{1RfW~7+b`wQ?V=96 z^vqeozkKbz+4m;Q6L>r^`TYK_#p@T(J^pd~#kYq}+D6>%zs!C?V}5+F-Th#@{p)J} zJy5S%cccIC{KQHIcjYbZg@2fK-GBH*B254B7t=R$53D)#&-2Xx&SvwCGZgvnMmOd@ zeZ>6qs_@g(vrjd%pDH$=`kZg-^E}P-vYO}bhRw4Mo40$hP5C05?Sb=lFSFUc+@?IX zGB3XJUE8NSji2uLKHcg3bZ7LbV)s+UyQL~GpL`jid-SD_)YF~Wr;4jj6<?qF{M*#$ zd1pTUe88lmf9T@UjP(aTs+C-Sw50bcuf<=RS$x;>T>UHGB}k{wepPJCGv)BR_YZAu zwO>BIVPQ@2H<{<31j5`mtlg9Up5^(&_`)Kt#pM$xdryCmA+|fa<iF#Y>x%>9uEw6Z zxm)OPwn5-Ill>Dn|5cKD>dgGqA^EB8=6oal_lI7|eVKZ^XUc9L`G@-|WbOvP&=i-{ z`aeVC`HcMs?(A!E`+3B{@<>GG;WzKkoUTtcbzXPeo_DdaL2TpEtP<WYQ%-YF-S0hR z^J)F$y!B3tYho72#H`s9{Y~{*YSUG-FH_ySr&xQxf41+3+%EPD!FoC&|Fi?oY0DdJ zKffr@PCI~4JM5m8^|3uQtgG!8ueS+Vawj<JgrvxOm+yIBrX^O0shyI1`n+hHyyN1U zfCVxEYxek;nSTDXIpS`^zE2hti_Bk!z3X1T@Hbo4siQ5Y_N$!Q`NTdU@B5-aJJkR_ z)v$Xizga(js$8S<>r}JLsoEv$3*-0ny~}^0IbCqy+`lheEW2ws)1%!N*SIW@aaps+ zxlHc!C#N-fj`5W(9y^=ASiS3(xa<2uQ(PeHzx~B|_5XCAm8na;ea?RCaR1i)`Mfix z`zL%g`E{$kf6MoIa*y^|G`-WPy5-HkwSHd4d7J<2#lP94-nO$Z-+Wy%`@VJb$(=FH zS1n)EODMmMT`pdzr{}(1(Dtos`>lGvEzjrNKXd0^pWE+~jo&6Dzm-nkJ#+f^#IFj! zZn5`m`99n3WbH=z*v@(1ik#oxSG%?O?EeFI_I0`a-q`ppA^Gj@wEX1#Yt|%gmG~Rk z6PCD3th@BZwZ+T#UI_R-zw?{T=Z>9sd)$7{Z2V@B{5CqR+(3VOvT6UmZ;HxqZI{)) z>$ZLIZQ=60M*@DgcYfpf%zWmpqsc9Po-OPW+4uFW&+Umh@Ji*^t>fKkH~Kxr6E}+7 zE&8%WTs-T)?!|f0cE|7JIV}FW#i2T5<F~V$UeBB!z2Vh@^|c#3s^eeIy(4aY;jNQ@ zb>zZt>xJG%KJ7Q!&MNiRo%L38*Vgw_WYgmIbzFUa@w#2mlD&(+=-&|!F3DfCeD8vQ z-}ar~YCaj?l;4oP_+p*k0^2zezs({~?D-=SHv7VLJD(+cr+?wTBffpXZ?39a-ke+O zCuN*Z*)h9v(cXz|-<<C>{QlQ(cqz`^_qX)Z^*i5Z$tz#Dcl3$>o#)&)uI+1CzPBUL z_x)<`4J&KgvUr~#+$oXGzi?eGbG796y}lAz{s~pe&lfez?tTBe>bU&*;`winOuKi# z_ukF+>Ye^y^6p*uy`y^C?|&S#tFFI$@psqz9rCirUox3}*>~rfby0rX_oWY&=I9*V zb+0mdwPpF&JnJ6<&p*ms`*QErmEBqH@0b70p4q?d_)D>z7qy$$mY=z2_O8ABQ40ID zujg)Ds!j@j-`3ndqhJ2$tb4y_Rb7Am;_tfu-p>{vzHzB~cID~*-An)7pZ#)eM&99& zXZ(fV<gfkhuZZuKbN}zTX5OJ9`?T{3e~NkLIe)gf<vb(WC+<i=&ppGE`Xi4-efpEN zAKYDg>(u}0PtDG3OI}lV!J&46+u!-$^q&39^SSa|a@GFJ!STy~+kJl2KI7d{kJ{P$ zewnUr`u$K-X77^szgO(5|2b{_-~WAkr~cU&-RL`0_qol=WR2gO=d4b>G3jUKuJ|SA zPybr}^WLQF0+EIKyTgmO&EBARxp&U-@WcYnW#Wtfs+8F`K4m+jf8-_WjVU*O&QX_l zk1v}&=k4(gikB_VJ^p-Q^4$KSK52`Z{m(XSpH}?C?#=w(t5P{9YfPW7?4S5%&-CJ* lT(6BRyFb71`I1_$n!cM!+9>wi&iLd1|33WBoX$HZ830BcDK-EA literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx new file mode 100644 index 0000000..4e2d9fd --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 12773917742071035718 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/audioProc_behav/xsimk\" \"xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..a9e77947dbb5fba36a6d661a03f32a673d2e5d88 GIT binary patch literal 1191 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=IO4&@B$pw`z`!8S!oZ-#z`(%Fz_1`E zKRq*<ft3LQ7#SECSQr=>3>XX<q8K8;g31gGAo&Hw$%#3MMe&(=B_J*XBLhRj|NsB% zL0SwM7#IW?7#4s88JHOsfc1eSU>GdGz`*eD|NsAU|NsB5$-uzC#=x+kC^az$W*STl z3T**3IWw;$H9fTmtQMOE@=#s>p%&Oe^?_Z)#IS&YiGcyk2Du2thN=g<2$>CXohejv zNoH;;11~tBFui0C)djN=B@93|g2EAG8w^9$gKY%a;srLCVL@<+OT3T2yQeeQjUcx% zGcYi4GB7ZxhpIEEN2oKX8>lm=8>%y?N2xQYhpRKF`=~Rhd#E$0>#BqO<c(xfC}l<| zKqK4FF(fp|(Fg1#ki%gy?FbDTxTE94T%AMwgTM+I85V%u4e~J;G`vBYK^PYEFbh2W zLR{TlA^I4Ql2SX=FU2K^C8_Zxl?AC_qd~y}N~GKj3=G93o+&x048<kEC5c5P48<iu zsfj5J#U<fInI#}$*P^2QBCvFR0f=%;&Soes@r6=;j>*}<C14p}2peJuNDQJA<a}4C zGm=V+gR#2=6iG>?#i4oGdHLme3`wQMZbhl7Alj+4xDp&MW>7;?K@P(73&>BYMMWS- zFr*e0ITj^>b;Uw;g~Wry0LA;DAP9H#42chR^bPQFWe5)O4{~J)2=aG!4G#A7bC37& z_YYw34-E+j4PgK)boFzADF*pV0~8kw3=Ba~x)e$;gVN`rG&@K!0|SE#l&*x*tDy83 gC~Xh&1Oo#@Jd|Dlr4K-9cTg}fFfjB$=|fN&04cQFPXGV_ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..8240d0639442c8d02b45edeef15a77a5e7555de0 GIT binary patch literal 140 zcmc~`fB+T-1_nk328RFt|NjTk42&QVW{@bD%fP_E1X9V^z`(#z4-<x|W@LmZ0y97= fL70(|m4Sf)WDp|{NHYTigEEx1g3^Id8bkpA#*7L@ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..8ad130bffaf19b01c1a8df872a77076a0152d111 GIT binary patch literal 8344 zcmZ={U|?7v!@!`=z`(FVmVsf00s})@Voq@?LrGC-Dgy%>0|Ns$11ex-U|?WkU|;~z zJWwf+IuQRq6sQ}hGpHM?GjI^l50VEN!2&f8s{ManX%0hieg;EvNd-eH2<MkDq~;Ye zBqnDwB&FssBo#AclrZF^F_e`sq@^(=7cms)GZbesq~xSBq$C?Mq$C?Lq$C?Nq$Ha# z<RxY^6j$akq?RNxBq!!Eq~<admnJc!7AG^L6&En17Z)%T6&Em+78fw6E2uN5E2=Z7 zE2%T6E2}f8tEe-mtEw}otEn@ntE)4pYp64*YpOG-YpFA+YpXM;>!>rR>#8%T>!~xS z>#H-sysd7e&Y*6r&Y*6h&Y*6p&Y*6l&Y*6t&Y*6g&Y*6o&Y*6k&Y*6s&Y*6i&Y*6q z&Y*6m&Y*6u&Y<p~&Y<q7&Y<q3&Y<qB&Y<q1&Y<q9&Y<q5&Y<qD&Y<q0&Y<q8&Y<q4 z&Y<qC&Y<q2&Y<qA&Y<q6&Y<qE&Y&Kk&Y&Ks&Y&Ko&Y&Kw&Y&Km&Y&Ku&Y&Kq&Y&Ky z&Y&Kl&Y&Kt&Y&Kp&Y&Kx&Y&Kn&Y&Kv&Y&Kr&Y&Kz&Y+&4&Y+&C&Y+&8&Y+&G&Y+&6 z&Y+&E&Y+&A&Y+&I&Y+&5&Y+&D&Y+&9&Y+&H&Y+&7&Y+&F&Y+&B&Y+&J&Y)hP&Y)hX z&Y)hT&Y)hb&Y)hR&Y)hZ&Y)hV&Y)hd&Y)hQ&Y)hY&Y)hU&Y)hc&Y)hS&Y)ha&Y)hW z&XAIt!;oxfWC0>98Ilc+4M2n;h%f>X#vsB3M3{mIGZ0}8B0xHfK{`x8I!r)1Oh7tJ zKsro7I!r)1Oh7tJKsro7I!r)1OhGzKK{`x9I!r-2OhGzKK{`x9I!r-2OhGzKEg94o zs57W9RA*3Mq|TteSe-$Ai8_P&QgsIPW$Fy-%hegwSEw_nuT*DHU!~5VzFM6@eT_PU z`dW1c^>ykD>g&}R)HkRzsBctfP~W7^puSn1L4AulgZfr=2K8;~4C>p}8Ps>EGpO%W zXHegz&Y-?qok4w%I)nONbq4i)>I~}p)fv<es57V^RA*2>q|TszSe-%rh&qG%QFR9O zW9kg*$JH6sPpC7fpHyd1Kc&u~ep;PD{fs(;`dM`b^>gYB>gUxN)Gw$rs9#iPP`{+k zpnh4MLH&w4gZfo<2K8&|4C>d_8Psp6GpOHGXHdVT&Y*r<ok9JMI)nOMbq4i&>I~}l z)fv<us57WPRA*3sq|TuJSe-%ri8_P&Q*{RQXX*^<&(#^!U#K&vzf@;Xf2Gc#{#u<u z{f#<<`df7d^>^wF>hIMV)IX>*sDD&vQ2(UPp#E8%LH&z5gZfu>2K8_14C>$28PtEM zGpPSmXHfs8&Y=EVok9JNI)nOObq4i+>I~}t)fpJLu#_kNK?MjSq&$)U6(kG{3?eKL zz5olPtm0u|U|?ooVBlb3U;rs$VPRlk1?gjflyQHU85r0Z7#MyqGl0viugnY#oD2*M zpO_(bzGsG%gKwA_7(iv-OJ)WJUIqq+XUq%?d<+Z>kC_=5_!$@&9xyX72rw`(++k*5 z5M*FrxWUZ8AjH7HaD|zHL70Jo;Q})Qg9rly!x?4<22lnEh7-&T3}Orn3`dw57{nPE z7!EKqFi0>kFzjJwV31^BVA#pbz#zrIz_68>fkB#qfng&v1A`0$1H)Qo1_oIM28NZ) z3=DD%3=B(|85ral7#J2ZGcYJHFfhzzW?)ccU|^WZ%)p?;z`!t-nSnu>fq`KnGXsMP z0|P@ZGXsMv0|P@RGXsMf0|P@VGXsM<0|P@NGXsML0|P@XGXsMr0|P@PGXsMb0|P@T zGXsM*0|P@LGXsMT0|P@YGXsMz0|P@QGXsMj0|P@UGo%hlWM*J6U|?W~WoBS7WME*3 zWM*J6VqjnhWoBS7W?*0lWM*J6VPIhJWoBS7Wnf_NWM*J6V_;x#WoBS7XJBA(WM*Kn zU|?XdWoBToWME*hWM*KnVqjn}WoBToW?*12WM*KnVPIg;WoBToWnf^?WM*KnV_;xV zWoBToXJBAZWM*J+U|?X7WoBRi)oqf@3=B>T3=E>o3=GZ;3=D$I3=A#|3=F)?3=FOe z3=Evi3=D1z3=FKy3=Hm|I*6Hp!GnQ;;V%;dgC_$6!%rp#1}_E%hObNv4BiY33?G>o z7<?EQ7~V25F!(YsFuY`9VDMvLV0g;Jz~Ilo!0?cXfgyl_f#EI_14AGK1H(-w28JL8 z28OFl3=F{x3=9{U7#Knr7#PknF))NOFfg2CVqgekU|=}P#J~{Fz`$^jiGd-4fq`K! z69YpeDE^rk7@|P&&&0qG4T^sz28I|={4+5y#4<22tYl(fh+|-2Sjxn}5D$ufCI*HC zQ2aA7FeHNFpNWAX2^9ZK3=GMj_-A5ZNCCw^69YpkDE^rk7}7xT&&0rx4vK#!28Ik! z{4+5yWP;+KiGd*t6#q;N4B4RgXJTN;0mVNP14Aw-{+SpU@<8#=#K4fxz`&5m#K2Gh zihm{shC)#MGchm}f#RQufuR@_|4a-FC7}3cVqho*#Xl1RLm4RknHU(#LGjPTz)%5- ze<lWoN>KbWF)&nt;-86up&AtbObiS)p!jEEV5kMfKNAB(9Vq^p7#Qk7@z2D-(7?dJ zAj8DK(8$2RAkM_V(8R#NAjHJL&<u)qCI*HUP&vTFz|hLTz`)GJz|h9P!0?ZefuS7~ z-;4|l9SjT%Ul<t}IvE%k-ZL^VbTKe6ykcZv=my0zBLhPZD4#PjF!VAoFx+NjVCZ9D zV7SJ}z|aqhUq%Lo384JV$iOg>fq~&TBLl-E1_p*hj0_BuLGjASz%Ye@fnf(D1H)7X z28PXy3=Gp47#P+uGB8XB#U~>J!wd!nh9!&)3^N%R80IrFFwA0LV3@_oz%UyWkBkfq za~K#HCNMHE%w=F;=w@VKn8(1t(8kEXFdr0uj0_A5K=H@Oz_1V$e~b(ai$L+m$iT1| z6n~5i3`;=q$H>626cm4q3=GRa@yE!(upAVBj0_AbK=H@Oz_1b&e~b(at3dI`$iT1~ z6n~5i3~NB~$H>6278HMs3=Hc)@yE!(upShDj0_ALK=H@Oz_1Y%e~b(an?Ui$$iT1} z6n~5i3|m0)$H>626%>Dr3=G>q@yE!(upJbCj0_ArK>3%Efng^D1A`<Z1H&#*{$*rf z*bU0Rj0_BWK=H`Pz_1sTe;FAV_JQ&*BLl;JQ2u3PU^oEEzo5JXice73gYqu}1H)lZ z{son_p!^HUbD;doz`$?}6tAGT0_9&&nGDLmpu7pnzYGivr$G6afq~&PD1Je43(CJx ze3F5I;T+Vg^H4i4fZ`dd7UYLZp#00gz;GFqe?fHtDE~su1cmW6Q2u3LV7Ly-zo0q; zlz*XigVMk)P`rcE8YusQ>K0J`g}M=xM(=_0F9QR^eNg;^(k3YWp?(47p+}(j2h~xa z_-9~Xcmj%lsGmW3{23_z85kIzgW{iof#C%x{-I$5DuZ5u;-7(m;Wa4!85kJefZ`t- zhM+R?9Vq@mc?A^z3=9k(K=BU^Yfu^f2^9Yf3=E$^@ej&dp!kQz38;?w28w?M28QpT z_y^U&p!kQz9jFfc1&V(L28Q3D_-9~X_ydZ6XdHv;=zpO2XJB9ewFCY`L0*1IDnof< zQC?<VIzwtvQGO9aT4H8SX%VQ<gSrE~Uju3%fZ7J2ehjD%1vL*qbuNexs=Gmb9#EYR zQU|r>e^F{;N_=j9N-9HnQD#Xhgqc`SkeUY-1{uNtsr%6F1DOXh3uHd1&IKt2)#V^Q z%s!Ys`30$Y@%h;d#U+U)rN!|OALS+Hrb3w@CqS7XW59JQx_uzKVEzNu(IAB&dqMVr z{0VXosO<t$2eTIxe;{)}YC!547#JG<|NjpvYQg<KP@N2t2k8OH>%4A>wD)}XA4-GO zF)(~^2I>D>&%lsY%urCwkXOu5TFj7J%ut+~%#fRz$B+T4BS88=bvVd8kXt}}kUprL zAScy>2oQ#fgWEbFK1eMHgW5zOK1?3eb^`HVKphBbV}bY%PN3Erq%8*GGn|?Q@(Q@k z2IdPay#mSyp!f#!53Ij7(G1ci1oIgT1NBbvFo4*|7}OR3xgXZA1-S_sL)BvV1Jnk< zr4Jh34KP0;n+IwuVABUu3(^O|AU3id5F6Bn0O<pz2M`~IL25x5qz{BaY>*tNje$!& zNFJmX6i+bqpf(06>Ot9(6!oAs3n}VBZ5vY5gWB$(c0Ooa1LQv#hJ_n?{DS-q3Ih-Z zu|aKqkbY2~0;U&47iFe{#w}9QK#d><NS^?t4kQP|ApM~9528W&5hM=k_kj4&^z$Fo zhXCnAcLzu<$PLJG0TP2@@L&LF5CA$p10Dzf4Ge$=5<r6sx}aVFq%96g`!Ibl8dTnb z+yN>#Kw_Z21c(nRFF|ew^-Vxo4b+DLr7e&<LH#U{KR{s#ax*AQK<)-%n14X&7-Szv z56D~?2AK_Fqx%WemIbK?nE{eV$1r!Ii-XbuNFTBGfZDhq_1Nq|b`z-l!le%62T+)R zXmt00+Qhi@fz*QBiA^6U`QXwAQjbd?sLhQ_AILsXT!Hk1*vJ^vX9M+fLFOWhBdbLY zb5P%o8tOr53T7X&`5-Z5{u(AoKb%<ittC<YIug_qlgA(xI0M6c7Kpn+{()hTzhL<t z)Yk@ygYp|FtU>t=6eghj21-+){08zfD8GT?4wT<O`3#ibK=}-m-#}>>ghA%Q^4kKa zy&%0H^I;fd2aF9;592Q+pdQrr0yPL=>KBoy9yE3Vs*!QI2RW~S+z0YMDe6IEAt3dj zZ~*B?#vnI?!W2X!i-Xwv7#YChI=I{gQiB{$M@Uo;8j}Fk6}Zet_cv(lf)w?jF%FP= zZ2ktd`9SFh<ZsXz2rhLXd06;>#z=6f1E~R}NsxNb7z!?RAT=QWg4BV+4;dHbmn4>C z=I1e#r6!l;7lB&uU};c417seU10_KEL3V;@Q2GZ6gW?#(ho$r4l1$K)KygWNNn%k6 zLvabH!Ol=z0&cA{6qi8qAxJvEfT6gg7&O07T#^f=@)DD?i%Y;VxezwQ5Re!|CuocT zlvhD^!!U>sYHNVp0UC<|iG%u4AU<eJ2;^qam=Y))Kx0#&umFv5f$}eCj0}{2LHQn( ze?en(ptu9|gFxvFl%GN7!~B<2T3ni!otIyp$B<N7oK}>Y3Zj!ri$NVf2FREPNIl36 zFbuLEWH-n?pnL=p2aQF7_@KH0Bo8w`wWtW>aZnd3u_y^Lc7kpmNFPWKhz6BAAoD<O z2JvC$fYO5)17z$KBoD(NH6S*6ItRr!De6J(ZjgGAy&&_DF|yg9F*;(@W${DCV?l9) zY!=MDATdyU!1%b-gTe)*7KD-2BlF7>GfU!&6LSl4QW=U%@{3X#3X1ZRQ;Ul;^U~vU z^79KA@=Hq!N=q2P3PC+fsA2}lSSu)PfXstokefki3FI~#s354n2jYXqazSna^@~7h z0MyR}sfWcA*b1oeq`C!U704cZ?g5R>g4BW96Cn41#*RVm0rh)9?g91Bklh1HpP)Rn zfrWwL2M+^71}_7{4PFKY1wIA_2R;Ud349C;0sIUM9sCRo2lyEne(*CeXb3PcL<lf2 zbO<mo>=0mJcp<>RAR)-WU?9lA5FyCG&>+abutSi6K|+Xup+ks)VTTX{!v`S-1_faT z1`lBdh6Z5<h8@BT3?GCU7&Jr}7$QU%7&=547*2>VF#Hf<V9*d{U}z9!VE7@*z@Q<< zzz`wEz|bMaz_3G%f#HQ11A~G%14Do~14Dy21H%S!28IXX3=A9+3=9Sm3=9zx3=AtI z7#JKR85kZ&GB6lOF)(CEF)+-KVqiES#lY}Eih)5wnt{PXnt|bfGy_8bsDNf*U`PNJ zY@l&JP@{^0fnf%e4+;lRcwRu`b3ij9DBM8u7EnGYEJ6GXC?6EQApQ&(A8P&yC?6EY zAo(9qJ}A6Fd<{?s2{cv%wbucSp8@5A!W^Xj1e6bR?+j>R4vGV?JQ^Q7Sppg-g^C-1 z5-I~EzCh|DpnRDA326KiXngRb3j-w1LHaE~4J9Og1{!|`8vh2A4@w^({T!eI6V%Cq z+G7FbgVGIH9#qIMFfhQwAIgWhHv`HCr6Z8~8BjhbErR$b(D*-~d|3DyfHDe_`xBsi zSbR=^@?r6J0LlmD6Oj2IpnOmo1@R@I6)24FfX2^&@?rK@fC>;K`&XdxZ$SB=v<)&J YJb495w@`5nP~(FEGFJtXk3i!C0K;TT?*IS* literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..fc73380d97b4261502cadb8b8ba33304ce457fc9 GIT binary patch literal 83672 zcma#Z%*o8FP>2Z5%vFeRadKBMFl69jlFM*lU|{f2VqnOyWn{SF2Eo^u7#MDHFfceX zpo0JZ|Nm#u=_mt<4pufJ$Z;U7(@_RugD}X=AesqDoEeGDg2ZM;VzV(YFfc;RVMk(f zK-GXKP&mNUa3ZPULSl0xv3Zc#yihjCPCk%fM20O$y)X&tK}tdH1cd`GHYj`~pzZ;& zB|!>xI?6y|Qc!Ua+X#v6g~U#RvO(Gi*@a6D$lfZDBw9Ft?5&2H4`NS-vJDs*7*->( zZ$sIjc;jY-#2v^ukb7WpBnTA;*(C&J!_<Jn2P7^G6$i0Jkl3P7HYgp5LD|T9N7?i* zA3$*gN)xd7g{51NI4qxn*s#2Y97iB=2@nCz<1jTKA>@3DocBR#3uG6}99pqKZkL3n zF_3!{k=RO5Hp~s6bPH0ij3!Pix54bCmH8n5BF8B#E<oiTDdi;0ouKd`l?`$ONDoLa zhz-IZHb@Lqo)F6h=>wG)AoU<NvGop2Jt*zL*q}Iuu|ahWjI9ka7@CH4kl4CNY&|5l zJ`&pi$|lucxYUD;GXxP(_ZT6ujiGD>1_lNbBz6dt4T|#;Bz6y!jms`v;vj8HKm=Mn z0uln%%dl_*)ypt8D2>6`pmG4lhNU-<UVe~;Ivr)8cvuP&fU?&@*&u2wh=BTi8xngv zlr6x(z_0_#W?*DsI00ibFfd?CS0HJS9+-Osp>6<)3n8&#?E#Ru2$Hxclnrw82~a|W zy7>Z>4N`vtiG34^eGAG4*?$|#2D$k)jE%$1AS+>h0hteqS5R32Vv}1wgWT{MlzE_G z`x}Y<2Z{X`iTw|W{U3?V0BZ0-&0$1hGa<2=k=QIqY*r*T8xorxiOqq;=0sw1A+foU z*gQyVUL-aj5}O~1Ed~l;sQbl{*r0HRr4!_E2DRT{;?hX!WsumiNNhPIwmcFWly70? z2q3vf5Q!~>#1=+ki$K{R<B-#aC{!GjHpGzF;z(=>B(@|HTMCISjl`BgV#^}2<)Ca( zUXVv(t0S@Xp=^+Q%#qj@P&O#(TSD0&HP%RM8zi<Z659@mZI8ruKw>*0v7M0E&PZ$* zB(^IO+YQP_4qH;$AipSrG(ppq5|j<fcd+sbq(&Jk4k`y=Wfw@?9qI-U+XIR1iNy9o zVtXU8eUR9`NNhhS8)PLc%^<TucBy~_pl()$vO#W!l~*7&YEW^In_*=aNZcQ4K8PKF z#12Ga2O+V8k=P+f>`)|j7?cgN5|^9RL6T56Yap>Tk=WD@Ly#Yl<0u^JPLOy65<3!! z9fib>Mq+D0`&l5pF-YRENNjB+HE~GdI!NO2NaDIk;t5FNdQfptoF*cP>qEssZYV?& z2lWYIbxjeHxFM4IVkB``e->m;2~-?pS1FVY5;unG1&OyJiJL&hL29NViBCgfPe)?U zKw{5CV$VWi&qiX;L1NEEV$VZj&qrb}Kw>XMVlP5sFGgZ7L1HgOVlP8tFGpgpKw_^% zVy{ACuSR08L1M2(Vy{DDuSa5UKw@u1VsAoXZ$@HoL1J%3VsArYZ%1P9Kw|GiV(&s? z??z(pL1OPkV(&v@??+-EKw=+6Vjn_cA4XyyL1G_8Vjn|dA4g)JKw_UnVxK}{pGIPz zL1LdpVxL1|pGRU}Kw@7+VqZdHUq)hIL1JG;VqZgIUq@o!Kw{rSV&6hy-$r8JL1N!U zV&6kz-$!CUKw>{cVn0G+KSp9dL1I5eVn0J-KSyG}Kw`f{V!uLSzeZxeL1Mo}V!uOT zzei$!Kw^JHVt+zne@0?|L1KSJVt+$oe@9~fKw|$yV*f&7|3+f}L1O<!V*f*8|3_jo zfI8^VdW{i@&4k2eMq;xdv00JWY)EW&BsK>Un-j_g_1n0hY*0BYhQt;}VoM;gC6U-t zNNi~&whR(m7Ktr~#Fj^5D<H8Ik=RN|Y-J?23KClt$_53c8k7z4ixv`F8;Pxh#MVV( z>p|I|dP*OOZI8tEM`Fh#vGbAG^+@b~B=&qH_If1tekAsJB=&tI_Io7ue<U_PXh2P; zqYUI`c_g+zlnrX%*+bc&FiD28LF!YWY>=7~C>x~46sa9$hQu~UVpF@l2eKErJvjr( zJu{Kmvyj-ck=S#P*cM3bin&PQ^N`q<NNVOIiCZCwFF+EvMiO6$ByIy02ZiS%Byn4) zILKd{ki_kf#5W^}+arl@K@tbeFTvVlTcP40yS72uAoCredO_mHki?y!;vhBGki@Se zv2P%;Zz8d8A+c{GvF{+U?;^49A+hfxu^%9@A0n|IA+aALv7aEZpCYlJA+et$v0osu zUm~$zA+cX0vELxE-y*T!A+g^hu|FWOKO(U|A+bLrvA-a(zap`}A+f(Bv40@3e<HDe zA+diWvHu{k|01#fA+i4>u^B)Eps+dxiOqz>W=3MOAhB7I*lb8_b|f|j5}Ol=&4t9~ zMq=|Iv3Zf$d`N75B(?w&TM&sYgv1s`Vv8WLMUmKINNjN=wgeJe5{WH^#Fj>4%OJ63 zk=SxbY<VQM0uoyhiLHdhRz_m0AhA`E*lI{@btJY15?d3At%bzaMq=wAv2~HydPr=2 zB(?z(+YpIugv2&RVw)hbO_A7UNNjT?wgnQ~5{Yev#I{Ca+aR%Rk=S-fY<ncO0}|U2 ziS2~Mc1B{mAhBJM*ltK{cO<q465A7r?FD6n+E?CCHmDp9M`A}Hu_KY#QAq4)Bz6oE zI~Iu@hs2IYVkaQ66Oq_SNbF=Jb_x<Z6^We&WrNyR=}<PvFWE@!93*xw5<3rxoeyP$ z>Zt-Gb^{W70up-x5_<y@`v4OA0uuWH68i%Zn*lUn32h?@Ah8vY*ak>!2PAd?5<3Bj zT>xc++D;8nHYiM%K-nNaE`_o|YPLezj0}+VAE2fbc)S_JU|?WKcmf`YW<Zt)k3mD_ z1)gHcgU6+z@&~ZUgU70&@&(T@^@GQ+q4EmPG3CKy+EDomP<aL<_k-3fd=S%vSfdGZ zEy90^3=9k~`3Mb&JhK15<9kr^7qCF&k<ACSi4<1rg3X^{gDDSctHI<YEHULlZ8MmB zhZRH~*?!PGRl{!?a5P-FgXw>e`vm?#<Ug>&^h5X{XM^UpIzW-mz`)RO7p7kZJS7ei z#w8CL0|#MT@}Q{}5XL1B%7-9~Rh|*yKG2*NIPgI6@EYnqMuhut$%EVm!nou?ZUbRl z@*uZ?Fjje_^aBdtgfme0GQq+Zk$yn(AE5F9%rJSVdIkmt&^!w~{9i!*$AIu3E_smO zKp2-i$ZsHwOCIDm5XL1B>YjlxE_qP%41{sXgNiN?#w8CbN<bKwJZNqkgmKA(=5Rq6 zmpo`*7ld)igX%O8#w8ERS0Ic_9+YlD7?(U~o*0C2$%D#P5XL1Bn&SmwT=JlKUl7J6 z4@$Qnj7uIgKMcaS<Uw=BAdE{MH2(;~xa2`88H91kgUTKd2F)$P(!aq=kPZe0Mx^wQ zOCFT=K^T`jDD8tVE_qPe2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr z_CXkzJSgphFfMse+6Q4=@}RU2!nou?X&;1f$%E292;-6mrF{^_B@asbAdE{Ml=eXw zmpmx#gD@_6P}&D!T=Jl_55l<QL1`a^amj;HG6-XnM{Xa0#ytf5>V)PLF)$?9LFxyP zJgDvkVO;W{v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe z2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFfMse+6Q4= z@}RU2!nou?X&;1f$%E292;-6mrF{^_B@asbAdE{Ml=eXwmprKK2Vv0o9xVM!*kh)D zT=Jl_55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{ zv=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?DptKLd zxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXk%JaYdH6h;$zApHS@dmtT<_75m; zfG{YGVC|y^Nb;b%0fceMgYpIl<B|vE4G_j956T-Lj7uJrH$WJdJScB~FfMse-T+}- z@}Rr{!nou?c>{!T$%FC+2;-6m<qZ(VB@fCQAdE{Mls7;ampmwMfG{q3P`raME_skR z3}cIbP~HGxkQ!|Apu7RXxa2{31B7wOgYpIl<B|vE4G_j956T-Lj7uJr(m@!PJSgph zFvyQE|4q0L(gDdIptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgph zFfMse+6Q4=@}RU2!nou?X&;1f$%E292;-6mrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6 zP`UtNT=F1s7{-=9KxrR@L29tcgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSZiDFgAHm z`yZ6{LD-dnfdSS&QgFs>AK{V*rF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D!T=Jl_ z55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73# z<Uwg4gmKA((hUgXk_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?DptKLdxa2`; zAB5eI(*Ff4=^vLoDD8tVE_qPe2Vq?DptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zW zj7uJr_CXkzJSgphFfMse+6Q4=@}RU2!nou?X&;1f$%E292;-6mrF{^_B@asbAdE{M zl=eXwmpmx#gD@_6P}&D!T=Jl_55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`ylLzl>R61 zfLDz(fa(WO+XsYk$%E292;-6mrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D!T=Jl_ z55l<QL1`a^amj<yJ_zHI2c>-w#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73# z<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?DptKLdp#9~r z_KyQEX8Ok^4@&zWj7uJr_CXkzJSgphFfMse+6Q4=@}RU2!nou?X&;1f$%E292;-6m zrF{^_B@asbAdE{Ml=eXwmpmx#gD@_6P}&D!T=Jl_55l<QL1`a^amj<yJ_zHI2c>-w z#w8C*`yh-<9+dV$7?(UK?Sn8bc~II1VO;W{v=73#<Uwg4gt5tk=D$E`AA~`Efc0M- z9)NTp`oAD~kRM?3ACTlhX&)pH@&inM!9#?8P}&E{gZu!K4|s%-2c><GJjf3)d4|Ub zc~II1$%8~-@*Cjti1}~Ox{(KdUf}f!0(U{XWkBvn$b<H475GEs4`7i8?b|8{gvb}% z!_*Hh5rQD{3iqM%j0p2V{se^)$gK%?Ao8I46T}DApP>19f%|vC9jjAmpd1B~LFfnV z%|e$)9>4PeDTm^WZ=lcsaS-~Wkm_#-C9pLp^*1hgQ2c=~E_sl@Kp2-iDE>egmpmx` zKp2-isO$n^T=Jl@3xsjWgF*p><B<KYits;34up~A6QJ^p3~}J~Cm?yyUM^VrGT?*g z2g!rN1{8m|<UwHrQiDq#6gD6=xa2`$15$%a9uzhpHMrzKVFOZwOCIDm5C-i@gZb|P z+<%Dl16s#^w%G~f6^0F_F#jXuL2J5iD0P(mt!H3309`+XoIXM8$YJs)%rW(Y){w*G z3oJ0@L2Kt>@)c&7@}TwfFnI+m=7Zc1lfQt){h&4GFnI%OO!JZb_W<rcWr#us1_sbt zbeR4daQ(`lV>3WoJ#or|0u+RC=?A3^5XL1BN*f@IOCFRqKp2-iC~bf+E_qP;0byM7 zptb=B<B|uJWFU-79@I7fVO;W{wgCv^k_WX7Kp2-isBHkkxa2`?0}#d~4{95LFfMse z+W>@d$%EPkAdE{MRIh?CE_qO$0m8WCLG>yK<B|u}t00U^9#pS_FfMseO#;HW<UtiG z2;-6mWe^a?B@bG63BtJKL2K?n7?(U~-6aU)k_WB31YunApmjkYj7uK0ZUTgH$%D#H z5C-inf#rV(Tab@I?F&Ty$0ZNS`yh-<9+dY%7?(UK?}IQdc~IU5VO;W{ybr>-<Ux5K zgmKA(@;(UTk_Y8|5XL1B%KIRUOCFT>K^T`jDDQ(XE_qPi2Vq?Dpu7*lxa2{3AB1tq zgYrHI<B|vEeGtYa56b%>j7uJr_dyt!JSgvjFfMse-Une^@}RsA!nou?c^`yv$%FDf z2;-6m<pdDMB@fE`AdE{Ml=ndxmpmx%gD@_6P~Hb&T=Jm255l<QL3tm9amj=7J_sYr zR}jem$nv1P55l<ggYrHI<B|vEeGtYa56b%>j7uJr_dyt!JSgvjFfMse-Une^@}RsA z!nou?c^`yv$%FDf2;-6m<$VywB@fE`AdE{Ml=ndxmpmx%gD@_6P~Hb&T=Jm255l<Q zL3tm9amj=7J_zHI2jzVb#w8ER`yh-<9+dY%7?(UK?}IQdc~II1VPyFg1kyjUJSgph zFfRR|v=73#<Uwg4gmKA((mn{|k_V-I5XL1BO8X#;OCFT=K^T`jDD8tVE_qPe2Vq?D zptKLdxa2`;AB1tqgVH_-<B|uZeGtYa4@&zWj7uJr_CXkzJSgphFfMse+6Q4=@}RU2 z!nou?X&;1f$%E292;-6mrF{^_B@asbAdFoe(f<XF=)l^49I*Z+<9<-p2g)7{AUA;g z3E~vEK!h0?_rv>#xa2{31B7wOgYpIl<B|vE4G_j956T-Lj7uJrH$WJdJScB~Fn0NU z5RWo2fc+1ON6`3yfGb3pk#Qf2|FOw~{0_n(^RdZ;{0_pn<UxK1VO;Vczk@I?d63^h z7?(WA?;wm_9+5sk@}P7I^8W_7|54>ZYC!S<Zm{q{l?SC$ko*UjJc9~EAp--03j+fK zEd3QYL4+9@RN&!@OCA)qAdE{M6t*CYOCA)qAdE{M6t*CYOCA)qAdE{M6t*CYOCD7A zf-o+5P}vK@xa2`)F9_q32bH}bj7uI=_JS}jc~IF4!nou?WiJThk_VN&AdF2OHhv5$ zQ$XX#3AT{*5U>Uk{~&qL*dz$!k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m z#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh) zk_W{-2qViYY``D?$nv1L2Vq?LL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2`` z55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55mau zA2#EUe`I-3+=DPK{h+u9VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf} zVO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdcm`2*YW$3L<> zDDFWRmwr&(gD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3ws zE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsviyYI_~Rd09u)T=j7vW# z?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xr zJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7+F36-t9!(JAz9d6!##EOCA*WAdE{M z6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##E zOCA*WAdE{M6!##EOCA*WAdE{M6!##EEN^fafBr|72gN-I<I)d`dl1GY4~lyb#w8Dm zdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY z4~lyb#w8Dmdl1GY4~lybMwVwdjz9j9<w0=|!npK<;vR%?$%En^gmKA(;vR%?$%En^ zgmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA( z;vR%?$%En^gpuVhoWdXf$nv1L2Vq?LL2(blxa2``55l<QL2(blxa2``55l<QL2(bl zxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2`` z55mau3(nz>e`I-3+=DPK{h+u9VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce z@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdcm`GQOM z;~!Zb6!##EOFt;?K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`j zDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRS>E9q{`g0h2gN-I z<I)d`dl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dm zdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lybMwS=2g+Kn0<w0=|!npK<;vR%? z$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^ zgmKA(;vR%?$%En^gmKA(;vR%?$%En^gpuVRK(-N}tnbGq4~lyb#w8Dmdl1GY4~lyb z#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dm zdl1GY4~lyb#w8Dmdl1GY4~lybMwZ|35P$whmIuW>2;<TZihB^oB@c>w5XL1BihB^o zB@c>w5XL1BihB^oB@c>w5XL1BihB^oB@c>w5XL1BihB^oB@c>w5XL1BihB^oB@c>w z5XL1BihB^oB@c>w5Jr}7c#1#%k>x>g55l<ggW?{9amj<?9)xkpgW?{9amj<?9)xkp zgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9 zvB@)nCiX#*4_#jaTVM15x<3^p4^jfcptU8~<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{ z<Uw%{!nou?aSy`S<x$tiz}5#f@PT*ZF)%VB#=k-OvB`t{4#K$PL4F5eT=F2lgD@_6 zkl#TVmpsVtAdE{M<aZFpB@c~$@cJs)`XU8>g#VG{L1uu~4;{cE4_jZ9AOO{mbiS|$ z()ywY+z5G4+5%x*@}RH<VO;W{umxdU@}RH<VO;W{umxdU@}RH<VO;W{umxdU@}RN> zgmKA($`%mDB@ZfFKp2-isB8gYT=Jl@1%z?QgUS{V#w8CbTR<3>Jg95|VQlgY4C1hg z1biPXY<<yzQb_!R<Uw%{!pP+lvOFm6K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`j zDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWRmpmx$K^T`jDDFWR zmprWeK$f3Si9i04<w0=|!npK<;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^ zgmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$%En^gmKA(;vR%?$zzNEgj)RZ zk1P+0dk{u8A6Xt0_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ* z9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9$WkyG~$ncWO-2BgD|rB z$nv1L2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl} z2Vq?DptuKNT=Jl}2Vq?DptuKNT=Jl}2Vq?D*y5j|6@UCA%Y)(`gptihmIuW>2;-6m z#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh) zk_W{-2;-6m#XSh)k_W{-2;-8+7XKGI@W(&0JSgr#7}<Pec~IPgFfMse+=DPKc~IPg zFfMse+=DPKc~IPgFfMse+=DPKc~IPgFfMse+=DPKc~IPgFfMse+=DPKc~IPgFfMse z+=DPKc~IPgFfMs)@xP!4fBYlMgW?{9k<CYz2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H z2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H2gN-I<B|u(JqY8H2gN-I z<C4b~{{<89$3L<>DDFWR*?eSqP~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPg zgD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_rP6?=S^_ z{3FYQ;vR&N%}168#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh) zk_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)lE)VR0yFT(Ke9Y1?m-yY zd}Mi0+=DPKc~IPgFfMse+=DPKc~IPgFfMse+=DPKc~IPgFfMse+=DPKc~IPgFfMse z+=DPKc~IPgFfMse+=DPKc~IPgFfMse+=DPKd2I3jU^f2vN0tZ0JqRP4k1P+0dl1GY z4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb#w8Dmdl1GY4~lyb z#w8Dmdl1GY4~lyb#w8Dmdl1GYk1hT;%*P-9$nv1L2VrFMk>x>g55l<QL2(blxa2`` z55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<Q zL2(blxa2``55l<QvBiJGV*K%sEDwr%5Jom1SsoPkAdE{M6!##EOCA*WAdE{M6!##E zOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*WAdE{M6!##EOCA*W zAdE{MTl@zs#~=U5@}Rf}VPx}><w0=|!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nou? zaSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nou?aSy_{<Uw%{!nowI#lOO8 z{PB-04~lybMm8T=9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T= zj7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uI{{C`-7KmL*BL2(bl z$mS!<gW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkp zgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9vB@(s_<)Y~11ABHJnVd6fz1$MkUVJa zAB1tqgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)xkp zgW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj<?9)z*WBi2`e<P#1;(!&9G{G-Z$ zfXXXug{2Qv`G!Lf{RLaF%L^Qa$RAjNUEbiVG+1H-17ukW^7+yr_Z@)B7pw#;V?dV= zI0w;hum-#Q1E~Cjwb<ng&O`KnSchF+VL!zE0UNN(Z`cQsXV{2c-r)ko{0%tdFF@rJ zHeuJFa1o+kVHI}y4^a6FSmeDK7#JEZLG<tV3Oex&bbc*r{DBJs1_p)=zwpa9tj2CX zO#cHM;V%HnXbcPt4miRWrhmbDO!GnKw;!-_5Cq*{`~Y$c5=#6hAgy29ppKb-e39fW zB=O5f5Rk9HBJYP}zJe5{`Tj`qC$Pu|Ajua<W9kR_A9ns%1N{7YMEu1g>0hCSsXq`& zUP1;_J`_oQha9GS7?ON~Jf?gQlKcTI<_9CmXUM|zD?%6y3=H8&@*D~fVMYc;`1xkI z<Uw^02;-6m)jc4LOCD7BfG{q3P~8K<xa2`~4+!Iu2h}|wj7uJt<|B~&{{f5tamj=H z4#K$PL4F5eT=F2lgD@_6kl#TVmpsVtAdE{M<aZFpB@gp|G?M>cK<}?Wj(=S8Aisk! zE_smOK^T`j$nPMGOCIER5XL1B@;eCQk_Y)6gt5yb@-K4!X26oak>wTO@`(Hsixhqq z@bVFnf3V9V>_;v?IIxtT$nr0+lrPBg83g1z2*_&?koO=Ue*%kqBvSayfCd|K`ymEN zeggE`2V{BV{ObYFpNQ~7me;@{AA)4Q0G9GKpMik^cK^nXAV~QKI^PeLKS1ptP&xzg z171Spk=>VxWc~?1O!+J%`2v4T`D`S4i2zLb93=SzSmbk&<TC;>_2(hU3qbt`>Q{jL z1Hu(Z{@0L!^*^B%Edv91eKIH?gVY|_1d&Jfe-V=T78029#YplGu*jDn$#+O%>Muo- zH;}@VFGG^QfknO?NxnfEQ-3;=|0{ek!!H9#zXF!{1*MM-)1HDGP6ADs`a$vz(;@N` zI56!8$zOoVD>P%*pD+WW|3@o!`2fySVEYSNu**N-fXFwrW0$`$3t~P;CwBRS*%0{& zT`+kFACx9Q?uYeXC3+C@puQQp{DNMDJg9GmF0av#kO%e6(B(HwK*)poX6W)3lMwQt zz8SjwfyoGYP~Qw)-eW349+pRt{dZv+LLOB2q3e&Bfslvg8D#wrW+LQ4bsxI^jM)fz zP~C?v|6vY79#$qHn_n>xArGqi(DgGcK*&S81mOG)i@%PA2zgN78(qJ^VwgOl`~}(H zFb9$zW-P%jFEAG(udoce{D#tN;Pks<Id*x6GKjpvN~k;|gb#8b=njKr(8yX*i;xEi zfiNz4Q2c=~E_qP=fiNz4Q2c=~E_qP=fiNz4Q2c=~E_qP=fiNz4Q2c=~E_qP=fiNz4 zQ2qpAT=Jm&3BtJKLHQGeamj=7CkW$`2jx!?#w8ERpCF7?o&gd6p!5ezzc+S*Zma<H zudhjf{02%N$nqQq5%M6vq03vGK*)pqhAzM020|X>H+1<6_Yv|SzoE-BJV(fb{Dv-X z@D3plO1tRtGyWmuL1`CV{sb%NMh=MoL1`CV{s#|29+Y;`<r8EP@}RVfE<Zs9ArDHs z=<)}&5%Qq4i!T4c2q6zjyXf)}&Iox>+C`V|@Pf&smOl(tkn}L&2Q>d9?XLsH{{*Of z!!FQ`D4_5`)vr(u(ciHjyZi>I{DdRe<sE7u`e&TPE`I?kzu+8p`Gi`C{uP(8%YT5% zZ@7V7zM&4Hf5%<y@&fe``2&xz%P)Y+pLmX4-k<@ZKj9;G`2$e-jBnWG4ca043w~pl zKLC}lU;yQI%=iiDfaq^v#V-FL`Z~D&=-|RG-w*?lpTLh@ULY1CKSKn&`~s-_0!i%h z25}JmE99`tAArg`XkeEQh==I+(8VtQ04g6~gk8QM0ir*`9J@S2B1AsH2D|(OsQig+ z?D7gp5dA;Ou*+|N$`@2(mv=~p=zmd-UH$@8KA{e~d_oFD|BVLh@*kk`0nOOu8&V<q zPqbo}7f6H1J9J=|UjUWg(S=>!ARVILpclLR0jRvi6zuW=84&#&reT+V0F~F6iCw-R z6QX~?Z0zz3SrB=NdD!JAK;<Vaz%H+l4bjiB7`yxisC>gx?D7sd5dA-vW0zL|U39|0 zz)-LXyL>_}M1RFb?D8L=@*g&1mv6{}=+D@OU0xs`BL83qcKHQR`H0=v<qZlT`Y-Io zE`I<j?{NUTd_W;Y|A9l;<sU%hEskQBFDQcO-*6neJVP-=e#Z^$@)Mx)5AI->S15t# zfAJ8z{06A}hiBO34H_Zk_m9`u<rhHZ89ri{H)w+B=lF(Q{s2^7;5T;pfM$q(2?kjE z3AO%z0F_r@#V%ja0@1&N54$`=D@6W)Fn0L~Q27%Q*yR=4Ao?%JVwc|lmA|2cUEZM) z5<d^rvCCh8%D>RTE}zf@(f`2^yZi^J{0}qi@(s-p{R}qP<po+G@-tkq%P)Y+FYv-H zZ_o<Szrr88`~j%^h7j!X0c{ZdJ0h{mKY+>~h{G;l&<@dmA{o0pLkC3uLI!sE2~hbP zx!C0uIwATW6k(U&0F|$(!7lI61<~Koh+X~yRKBAPyL>`7ME`_t?D8L=@-rr2mv88S z=wC1uySzXzM1I9A?D89?LgY8h$1d+M4I;l|33mAlQ27HZq4G%ggM&&0*!X}&J+yy- zLq36kd<Oyf4Fu$G5RhkR!0)~XHTdN@;Nu^N@(tPl1_b0I2*@`OkiS4c{s#ehg*yEH z^B^E!KtO&50r>+2<X;ew7l6et!*vO4;|nJ?!umhgC9w71E4Cu!vGxBW-XP>bePa*? z^>1P09~R1>*ar2Vu45hlun0oPW9wfxlpy4>_0JznK*(e3-z%&`$YUEHaJYt$$2PvO zffJg48LmrU8=siqfRM*FzEMyPlSia~Q1}=uhLj%>n<4VB`5llMAbAiCTHjD`5mg=( zM<99F`A+Eapg6)O4~rjk{h;%cKyn}q3Xx;bz}SEz|HI^O5RhkRgybJ||H14x5QB`L zz~s^Whc1uqKXiGJ`$7H(g&&BGE)Nn1$;0f|u)%LXx;(o5=<*;tv6;`v0EusyJS=~_ zsD{`Nk_VZME-%rHkOzegy1YjhLLTHdboq*jFnQGUcVI2VJs*Ox%O|XZ$TuWmmuFZH zkvB-gF24XOe<B~dyu${F{td-2`Rfwc#vgasgHj`;{J=JTY2bvA$2R`C!UZ9ZZTwWh z9U+gce4OElkjFNDE8v5W$5wuI_#x!6m0uG=5%So|FOCR=Jht+yAqpW6&9W%-CqH5k z^4Q9+f_Q{Hw)FEN5h0H){UxLz<gumS8)*o6P}&4xQ2v0W|A0*V@+)Q_(g(KizcC*n zk1hT<mLue`#lOWmggmzNld%;ck1hSp*n^PAmVQqhM#y6epC6|X^4P*x;}Sw1Tl_@a zM95={-;M_ed2H!p$8&@{w)FMl9YP*k`jq&FkjIw3J^mu(vE`2n7SN5{ko*lw8z79F zK2~re<gv!T5JDbn{7WI^vBtk5LLO`UYaryY#=ky79&7xYA>^^fzb!%@Yy7(+<gv!T z4?-Sm{0AfCvBrNCLLO`UCnDsr#(yS49&7v;Amp*ee>p-P6!##E6907wd93l@ijc<| z|Gfx#tnohuA&)iwXCvgX#{VLOJl6PMiIB${{~HkUSmS>OLLO`U??=dEjsIf^d93k& z79o!{{;we9vBv)$ggn;xe~ggF8vm~l@}Rf}VU+m)h>*t`|347&SmU2T9%Li~sC|Vs z{@D@oSmU1$A&)iwMG^8?<6j0Lk2U_45%O5$UmGEhHU5nd@>t{F5+RQ@{v8nVSmWOv zA&)iw{Soq5<39``k2U^d5%S=Og0Am^wGURLAmp*ee>OrMYy1}@<gvzo6+#|s{5K-x zvBrM~LLO`U_ao%7#{V>gJl6Q1hmgk_|4R|_SmS>ULLO`UZ$`*tjsIN;d93k&2qBL( z{!b$0vBv)eggn;xzmAZ{8vpkY@>t{l8A2Xw{J%xWV~zhW2zjjW{~IBXHU60tz}^Ct zKUm|R6CsZ^{sj^8SmR#;A&)iw<q`5&<6jLSk2U^v5%O5$-xMK_HU4c7@>t{F86gi2 zDD?dAg^<S@|A7d3tnnX-kjEPT2?%+t@t=;6#~S~62zjjWUy6{&8viv2d93l@f{@1= z|J?|AtnohyA&)iwXCmaW#{U9@Jl6PMfsn@<|LYO*SmS>iLLO`U??uRCjsGJEd93k& z1|g3%{x2isvBv)`ggn;xe~6IB8vid4@>t{lJwhI9{C`KtV~ziR2zjjW&#DLxR#5qa zHU4=J@>t_v7$J`}{-qJ}SmR#_A&)iwH4*Y)hoa|y1B5)*_%}z$V~u}%ggn;xcSFcy zjelQ+Jl6ORLC9l`|7e6f*7#3C$YYKFEQCDP_%B4rV~zg`ggn;xuSdvZjsG@;Jl6Q{ zL&#%||EUOhtnohwA&)iw7bE1c#{VjWJl6Q%gpkJ?|2q-#SmXZyLLO`UA4kYzjsJ59 zd93k&6(Nr`{_i5>vBv)sggn;xe~pmG8vmaV@>t{lCqf=;{4*+nqYYHPV2ytcggn;x z=SRqc4MNZVVhDMx@h^*z#~S~t2zjjWuY-`s8vn)!d93kog^<S@|BeWGtnu%GkjEPT z0SI}l@gI(m#~S}}2zjjWpNf#j8vi*6d93kYf{@1=|J4Y2tnuH3kjEPTod|iX@jn3} zk2U^hAmp*e|9pfz*7#qBkjEPTYZ3BT<9`c69&7yXM#y80|HBA*tnq&eA&)iwFCyfz z#{Uh3Jl6QXkC4Y2|IZQfSmXa4LLO`Ue?`b+jsHIgd93lztPIu$Du1xXKQ}@iYy1l# z<gv!TBtjl*{3{^jvBtkTLLO`U>mlT^#=jXt9&7yDBIL2gzY9VhYy5j7<gvzo5JDbn z{6`_=vBrNQLLO`UXCUOk5s6;@=Og5?#(x<?9&7y9A>^^fe=9;BYy9^h<gv#8WQ07{ z_@9N4#~S|&5%O5$e<ea5Yy59O$YYKF?Ff0S@xKotk2U^}BIL2g|5=1Q*7(1IkjEPT zw-NGK<Npyt9&7x+M95=}|BncHtnvQ?A&)iw|0CqF#y^`1*x8`+2W$NEBIL2gzX(De zYy8U~<gv!TGD03}{A(fPvBtk4LLO`UTOj1I#=iqX9&7x&BjmBhzaK	FXYwKNKO4 zHU47|@>t_P1tE_${<9JCSmVD4A&)iwD-rTo<G%qRk2U_=5%O5$zaJrwHU6g|<gv#8 zT!cK<_+NsM#~S~u5%O5$e=|ZJYy9s*$YYKFg9v$S@$bN`1{Mb8FKqE&AcT;|7XJ$* z5%SpL|AGQS9$Wk~XdvXV#lL|*LLOWECzv7Rp<4=2)@MwxMaT<+eG1v%4x9ft;DV5c ztyw~zAN$~qkO$p)2QmU#ULhDEk1hQLL?PrscgmsbZ%9PQgYJ|=m*0?qkO$o<hc5ph zA0ZDpNeI<{0_6yK@P;Y0{O?eQkcX`#L-t=mD?%Q!5)sw>1w9CP$VwAb`3sW~^01Y5 z$mTQ5M#w`}GN9@=ScH(rmVXmgBIF@6m8kkBY(U6EW)e{44{S%sLq_^g<v;90$U}PS zsPYQO5b}_gG^%{SS%f^KrbCr)xPp+!R{m_bjgZGy{ycbukjGa32)shbV=I3gJ|g6? zl|KbP5c1f{p9TLB^4Q9s3vAfWKlo4$S)azRUlo+3!Sl!1%s+4el4?NZ6Ucl}9z#w) z$nv1_3zSB1$%D!-P#EKq$5wvr_=d0_RDPk`k1P)=ztHVRmIswz==LMag98FBe>R9h zas|l$AiF^r+5O1!AiF^rmpsUB5XL2sEqzs3BkTvIFLe8n<w5BS-F{?wQ2Ii*A6Xuo z{~w$})L)?Z1*t)H|BTBBc~JbK%Lm*+$YYCNj;9EDQ2e6nKkybI4~k!O`HF7{c~JbK z%NzVh$YZOYUa%w5Keqa5fdE1tTm2LviIB%uKM5!y<Uw(V?!FUR2zjjalQBXbTm58V zgOJBoKYegP$YZOYR`??1vDHrrVF-C_^^-(ALLOWFbRiufkF9>{C_u<Vayn}H>`;l2 zhvWoQ`5%o4c~II%58n-42zhMvQ^sV3Jhu8tVGcqbTm5un2|^xQ{WM`MLLOWF<gpDQ zkF9>%aU3BJ%Dd?PE4YA=$5wu5+(gKO$`5q?4;~`qLFEU!{ESx!c~JR*E+6n2A&;&8 z;P``(2h|_w`VX+c>MxKC1Q#Hk5A;F|v;HbXIv;3)IHo+Pe9}<rC<EOO+~AF0p5c-S z*iz*4m5}vM@PX;ifF*x$`xbV7Rfa!I9(DaW%>D<y_~kzY;Fqrm!Y|Jdf?vKP6d{jX ze#6`+5RQ;XF27;&Ga?Z3$mKUoULgu0k6eDk<X1!^<dMs7n7lzOLLRyNhRN@UL&zhS zpD=lc1cW?t{)fq*NJPjZ=O37SKr%ueIsd@qZ=@jPk@F8sJ|PVukDPyC@-Na6^2qrI zCSQ<=kVnoxF!>)@2zliE1CwvaLCAv>GPL~yljq38FFzq4zq~{tc6nI(Ur+><$Fjc< zl>a2$5%Qq;17T1-0NNjjE)R-75XL1Bia!v>B@c=}5XL1Bia!v>B@c=}5XL1Bia!v> zB@c=}5XL1Bia!v>B@fD<AdE{Mls`cjmpmwcf-o+5Q2qpAT=Jm&3BtJKLHQGevC5;J z{{l;Y9?e?dWC<!?L4E^qkjvMKE`&VDZ|L$XCL-iPenXcRScH%V`3+s(VI@Ky<TrHr zf(;0Hkl)bd7i>q!gVHX#JjV%yJSgp=%UhgB$b-@@x_rhpgghwiqRU@+hL8uPU37Vd zcL;e<+C`T)_==DRrCoISgg*#*P})V8Kf$975(1w;3`)Bo4s!hc5Jt#@<Ukl%p5YKQ z|Kms>ADT5lTEOOm8EEC#j}H9u41M_JIVR(m7np%xUSckOd4)yz<u#V$mp530U*2LP ze)$W#@XO!Wk6-@55&ZHmPU4sUa1OuxkIVSw8E)d2=eUPoUf?l)d5IVJ<u`o9FTdj( ze)$8x@ynlJ&;%!IQ27UDpr!8%toY?EO!3QSIN+Cm;Dld3!WF;#1$X@N9$xt65BT7h zxA4a=zabF6yhaFq`2}J4<yXYwmsd!@FFzv*zq~*ye)*1c{PGN0_~k2d@XLS5!!Msv zh+qCeF@E_MRruu-YVph8sK+lK(1c(9L<@d-hj#q(J38^p8}#6pU(ttOUST4Bd5uN* z<pY-CmycMDUp`?2e)){8_~i?B;g_%2k6*sw2!8pFllbK)oWn1#aRa}+!Cn0F7LV}D zJ3PlP@9_q|e85Ni@)6(g%P0KCFQ37HXun~rUkX_9%X9GKmlqJhFE1g9UtU2Dzr2Pr zet828{PGsM_~jjp@XLFc<ChPx!7u;96~FukFZ}X9{PD{(gyNUyh{7)~5RYG8A_c#^ zLMDEBjXeDF2E|Z$9ODBkJfQsp9P$?k$p0W9ui%N_d=CQh1q9>+-0+)UK|p>10r?XI z<UbIQcR(6{ft3%){r3z4@)HQi?;s%mfPg#)f%r2ZARj?Mz5yoB&{2kMe8Hj`(SFC) ze}7SjkjK{lKd}`d4+~%9{_l!62zhMdA1kC0<vX_i^#@yoJhuLMKomkATmODSIYJ)W z_`rtw2zhMd3ksJJ^4P{F7`UMMo1vo&+xW%<2ZTJf@HtTfk%!5F%m9TS%q<UQp~{2e z2%kJCj_}EY?7=3F?R+56_@sgt_WTVx9|$DxK|sC$Di5+3gwg%C;68r;q06KD4_zK) z2eSXr<<ae*!3r6_0qFx_^zcQON4Fnc9%Kfx{V;i4{oe>zi2WdWkl8T(u<^qVAA~%} zZRqklf)Vl{zoE;&h(gGN<UknYKA8ClviRjcXycb(ppReP!V<r{f-OQG+xVjfCnEo0 z8^2t@jgZGS{wcwSkjFNDIza#-kF9*<5Jt#jE58~<5%So|uOH$Fd2HnugFHeWTlrO? zh>*utetl3z$ivDE<oL}{L&#$*zaD5H<guln2yKKsw)A&F7a@-={dyQ6<Uwf<gpu8M zzzDy*L=qx>U<-ebOoTkP_^T*D$YYED73BzdZ0YAl9YP*k`r~Lr$YV>t7QG00Y~hnJ z1tE_ud}qu?$YYD26N?b?*y8ucN`ySN^r5j4A&)J6MeIPxV@sbM`w{Zk()W&I2zhMz z<HcEoJSc5|Fmn2kxQdX+8vl0?@>t{lF+v_|{J%oTV~zih2zjjW{}UmPHU1fNKwbim z?_-UBc7#0E_~%2&V~u}Nggn;xmqEy5jeiw{Jl6QvM#y80e<OrE*7&zX$YYIv2ZTI0 zpwPyLB|H%FSmWOxA&)iw!w~XV<3AQ5k2U^N5b{{#KL;U?HU5hc@>t`)3L%d*{u>eU zSmVC~A&)iw`w{Y3<9|9r9&7y1L&#%||D_0dtnt4FA&)iwHzVY+#{X`FJl6O>gpkJ? z|0fahSmXZ!LLO`UUq{GejsN=yd93mO3?Yv-{@)_xvBv)wggn;x|BaBx8vjhX;9v!{ zFR{iy7eXFu{0k!FvBtjyLLO`U%Om8m#=jau9_&!`{I7?Q#~S~p2zjjWZ-bD>8vo7+ zd93m8g^<S@|3L_OtnnX-kjEPT2?%+t@t=;6#~S~62zjjWUy6{&8vnHjd93l@f{@1= z|J?|AtnohyA&)iwXCmaW#{WWuJl6PMfsn@<|LYO*SmS>iLLO`U??uRCjsK$vd93k& z1|g3%{x2isvBv)`ggn;xe~6IB8vid4@>t{l1415a{C`KtV~ziR2zjjW&#DKGR#5qa zHU4=J@?eGN`CkMfk2U_K5%O5$UkM?PHU2db@>t{F03nYx{w)ylSmWOwA&)iw-4OCv z<KGt{k2U^75b{{#KN=yAHU5(k@>t_P3n7m+{tFTESmVC}A&)iw>k;x;<G&ptk2U`L z5b{{#e=0&AYy8ha$YYKF#Rz$<@xK}&k2U@`A>^^f|4xKF*7!ewkjEPT#}V>a<Nq8& z9&7wxL&#%||GNlztnvQ@A&)iwUnAtP#{VaTJl6RCg^<S@|BU)zZJ_c6Yy5K{<gvy- zKSCaB{EH#vvBtj~LLO`Ut0LsF#=j0i9&7v?BjmBhzZF6rYy3MR<gv!TCqf=;{0AW9 zvBrNmLLO`U$06jg#(ye89vqSA<$o?h9&7xUAmp*ee>FlLYy3AM<gvzoCqf=;{7*#4 zV~zhA2zjjWKOZ5FHU5_&<gv#8T7*2-_}_w%#~S~85b{{#|1d%xYy6)=$YYKFiwJqF z@qYs$k2U@uAmp*e|8s;q*7$#ikjEPTUlH<H<Npsr9&7xw7=WD(Du1xXKQ}@iYy1l# z<gv!TBtjl*{3{^jvBtkTLLO`U>m%f`#=jXt9&7yDBIL2gzY9VhYy5j7<iP=np8tap z@>t_P3L%d*{u2@MSmQqfA&)iw^AYk`<G&msk2U`55b{{#zZD^mHU4`L@>t`4GD03} z{LezjV~zhs2zjjWzY-yjHU2js<gv#8c7#0E_}_<+#~S~~5b{{#|13ftYy4k9$YYKF z+X#8A@&5=Rk2U^ZA>^^f|3`#8*7*N{kjEPT{}J+7<DbnC9BiQS2W$NEBIL2gzbHZ; zYy8U~<gv!TGD03}{A(fPvBtk4LLTf9^!#s$kjEPT4hVUy@$Zh1#~S~B2zjjWABvF2 z8vn5fd93lDf{@1=|Jew6tnpujkjEPTl?ZvP@!x=u#~S|~2zjjW-;a>T8voM}@>t`4 zE<zq_{4YVsV~zhc2zjjWzZoHqHU4)Y<gv#8L4-Wk_&<S=#~S|^5b{{#|2je*Yy96s z$YYKFrwDnh@&5)Pk2U^3BjmBh|8Imm*7#>K0!Is|e8C$3oCtZW@h^ao#~T0Q2zjtY z==onBA&)iw)e!Pn<6jpck2U^H5b{{#-x?v0HU6Cu@>t{F3n7m+{sR&6SmQqeA&)iw z;}P;$<39}{k2U`D5b{{#zZ4;lHU4W5@>t`)86l4~{<{$J*y4Y~6=Se4DE(oJ{|C1b z^4Q{E;4wlTTl_n`Ldau_|ALPQd2I2&;0Hn;Tl`=6kB~<`e*w1shJhWi{~G!H1(>`6 zA3`4a`~{eNf+#{B`TPZ#`~(?<Jht?AKp7#AeEtGV{|7CEJo5PqFnI+dggo;33o!Wr zON2b~`3o@l1_y*Z^7#ue`3>#}dDsSY<n;j${1EcU=P$tY3xpx$LF+z2i3wT1Lo7lb z`TPZ#{(=;QJo5PqF!=@92zhMz_d*du9{KzQn0|&Tggo;33ov<uMua@_`3o@lgbsu} z^7#ue`3e09dF1mKVDbm1A>@(IUx3Mfn2V6dR{kg~MaW|-e*)GZ<gt}M4Vw}2*vg*` zyAbl&%AW@Z5%So|AAyqyd2Hp6!v%yqC~bf+a{4IfK;&O+<{LoQXM)NnkollIhO8f1 z9#npT(g-ejQ27N4V_fps$}f!rkoA)w`$6Rwy8X!Vpz;gdeq?!2`GsyjvOFkkKp5G5 zAMPRS2iXn6xa2{0gD@_6kli4ROCDSLdclHNe*;Qi==LMagVGne{mAm5^o4FevOLJ| zAdKw3fGLRj3lzWT@*Hy!@}T%dmp`x+A&)J7E7l?8LGg>O-(WjJ9u&Xm@-Oxy<U#R^ zF2CReLLOWF6mbzDkF9<ZxP_3%RzID1gpkKpKQ+8Y$b;ez-F+5c5b{{-r#}dJZ1vL$ zRz&{ARzD^1A>^^uPZHt?d2IF51$l%#w)&|<10j#CesVBG$YZOYepn*pvDHr-oDlNZ z>Zc5Egghwiqld3T2tpoP{d6M+A&;$onvjZ+$5uai<RRp-)lUrN2zhMv(~f$CJhu8t zV<JKxly}kn_h2SM9$Wb_V-Z3gRDPiA4_J+m2bCY_@*G<b@}TkqUH-rxggmzTqv9w+ z9#nsz>o+(Dk%#P}0LelyEU!R#p!*dW7+~oKSq#Po(I7pL(wcz*meyfu8kr9%QSqyR ztUAK42C^~$zZzJc0l5!^L2d-mFbv|u@(r>Wj18heYGD2V@j)0Q2BKjY#E1D2Sq#Po z(I7RZAO-_|H=7fo#uBOqmZo572boW;IE9pePzxC#X#v55@XeqCurPpy7c$?12sMMv zU!b$Fpw0$Upg06Gpah6t1ZBf0kQj^&qCxx$5QBk%0hWhhc@>#oOoW;eBGi;Z)xao_ z{V+C&2Jy>43?vK^L*g=k_^|o_CJqvVu|YJ5PfVErt2aS<Kp3PCM8hzM5375T#b9g@ z4N^nT^gNR7G6V%SDA1u8jSEg2255q4T(BA=G(j{jSj{o09k8||tc{4wH$l^g#s!<B zk0yx51*<Ve6GY>J)ew`Oj}zf$Jv777xL~^mTN-mfb0iuU>}Gp3K{PH{jU$>M8W*hQ tDiLAmjHVHd3pU3NO%RO>R^x;wh{gr0Atv6h5#eTAG{e!jV7oxZ006;$!$AN5 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini new file mode 100644 index 0000000..476ecfb --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=512 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=2147483647 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=152 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=121 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=103 +OBJECT_NAME_COLUMN_WIDTH=123 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..5e1ea818382ee7ad275ef129271ff38655f3d6c8 GIT binary patch literal 1280104 zcmb<-^>JfjWMqH=W(GS35bwiwh=>D(VtCOY3}rDeI51c+@G>|s$T7$=urV+&urPqc zAkr}PF!}})L>xwQK)4LdP<;|01_J{FIxPcL2cto50tta=kbNLFHY~6fA_}7!1R#8n zK2{KO1BhT?U_hfU?&b$ez-VNBVEYQ72BFa&&p{?LFu-VJeW0+}5h(;VcSj~FJ;MMj z%&-7T!}NiI3#9J^RNo1xJ{YY4Qoz8#0Ha~y334L{TR_7TomPNY#{i?z^+iDSq0=r< zeJ~ni2S_ORX-Nu*jcyN&53>hG!|V%y>TB2z2~TvIMH6H?0|T6fa2W!hmZX5f1<EBz zK}=_02!h&&D;_+chQeq_Y%(zD=VT_Cnds-F=;mbRl~(9hSeWUWndlYg>luOV2KgOi z2PjRs`-L(vH830iiNW-P*dh!J;B*d>*O>B%Z(HAbzm+F_mcBAuQ75X?1I{-fGeCMk zYCr~qq5&GkAT9%l-O9iK&XW+QHiE@LVj#xF)N{EY8W%2RWnh4$JX94-+}OqM@nIMD zWW+AMi3hv*Id<&g|2eRWH}hi`U&e)9d@37u@j_ng;@LR-wFF1_IOA~7B^>^h1?5T9 zAO`bZF<^I3Dvt0B$KlRW9QK-VVmDt2NBl}KVOM_=N4WjK5s!8_%ooDp&Ph1L{c!kK z3r9K$!4Y3CafGKcj`U-RBmGRrVGbyd!pbsm#6k%r9Oks(@Yf6+@%0FYcr!Eh_*#ZT z{3DL=If6rd4vzTM!I7Tt<B0cjILvp%Vg4K(`9cSWIa_d~Pf;A<tc^o_8V>PX9QooS z4)-{+V2_szIQ&(CBc1HPk=`!haE}&__?5(AP6>{D6pbT1C*X)*YaHsmaJVNFhkxC0 zgwHt~@iGBN`U%Go{#rQl`$-(?^Kj%lRvhu(h{OC}IKqb&NBETCFh>hVyr0Dpo^d$B zXBm!o--$zf3Xbsc!BKAW;ZUD}BYvObFlQ}}^mYt~JGF55_YscpoQ5Mj^KjVfi6b6E zahQJ}N4y-y5$|$1!l4F7dt(<4|MK7npE)?h&)`VsJUGn%k3+pD4)YUmgo7N8@D#xj zo<canAr6OoqH(Bi#u5J2IMVGi9PWINBmH#Yu$KpiIlef`lNKE1_C_4;yotkKwK&}A zhC}=Xj`*^~;hq#6?$70CU{Hd#g;1z?&k*1El+>cs^vvRt)S?hy=bZe!)R4raoK%MR z`1IWTy!hgh#G;b;cm}XitO_%;Gvmv0^3&tfQ%m9tit>}=lk@XR;)_d)N|Q_C3rdO@ zz_Q8tdBr91MH$6lHL1nL@u?N5$)zQ!@doi}iNz)HdHL~0sp%jpF+H6DY-&bIPJD54 zMrulFPHKEfQDR<kVsc3)$W@u?d5Jmk#X0%q@rmi_MXBkDC8-cSAeWTp6=$aBrKZFu zWtPO}r9w>u$)u#_q?V+{7ndd#6y>MKXXcgVCnti9%uI_<%goCx&PYvx7zDCBEi)%I zzAUjQ6BHyM=YhisA`dbSq65K)T3eczSX3FGo0(Ty43^8x%Ph%E%*m`ujW0<o&PK8p zEDa7Hh@QkeB-@iSQj@deixTtFQ{(f~;)`=KlT+jK3n1ZHoS9pYlS+JG7MG;N=j5kn zCdVfhWtL>b!@~&9$SjUeEi6sU0lO)=C^fMpH9jR3<R!2O*p-l21c{}lAbAiTNuc-# z#ce@RW`0p-No9O)X--LIK@K!>Kw+AkpO>6if-n+pe@bRqW(rgxC~)D1Vu^WhiYWsb zSC*Jlni^k{nVTAKfG`x~!o-~TjKsVYa17>@LJWqbIjB2RA@KwD8dmE-DH3EC#AJ|t zXpxecmy%imiWG2y1vwkyzxd+h#GJ$;gua5J{DMSKGDS|v5NVLE;?$D(l8mC%#1zkz z__X|@_|m+h#JrUJT!a#MK!H+qa(-@7W*)>-i8-0+d0=(WSWC)DEG|h+iBBoYEK4l{ zWyRFI^pXs)60l!ESrr_rnc%danvxDN2^^wW0|FYLps<K9PAy4FOwL9M?v&KTVvq_@ z76IFmnVOm!pI4fjT9la_4@!C<+0>Ny%)FBL#1v>62l*S61G7`((@OKeIUB4Q?8M~! zyt34ylK2u(v5=S(UutZGkc8wrSmH;BV|997eqMZ1PJVKBW?p)HN@`AGWjx3=i8=B4 z1xV=-6a&enMMbH3kYWs!j8pQ9auf5Qia@cSS_YDaYA;AEO3Y0yNrl96aY1P@B#1#K z6_>@AR2HPhgG-Qt#FC8oyu@5cAQzY9mc-}h<-np3?A`Lj%#!%TycAI2#^<G0fC^BQ zGL1m`Nh(cqEGkN@bVtzyac**AP7Wx-@D?0~xJqG=Z;F!RONufRbK;ZpOJTtQioN9A zg7~t;oOr+BApf$|qMXD6a9n_cq6*9erzkXMfU-(TWnN-#CdgPssSZ*L(F8IZYg|G@ z9-IuZR2U$Axv9AzXF*bDaX~)RNw8`Jp7Y}qOCZHYRccW_*jl)aP&M(eQVc8$j;7+0 zB5+oUPtGr>1PddT?XW}~4+?OwBv=oqY(ns$H4t1aC<8#MAcz`JDuX)&oJTS1Jg~~* z%-qr(v@#l$)36runRz9t>8VBW1v$_vDI+zpARgg%u!&&T!b=%Qg@mZYz_O_2KcsAj zgacR_tHa@WuMAoqrl;nm7J*!zT2z!@1TO7BWq&cKW>2b&hsQ?>JV${H2j`^nqD*K- zUzC~ys=6Ur3Y2p2wiLh#23Bsx7iU(b8X~-m)zv7a1~l0f<QGB90#HK3nj_IFJdip_ zg+RbMs0|=@5>Sa8oS@PIl(Qj;9TZB4dObHkg#jEc;6wyUZ}H%K2uhqFJxJC-6LoSf zB(H$YfmAXDmGK7g6$qthr7}VSl3MbiMPX`5d~#7)aePW?ZUMF;FgGzLCqEgY8<gNd z^%bZfDNThH&8TH7*hN^Q2^wzTmSAx~YI0^;CA5rBNkIw~XvK)9^#w90H6=bdu{brp zA~`>|Ah8H3Z6$)r)_ABeIQ<|TkI!A8_yj9QN^VfMKx<4WRfOD1MGNKlV&u9Ht94jX zE7*ZJ3j}yJ&n%A5%P&D{`9b2w0<4|5aEFC7Mx_t8q$D3y^Mgttgt0JB7Jxb!#i==| z$w+w%CRvo4m;-78fLnP;jWtjSAL<eSYPg_RKHxN8lvxa_?LqQj8$p#=MR8_sN@h_! zxZD7hHJNGgMX9-o1qHAsKPb1t(mhxksOT*Nl~mA%07{FaI5n?0vm~<$noK~NLC!@j z?n_e&;&T&Ak~1>%kh&qn#vvld#^<CK!;%49H?*FD)awMZc~WVbXPN<O0|6dG&~`df zSq^F=f?N*|Lr7wP^t_N8*72En1*MR<OMz9lV70lWpauhKYag1;P^`;M%>~6zN`5J* z;|XdVfVIQD3HBK%D)RH<Q4%_GV-6f&kho7pEvKPwP0KGzPK_^0%}E7iaZpnW+B_~w zEzZv=1vP{rW`H6RtKA^CC*~lxlNd6KGjpAaGE>r1LEYc*l%#M_1y#flAD@$%1Zw$$ z5_fK5W*$R)d~!u1sEY~i2QolfDIlsiwFE>Z7gRFjWF{4*WX3~l_WYvw?9`&X)EvFy zd?cwPh;=AZ$)HJ3GX{4bPbcSiBRxYCIMW!xG}1F=&@U}6($C1xP1R4$D=tYg)c19D z)sM)`$;_+JFUu@TOvyJgFf!3I)DHu5^r5_*%q0Dsyb3cDy?lK=JrF7>D29mWrza=t zTIw0=8R#cv=7FTZv$CLZ21W*C$b`Z}mKhps-0o+F`-}z7LiQ7Aei#xS%nZl*8DPr@ z7^X~|#s*rMaS<xE1<Yq=xC7;vN@a2~FfcJZhb((wfUQq}&C_4l0Wy_=;Q(~~0Ze=X zRGgy#GOG*|hw%l_#1#rbGu#Xe5@_Q7P;mt`@fAf7^%`j6+QkrY12plqP;m=1@stvX zdIvP|mr!vJH1Vubi249D@z+rC2sH76GKl&FH1SVR@eDNavT}&}0yOboQ1J>h@wy6# z`UW&{o=S*#2by>rRD1%OI9C-!{R}kmKB)KtG;x_~i24<1;?tnw8_>k1Y9Q)&po!0e ziXT7|*Qtf5KY=E`3@UyBO<b!EqW%V&_&TWg12l1?dWiZLXyTio;vdk&bs8Y*f1rtP zfQmB|qNa0`Mu>V2H1Qo!aRD@Oqb7)Y2{iF-P;mt`ajRyCdJQ!3eNb@&G;xa-h<Xb& z@jXy+2Q+bqR)~5JH1Q))@c=Y&&o+qq2sCk-c8GWan)rICcm|rdMh8TF0h;(ysCWgM zxKbxXeFK`fMHfW815Nx6RD1%OcvLq;{R}km>rn9pXyS1_5cMn2#2-V&H=v2j_CnO} zKod`ZiXT7|p92*?fhK+fDt-Y?oU0FF{tYzoD5&@YH1T;*@fT>~^8FBVKA?%uhl>9| z6IYr5QO{6>n*Kjbg@|*YiC0X6hzp>J^Gt_`OQ4A_fQl=iiT|1bQLlj}o-zv}Zh$6! z8!B#rChj^LqTT^bd=pgM15Mm?4n%zbn)nK+cm$fb)Le-A1T^tlsCWjNxW+t)`T{iZ z7f|sEH1QJ)AnF^?#QhgS#5>T$k3hvIpov>9f~cQ?CcYmkz5q@9f)7Of3N-Nteh~2u zXyOIo5b+&o;u9hv;s?;g1-u~QC(y(<K*cYhi7P<uy@4jq5C}2n0h)LMRQv^+_yMT+ z2Q=}7Ac#3X(8L9TA>s_hsOkRzRGb4%ydVUkUI0y8ArvC6fF^zcDz1Shz90;u-T+OU z0UDkbXyOJ?aR)T<1gN+Nns`7o#QX>}af5x_;09j;ns@?KJOfQUAPTf1ih-d3O+29n z(ju)u6F&f&pk!cRXh0J$sE4TUKob{O1X>Bnz%T(#oZ%=$d<L3$!f}ZB0yOapCn2jQ zSD=Z1cnA^SfF{1+F+_X^nz(=iJ2-p}pou$pK*Ud=iGT2fh+jYxU*HW9zkwz`AsHh6 z08M;BDn$GRnz%v~q~-kqO?<-|i1-h5@f{Fxh7#2D>~IJo&VeR=;4nm708KpM97J3K zP5i@oh`0ipc)&%7xCWZ|gv$_d12l1i>kx4ZH1P{JA>s~b;ta1K;vQ(?0e>Lk0qElY zAmR~d;sp$x;P_2I6K7zDh-aXQe~^WU7odqJ+=Yl&pouTI2N7>T6L$bD{9|BX=s**H z@D!qc0-AWiTZs4!G;xRb5b*_Q;sPHb;w#X^8NNZpH=v0p{Dz3{Kog(v4<dd5O?(40 z7dYHbpouTwfrwu~6BiJGh~GdHUmyq(e}E=_0klwrfq~%#ns|XCMEwUe@dR6l_zyJk z3keW$hEmk@pO66&=RgzxkO>hNKod_WfQU<=i63Zzh%2CpH}pWnHPFNpCP2gu(8L=i zL&Po6#1~A1h&!N(AD9Ud_dpYWFb5(YfF{nc03sfNCa$m;BA$RQz62tkfiAujB3^(d z{$K?}yaG);U@b(v0ZshCMu>O^nz+F>i1-9F@ddje;xo|18}>uQ7odrMI1CYAfhL}C z0wTTvP5i<oi1-dPafT}p@dIe$6RtwUPoRk_T!)BXKoj3^10sF{P2AxYMEn7oc)@Lm z_zN`g1$Q9gAJD`v+=Yn$Kog(v6e7-0hMN8Z-a^DV(8LoyK*R;m#0x${#3j(g8@@rr z70|>d{Dg>WpouT|0}(es6W{P3B5r{$&H!njIG~F&Lc~4L#X%b!7#J7=(8ZY{>Lbv^ z16Uy9325RUpyC;5;uBaQ>I=}t*&yN-XyO6v5b*{y@dr@x4m9xv91!&r(8LqCAmTI7 z#6LjA7odqh;DM-LfhO+22NB<ZCO&~5BEADnyg&dVegI88KoBB+0!{pYFhu+Un)n7W zi1-aO@c=o9_yaWY0?<YYQ2CE0{s1ce0Zlw04bm?CfhK+dD$Y=jmj2Tr>N(KFFF?fw z(8L2WAnGO1#4kX_70|>3G9l_U(8UWO;s$8q36&6W3pDY9Mu@lrns`GeMBD>Se8NPC zcmSICf|(HU2sH5x3nAhOXyO7ZA>tWm;sqNZ;sxm9paty=3=9?M;s+q=8_>m1K*T%H z#V<g_C!mYpfQZjP7k>Z|Uw|(D0wTTwUHk(?d;_}p4~X~<baC)bECz-H=;9oZ{>uq; zaRG?<1$1%H1{?+kh8yVO&<%YL(8V<%=Da``H-LzLKoh@U4iW!>CT?I45of4CP5%P! z5OEGP@eh6waRD^(fG~)-1e*AUSctd+nz%qJL|g++d_fLG+yG6yp#&msfhNvy3(_xh zKodUz756|B54aCeAAlzQ!5q?`ia--ru!4vupourwK*Tf9#20`zwlFX-6rhP;I0LD_ zD$v9yoP~%tpos^ZgNS#ai3^;Eh)+NhzW^1VfhIoT0z~}+H1U9o5b+gg;sVfi&IUB` z0x3v2yaP>~K?Wjz08Lx~T5g{}6W_2GqW%J!c)?qU_zg7igl`b>2Wa9GzC*-cpouT| z2@(H*Ccc542iza|fiC_PqMo4=E&W67<v<hva1^3m08RYCF^ISXn)rd^5OD=G@dYO! z;u`4UCn4enXyOM>LBuW4#0^eE#2wJYH$cTb(8MR4fv68a6K^;R5syF<FE|GgPe2nF zxCjx?Kof6(iWi`XUx12NpouG7f|%2QCVl`a-hn1Aa2cY00-E@OD-iJ+XyOjnAmR(q z#2-9@h_65s-|z+^z5z{K0Gd8`pou@=fTXJfXyO7=5b+ae;t8@4@e63;0`d^?8))JW z6d>Xc(8MPwLd0L7i90Ak#6O^kPk@U5Kofre6=$eIP5%kX5OX-t#0^v-;sR*m8=&G6 zXyOcN5cLXZ;tJXjaSb%_2~cqZH1QAm5cL*l;t2*2aR)T<3zCotY!5W?0y&6y0Ghah zJVZPKO}xPYVtxXexPc=?JOfR9f)hl%08RV@RJ;OBJir;Ez5z{q15~^NO<ceQqJ9FJ zcmY&=2AcQ<sQ3aj@eRTd|E@q2{~!So-+(3_kO8rG2by?6Iz;>cn)m{!_z5)e0;o9` z(8L2WA?Dmb6JG!oe}E>=kOfiy0!=&tD*gdY`~Xz^2b#D-HpCo;YSi@K02Svz6Mp~| z7eEtt$bpz6fhN8I>R$zP@kWSx4K(q9E{M1Rns`DtMBD;RT%iXd?tmu#04nZ*CcdB- zqCNmkJfROF9)Tv_FaaW-fF|xR5h9*}Ce8rb&<$$;pouq3hN!PV6L**b5pO^fXP62R z??4kj02QBrCay3OqJ9RN_yef;0yOajvmokMpoteOfQWBE6E|205#NC(egP_e08M<s z5{UW}XyOUWAmSI$!~<4A#BZR9H>`$;KR^?ASOXD%fhN9SBSicIns@@}Kn4Z|h979+ z3Y#J78ER0|{{yHv2bws;SBQE6H1Q2Wyx{hg1e$n(FhpDdP24~PBCdfZzCaWrZh$T> z1`)SF6K{}&h&!N(2S`K2J<!A(WFg`KXyOi_4T%g43=wGJ7og$^XyOy(A?9SDiEmJZ zh!>!V3#dWFE6~Inj3MF;XyOXhkZ|rm6AyrjPe2oIfQrvR6F&eIUw|gAPy;c41)6vR zRD1)P_yMT+4m5FvT8KFZ(8Lp<;wR9=7eK`?pot6ALCm><Cf)!Qe}E=_04n|hO`M?~ zV$KINaR;b4LoI4LZ-9z(poxEgiVL8LCp19Jmp~K002Nn26L)}>^JwA<{Sb2u(8Lcw z%TEh5@rG#-^$uv_4D%r39%$kP{~_W5XyOhGkn|RTCN97T5l=u9cVL2uXP}7}Fhj%( z(8L$8K*TH1#4oTy#2e7W7jQttJJ7@vI3eN_(8L+IA>uR8#1nWS;tSBl1t8)p(8Lv> z<--Ov@c;#g`W<NE8=&F`(8Lp<`TYc%xPubJoC|2;1}YHo8))JV8W8aZXyOe}@fT>~ z8=&GJ(8M1=#eblQ3ur>jXQ)F>{|-=b4m9xssJH-{_y#SAITC2%0@@I91vK#wP;m`3 z@d6!)dIL1^15j}bG;sl4h<XP!aR)t!xCff}0jPKYnz(>IM12IBcmh;B0Zn{@0YrTU znz(==M7#h^JOL_RfhN8ID&Bx5{=f)gP6wK}0kmG2fF>?r22no)O*{cAz5q@9091Sh znz({F#GDOi;tf#o9cbbOrV#Z9(8M1=)t^8UKj02ge*sOrAq*mZ15NxxF+}_Unz+Jt zi1-UM@d-O1;vdk&4fa69f1rsk*b5P7s7Foz3I`$L9BASPc0t4i(8NDL#U;?icSF=G zpou>?01?+f6BjrP5jQ{+cYumppoxEgiaVf*FE|1*#{*5A;TS|b08P9ADjtC*?r<EU zJ^@|)B1Aj`O<dp!M7#h^ydV~`j;I1n{6HK;ya7#oLp(&h15Laj5h6YTP5c3Loze_6 zae)|!`UPm>2~hDBXyO~7;v3M!1)%QVfi4bp&j~c~0}_yVfeUEj2~rU88))JTG7#|x zXyOZGA>uF4#2w@z;vdk&A1FY?f1rssC_%&-8qm_Y3PhX(O}s%JA})X?{y+;NE`cWA zpaT(CKoeKcgNSROi3=D�}8JjUnO|XyO}8AmR>a;sIt5aSt@{59Sc@05tIlpaUyF z?RhkD18a!-1T^srHW2X)H1PsEh<E{-xPl8ryaG*}!4)FjfF}L`D&B!6e!vZ)egc~K z1rLb$3^efrpab_97#J3yi7)Vis9%95-rx-p-+(5b-~$ogfhO+Y3lTqnCa&NI5kG+@ z&fpIbzknwG04jb1P5eLrMEwIa@dbep@fT>~8-gI>AJD`v1VhAspotfRLc|#wQPcl~ zFo-w@ns`GvL|gz(ydVN1E`cVV5D5`iKoh@k05Wc@fi8X!B5r^#eh4CNfi8X+BJP1E ze&7g1JOE8xzyac(2sH5pju7z#H1P}2@zM-5@d86gf1v<PT)+q-UV$dQ0V>{rChlMi zQQv_kegP^z0Zlx?1fqThn)nB(_yRQX22+Uo6=>oDW)SfWXyOW15b+&o;vb;m2hhY1 zSVPpGKog%}0};P~CZ1pm5x;>ZZeRxye}E>=U=I<0fhK+cD*gdY+`tv0{s)>kgBwJg zp$RpeAApK;pourQL(~hPi7)Vjh)bY}Z}5kRE1-!N1VF?!(8LV_A>syT;vb;m7HHxd zf*|T0(8LRZA>tlr;szlQ@c=aO4^Z(4H1Q3g5cLUY;ss$4@eDL^gK&s=0h;&+sCWgM z_=X6G`UW)df=GyX2b#D+6hwRin)nB(_zX1h4bc$w3(&+JVj$ux(8Mo5#W$ddC&WV3 z??4m(02M!gCf*PSQGWtWTp%7IegRE<0aW}3nz%s%MEwIa@dHrt7ii)Ei4gT4(8M1= z#eblQ7bHQ{Gc=>7{|``c4m9zCWQckJH1Q8maS1f>f)t2)1vK#wP;m`3@q$!{dIL1^ z4^VLnH1UEoh<XP!@efdO4>a)yPLT3E08M-WY~Bh@+(7{{kCT8V{s1bTfhOLd2vJ{v zCN7`^5wAcKUjP+vKod7mhN$mA6F&eIpMWMFpaM}p15Nw^RD1!N_y-M$`W0y62Q(q# z8_>ijXhFnxpou4FL&OiDi5uuZ#804!Gw4FZFQAEEfQsKh6Q7_5QU3r<JU|~J{sK*0 z!2lxu0Zse?RQv~;_yR+SdWII%^nbttBF=#(e!&tVE`TOJ!3rWSfhHbc4G~vB6Bn?7 zh-;vUUx11mpovegg{Zec6A!S1h&!N(3)n-%J<!B2K*a;l#3wjF)JLF+2RK5+6VSv3 zoFL*EXyO;3;st2p6F>((gVwE~i3hkq%xORq7jT7$cc6)1fQnB*6Hjo1sGor*{sAh! z08PBX9in~(nz(=mM0^99_yVZ-4m5ECPl);hXyON;;wR9=1H2&WFQAD(fQsKh6EE<F zsDFSa&fo(Pe}N`G0V@6hO<ceiqW%Y(_ynjpLn~_f7x06q=Rgym02LQN6BqD@sFy$! zp8yqCKob`TfT-6%6Q2MTH$W2?2!yD&Koe&$f|TbDXyOZEAmScq;vZrm;sI#l4)GB2 z2sH781c-P7ns`GZL_7mc{6Z2$yZ}vHAq65{fhIlyy8o*IO*~*fq@U7(CN2Q&A5B0L zUjUsqpMfUckPE3F7odq7<Uzz&pou?#if=#@Uyu(`zXMG?pa3F%08N~s5F&m8P5c5> z`~sTzf+C3e8))JI#SrlaXyObd5b+mi;v1miAJD`TKnJ{o=55i$1<D}iFtnkj{{v8Q z4m9zCa)^2XG;xCph`0or_y?%C0-E@ON{D(5H1U8ch`0foI0JON)B;U>0(9Kf0ZlyM z5M*4@15LaEIzAbIChl+oqCNsmoB?!jJp%(n0-E>%sCWjNc*7}(IR$9q4yPgF6=>oN zXCUGYXyON;;vHz>4QC<hC!mQtoP&tZKoe&;4-sF0CVl`az5-3W;Q~bc1~l;vrhMRe z`5kED1!fTO18Cv~<`D4{XyPBB;up}wH&{T_-#`;Du!M*|Kod8xf{4FB6aN4e|9~dG z!5X6e2by?+4Md!w9X0(M*h0iP(8NDL#RbsBH`qbcOQ4At*h9n>(8LWKAmSQm;vb;m z2590N93kp0(8LRzAmR>a;s(wTaSt@{4^Z&{H1Q2C5cLsg;sve{@dPw+12>3x2AcQ> zsCWUI_y%`~`U*7h0uP9I1Dd#jCq%phP5c8?d;*&I1}}*E8EE1K-VpHxXyOJw5b+gg z;vb;m8_>iz_(Ih0Koc(jT`<AGz;FOf+`u2A{sfx%2dMZ3H1Q1q5cN0E#0vr;;t$Zo z4T2!zFVMt4K*c|xiEjvosQ-Z`UJwEiXXrpn|Dh0Z4m9x(P;mh?@eN@R^%7{}1)vK@ zKzBW$i5o;f)N7!Le}IY`powpYgs8Vb6EBE@h&!N(8$?6IJ<!BIK*a;l#5cr1)JLF+ z7sNuu6VSvB;vnJ~XyPBB;st2p8{#4AE6~IX5+LFYXyOKm5b+K)@efe(325RQk|645 zpoteGL&O)Li5sLq#8;q+e}IZ_Koj4P3Q@lUO}rotB7Oi(+#nqyegaMW162G1n)rqc zi2567;su!y@ds$)23ZjC7ii)ipyD6U#5aI0=mE8V(8LRJAm%W1qNV>_h&TtD_y?%C z0GjxQJcxP;H1UFbh`0ipxIqC#Tmwz~1614qO?*QkM7;%?ctH_F+yPD8pco?VfhPU| zDjt9)zM%x7J_1d=pcEpWfF^EG1`*Fd6aN4eFF+IDP!3UFfhJy10TFLN6E~=Yh<Big ze}IZlKoj3k1yMf(O}wBQBEA4k+@J;`z5-4B15|tin)rrVi25C9;stdO@dIe$2K5l} z6KLWepyC(M#5XiR)Zai8FKC2_KR^>VXo85pKokD}75{)HzM&bS{s)?P0q7zjP|L0h zE&aDb)N`PTe}IY$powp2gQ%B46EA3oh%2Cp8+1U#HPFOAK*bHv#5Z(8)LWp57j!|y z9ni!Lx*_5oXyPBB;sI#l8+st>BhbVPdLiNoXyOKa5b+E&@efe(0yOar{SfsPXyOGE zAmR;Z;sz5T;vHz>AE4qB(8M=Pf~cQ?CSEWZBEA4k++Yesd<B~L2dMZ4H1Q2nA?kOa zi5Gw_JOZ_U(8LX<L)4!@6aN4ezknvbVFpC~4K(qBnGo>@XyOL5AmT63#6LjAKcI<k zm<>_?15LbO4n&-x8!i3Mg@|*YiGP5K3!sT_m<LfWfhJxsA0n=RCT_3*BCdfZ{sAg( zfF{0SAw<0ens~t?h`0lqxWQtGxCff}2dH=en)rq#5cLsg;sr|~;t6Qt2FoDg8EE1k zpyCB+;v1Gj)K{R17p#DYH=v0dtb~YnpoxEgicdfj->?dzeg>L&!D@*30yJ@hH4yO? zXyPBB;v3M!H>`!I-+?Axunr=A08QLrJw*Hjn)nB(_ysia4I3cpZ=i`6Y=nqEKod9E z1QCCMCjJ2`{sB#V!)A#3A86tQTOi^LJ!t8FD@2?FP5c8?TmVgc!#0R|2{iG7?GSMV zG;xC+5OEDO@efdO12pjsJ0a>V(8LRNLBt)<#0_>s#68f&KS0F;(8M?FfvAr_6ED~c z5l=u9H`oUe&p;FZ02MDl6W_2OqP_x6yx;&tya7$z;2=c215Nw`RD1%O_=ZCe^)t}K z3l2lX7odq79D#_hKokD}72kj+zTqfD{SGwof@2Wz18Cv~$06b;(8NDL#V??VZ#V%_ ze*;at;3P!+0h+kMDTw$BH1Q8m@egR?8%{&i|3DKjI0F%9=tWEaXCdMoXyPBB;sR*m z8$cJ#f$kYb6E8RqF-HMS+~5L4Tmwz~1614qO?<;eh<Xb&@q$YbaR)SUgUb+c4>a)) zQ1JjX@eNlX>Lbv^3$8-M6VSvBu0g~z(8NDL#S75HH(ZCPuRs$oxB(GwKod8(2@&r= z6aN4epMWO5;TA;w3^ehA+Ys>uXyOKUAmS^~#6LjAH=v1cxC>Fg15LaDbfGP%{evcM za37-n1e*8<sQ3jm@eL0k>TjTl7d(WBKR^>VcmxrDfhPU|D*gdYe8Xdi`X6ZG1y3O2 z41H+n|0zVA15Nw`R9pZ}e8V${dI>b~g69x%1vGJk7Z7m`H1Q8maRW5*4KE?;EzraZ zUO~hi(8LX1L&QDM#6LjA1JJ}byn(2XKoc)`3lUF16E}DV5zjyq{{R&)Koj5a9-_Vi zO}yX(M7#k_+~6ZbyaP@A15|thn)rrK5cM<A#0x${#22868+?I?uRs(302SYWCcfb- zMEwpl@q%v<@dIe$2Hzp#C(y({K*cYhiEsD;QGWwXyx=E9`~jM{!7qsT3pDW$Q1K6F z;v0TL)c-&eFZcryXXr;u|9>Ik9BASnpyC2(;v4=!)Jvd=7yO5aE1-!RFhJ&WHPFOA zK*bHv#5XWP)LWp57cfD@9ni!Lm?7dGXyPBB;sI#l8(1LfBhbVPSRvvGXyOKJ5b+E& z@efe(0yOar>=5-8XyOGN5b*{yaRW|>cn6yJ2dMZ2H1Q2w5cM<A#0$6~;tSBk4R|2p zE6~J0K*cwpiErSAsNaDmUcd(tKY%7~zz-2WfhPU|Dt-Y?e1iZ){S7qn0zruQ12l01 z&_$M@bF0wAKS0Glpovd_t{?b;CSDK(F`r=qYWi0QhKO^ZiC=(<3!sTl2!W`VKofTe zg@`MliGP5KYoLiA2!p6MKog%34iUFN6L*M!h&!N(e}Ia6pouStgs2Zd6Ay@jh)1A_ zGk`7t1<n7WiEn_4XP}8E#6Zj`KoeJpg@{+6i9djfH=v16h=Zu_KofU}hlo!=6BkH; zh|fS1zW^0qfF?d65u$ztns`7GM0^99xIi*Qd<UBN1*rG|H1P>35cMa}!~;?x;up}w z1=1kmH_*f{K*b-RiBCv}sDFVb9*_YM|9~bgkO>k0fhK+dD$XzwHT_S>f~e;}6A#FS zhzp>J3*<n=CD6n#K*bf%#3z6*Hf3O7&_ELp$b*<;fF>@G4-vOO6TbizcR&-LPykWy zfhHbM2oVoJ6Bj6gh)1A_Ux11ypovc?hN#a#6AvhXh!>!V3zR~{E6~I*K*bx-#3z(N z)OVnX2b4p^C!mQ7R6xXMpow3AiZ4JDpHK-=zXDA>pb8?s0Zm+>8X~>}P5c5>`~aHx z1ki=c3=9k>(8L33A?92_6Bnq1h~GdHzW^0~fF?en9-{sQns`71MEnDqxIiOB{0Ex& z1*kZ~B((J31X0g{CLYiX5f?xc7ifWqOQ4BgfQl=iiBD*SsMkOf4`_pk8=#2`v_r%# z(8Mo5#U0SZCv-s6d!UI2bV9@f(8L8m7cPVLd7+74fQl!eiBIT;n3I7f9?%03FF+F) z=!J+^pow3AiZ`H%Pw0cF??4j|=!b|;Kob|301=;oCVl}bz5q>p!bFJr6=>oClOW<7 z(8L8ML&SHWiC=(<A3zhIFa@Ii1e$ojREYQmG;x7x5b+ym;uoOe575LXOoym{fhHa> z10wzbO<Z6mMEnPu_ywpq!(_DdKMSIs15G?&Hbh(iO<Z6OL|g(*`~p;50Zn|uT!?xN zH1U9W5OD)Eae?^|aSJr@3s7+fH1P=wAnHBP!~+&W!~@X81r|ZXBhbVzK*bZ##3w9< zsLwzX4_E>bFF+F)SPBuZKoh?J6>mTjpRf#~z5`7>U^zs50-CtM3W)d&H1P{i@daq& z6IMdhuRs$ISOpQ^fF>@m8X~>}P5c5>`~aHxgf$TLC(y(L)<VQDpot5tgNWZi6Tbiz ze}E=FVLe3s3pDY74G{4UXyO7JA>u#K#4kX_8K$76|4k6}9BASJn<3%?XyO7}AmS2e z;uoOe3TWaJwnEfvpos@;gNPfTi3@Cph+CkEUx11`pove|0a5RPCLXX8A|8MyF0czC z9)Tu)0V<w=CO%;|M12ODc)%WrcmbNYz+Q-W1)BH;sCWaK_=J5B^&M#90sA516VSv3 z4nV|bpow3AiZ4JDpKuVOeg&F%z#)kE1~hSj!w~TuXyO;3;s?;gCmeyOKY=D5a1<hb z0Zm-s7)1OAn)n5%_yaWY382g9K>c4d@qiN$b3UMn3!H?A|3DMJ02ODLikALQLDX}g zi3gm9hzp>J3!H(7OQ4BgfQl=iiBC8SQLlj}9&ipKZh$5(a2_IVfhK+dD(-+LKH&mH zy$70j0O-O#(7EMk;sTc->Lbv^FF?f;(8MQPhN#a#6A!oo5idX!7q|)$uRs&O02OaQ z6Q6JmqP_!7Jm5M+d;*%dzzvA_3^efzQ1Jz5;uCH{)UQAj54Z&p-+(4Aa2q1N15Nw_ zRQv#%_yo{Jh@kdAns~rnh&dO~#0Bm_#BZR9Ux11~Kog&EAEN#Rns~qii1-IIae;>r z@gHd77og${)6mlYBZztqH1UAP5OD!Cae*fgaS1f>3s7+dH1P>fA?h{I!~>o|#0}8I z1)vv6TA+zvfQmbyiBEU|F~<W<Jm4inJOE8x;1xtX0!{n^R6GGqe8Ovp`V2JjfHx5F z0yJ@fw-E6PH1P{i@dh;U3GX24JJ7@f-b2JEpot57fQZjP6TbizUw|e);Uh%-3N-P6 zPZ049XyO8&A>upG#4kX_51@%p_ySRX0!=*ND@6PPnz+C>i1-aO@e5G#2Wa9GzC+Z% zKobx60TKUzCNA(3BK`wS`~p;*VLDp+{{>OcfhHdC8zL@%CNA&?A})a@egP`3fF?fS zFGRftns~rJh`0foxWIpixCNT{1*o_Kn)n3hO|2ei;sK11^KAmq#08ij;t^=#7og$^ zXyOx?A?h>G!~<9$;st2p0;~}63N-NxQ1J#d@d<1Y^&M#90qhX*325R191!stXyO;3 z;tSBkCvZa4uRs$I;DU&6Kob|>hKTP#6TbizKY%7afd``g1e$mNFGTzTnz#TTMEnMt z_ywr=12pjo{1Ejo(8L1-AmSg;#03N);y=*DFF?f^W}u~iA&7boH1Pmoh`0cnxPS;m zTmnt}0#sZ9O?-kVM7;)@cz_s0+yG5nKpY}&fhK+dD(-+LK0yMa-UCfMKoTMzfF>>= z1rd)x6TbizPe2o&APrHUfhHax0}(Gk6Bm$$h*zMAUx11?poveAgQ)L76AzGwh)+Nh z7f^tR&p;Eu02N<=CO$zCqJ9OMcz_Z_d;^-efHFjU2b%Z=sQ3Xi@d+vr^(WB815_d6 z7tq87)F9$F(8Mo5#UG%FPf&-be}N_*paBv8fF>@W2@(H+CVl}b&M*@#{cAzgbD)U_ zXhXyW(8L9FAmS2e;uoOe3TWaJbRp_B(8L4uAmRpS;sW{*aSJr@3s7+fH1P=r5cM8t z;sJ&b@c=Y&0V9Zb1e*8-sCWXJ_yl8!`V2Jj027FK0h+jgDMY*iP5c5>ya7#of*C}8 z2by?*IYfK{nz(=kM0^IC_ywr=0yOanmJszT(8L3*AmSU)#09J&;ycj9FF?f)poveg zfv7)$CLUl55x;;YE?@@{zkw!x0V@6gO?-kqMEwgi@c;*i_y;s`0Y`}V4>a)$P;rJ? zXzAYxqMidyJir+uE`TO3-~thsKoh?J6<0tLpWq5nuYo2W;06&lKob{mhlpFCiC=(< zJD`bA@PMfIKobw}goxkBhxiwCiwi^qv<ZTN;Q^Ak3PcD@zCaS!1B*b24@lyz5Fs%6 z14+CTECL}Up!a5icEj63gur9~l6W*&1Va3Po<9syuMH6blLw&Z^?}4Cz#<UB0eZd@ zNL&LV1R--E{srAO1CoOXGB9u;i9>G2fyf9Ti9>Eag@{WaiL*e2z@!3_I4f8LLTDg~ zgTfjj%D`ZNBo1;DOxyxV9CDK;M8*M09CA}6MBD>O9C8y4L_7dV9CDHXL_7jX9J13K zBA$RG4%q<>5zjyphpgm*h!-G<Lt5q#@d_kyL5L8TY(Nr+!~|HFp#w==7$O8FCm@N7 zfJGq03?y+;h!B`ufFv#k7J(2eki^9yLSS+OlDH&T1VZdU5|@Gqfyo0%;?iId2yp^Q zTm~WpCNCg~%YsE9#0?~IIfxLLe1Ie_4;FzCFOb9)AVOgB1CqERSOh}+KoVDi2tmkP zNT`F-nleNLOmZNJtAa%!gaDGb8bk<8N+5}=gGC^O0+P5UL<mf3Ac<>%MIeL$lDG~; z2uxZaiR*$zAcO;wxIRP(OnM-R8-PV1L;#YwAw&pFMj(kBfkhxh0+P5fL<me~Ac>oR zMIb~0lDH{E2uxNWiJO5%AVdR_xH&`!Om-lNTYyC%!~`U9ONbDdoPi{61r~u23y{RE zAwpns1(LW8SOh|BKoYlu2!Y8RNaFTj5eRVrN!$S<1SU@)i93QtAjAbEaVLlnn7n}` z?hF=z5D$>VT_8eW@&%H(D_8_Vd_WR+g9w4iA4uZvU=b*hhe-b(P$3Y-fh6t;5`ba> zBylgO7>JTU688oPK(PXnxDQkeL}?(2`+@|Z*Z@h~4=M(tERe+gK>|?hfFvFO6$4Qo zNaBGY0VobY5)Xokfv5;1@nDbu6el2whd{+ZR0fiGC`bT`3y{Rapkg4Z0!cg^Bml(? zNa7JtF%Z>(BpwM8fZ_>A;!#jB5H$lyJO(5H#S4(cW1(UoY6X&b97q6)Hz0|}L&ZSU z4kYmekN^}PKoU=cih-yTNa9H#0VuwJB%TZv15r1S#8W^5Q2YQ%JQXSiqFx}0r-1~Z z_ydx7I#diq{Xi1W013b_v^@qY|1)7?3=9k$Na9&Y;sQwG*+}9NNa8t2;tELOxk%y~ zNaA@&;s!|K`AFgxNa6)Z;tojSg-GHaNa96E;sHqF#Yo~2Na7_(;t5FNWk})~NaE#4 z;sr?J6-eS0NaB@9;tfdRRY>9;NaEE<;uDa>YmmfeAc@x^i7!ABuR{`Ffh1m!B)$Pj zya7pk2a<RrlK256@g^kk6G-CCNa7ce#9NTWN13A`Fp@&xGr!y~kLEWV9^I@b_X{$3 zv>qs7`hUTr`3T2ha4`HgJ+)hq;lJvc-GU7K@(v9DRY81k$^G)d|NsC0s~*}d$N;|l z_~ivKe;0@k>Z-mx0OoH3@j+eGmm9$RRUkg7Yx;5ln7;_b2X#qbP5|>~f%u@V=*tE$ ze-elfsuo@rfcae@KB(*YG6BqQ0`Wmz&X)mTeieuh>T14p0P~ALd{7tjr2&|q1>%Fc zmM;~+{3H+`)TMkW0Om)5_@J)jO9n7M2*d|<Azyy@2lB5Mhz}Z)c=-U#cLMQ2UCEah zz<etZAJmw8c>v5e0`Wmz$Cn$xd@T?k)Mb3R0L)hc@j+e1mlMEzDG(pjMSR%+<_m%N zpswM|0x+Ko#0L#2yi5S|nLvC{7x85PnEz{+AVUVIYxvRu%>M-9gSvz-4Z!?YAU>!o z_)-DPe+1%#x_~bQ!2DYvKB(*Wk^#)W1mc6bd@n!z1^NFJh!5)Oy?g-X9|G|~UA&hU z!2DexKB#N=@&K5>3B(6=>0WLC^H+iRprM<W3&8wEAU>#T_i_T5KMTYMb?II<fccX^ zd{9^JWdWGq1>%E-PF^N}`Ar}`s7v=U0L-rf@j+d=mkwZl5r_{Ont5pe=4XNUpf24@ z1u#De#0PcdUJ8KuQ6N5O2<asQm>&e<gSvDtKl}mt-wVVCb>&_@0P~$dd{7te<pnU` z3d9F>-CiC5^Nm1!P?zoH1~6X>#0PcNUM>Lhl|Xz@7wzQ)FkcG92X)O}Hh}p;AU>!| z_ObxX=K}FTU9p!5U_KLw59)%w3;^?g?G$9l0Cl}yI)M3~KzvY_>!ksh{|dwhb+uk9 zfccL=e9%zWO93$d7Kjh(a=l~#^Dlw;psv=-55GbFKLz50x>zqCfcb|&d{Ecw<pnT* z7l;q)QoTF?=5GS=L0zer8^HWkAU>!I^>P82zX-$!b)8;L0P|;o_@FM+%LXui5{M7# zD!nWK^SeNNP#5WC0+`<f;)A+IF9X2*Di9ykC3@)q<`;qZpsvtM128`e#0PbOUMhh3 zNgzI`>+@0o%#Q-`L0z7g3}Ai`h!5)Oy!`MB<bN*^AJoNp`2fs!0`Wmzo0k{Bd@B$i z)TMcO0L(W6@j+dgmm9!*Ef62ng?YIE%vS>OL0y-Z6To~a5FgZKdD#Hw3xW8cuFA^- zFrN#=2X#?iCV=@&AU>#T@-hI-|FuJqAp_JUdFcS=e**DALzXWM!2DMrKB#N*QUT0= z1mc6bBrgTP{97PCs4Mc40nEPy;)A*%FF*VQ`TrD%59)fnd;sPj0`Wmzj+Ym}{9PbE zsH^ev0GPiC#0PaTUTy&MSAqDTuEom*VE!TyAJnCIIRVU{1>%Fc5-%IT{7E1_s0;D3 z0L<?K@j(^P%LFjL3B(6=8D0i}`Bfl3sH^bO0n9G~@j+dLmj+;d7Kjh(8oX2h^OHb) zP?zAP0GJ;I;)A*ZFB!o6AP^ta1$g=42gv_kAU>$+|MCHt?*!t5n*1*>fcaJ+KB%ex z@&K4`1mc65_%Anr`C1@8sA>Ol0hq4@;)8~uUrqq?r9ga86aQrcm@fq4gPQg)3&4CX z5FgZ}f0+R0GlBS^ru@qQF#p$fL52)a6aJ+Gm=98)HUV6jGcYiK>U+bto}CxtJUSnF zG(Y(e5aMch!0@C;uPx7Jeun>|kGBgld`Z*emxr{9J$h|59|<ye^s*{}D9axuq8{C> z%eF(B3Y`BR@XLdn=ZB%RN3ZSAhamN)pFou6Kab829tYpqdmQ}1?7?`<<Kn*(5sz-x zT&MvY{~x6B%eycz!1ROM*I>K#p&$c8sdlJGv#r;5K?Vko&Zi|j9^JNK+XWe3X#W5I z|3%9G|NoD%_C6G3V2nM?FOQ=CW%>XA|6%TFd;_|<$D?zPiU1?Xpe-sKV0wxQ1BmW! z0n^7EB3L}2>~4>M&K{K?3=9n25UTklBma~ZmG2A;3|&*eRLdp)sfRj!R7^TTRE+ss zl^GcrUNgY-do&+N@aSw&dBMN{7K6||V0%2e13f%C1w6Vv13WrCI6zuJ(%lA~TfjDg z1i<cUJ`e*k;{nJO2q_S^)1Vt7(78qB4w4n!1uUIgR6r5k4WT->sLVl;=jq&{(gQIN zM0IXanF8XqfQ{+y0rU9RAMBn2*2lm8qUHq${&~kbT~u@&I!aWu`TH7}KpsW$Y6Q%g zAg6=e*TvD%qw=2tq_C?6%<yPD0*ZsfAQe#0Ie>J5d=3(W&<r3UkO3ees4@?b*afI3 zpkh8Cu@k6bAt12>P%)7DZg9|oRCNnzUUcA}f2`9-MFr#wW&VE9(aR7&fV6aTG{5}M zKLr$>G94i*()=wg@aTjX)!73MA#```fZ7Lj7uXFOP{jg3?plE=26q1fs2IqMZVQmf zAdzkZ5DR2Rw?H?<(ih&}|Nn=wL6RU1+8mud;3(>bP#|%TCjRvxi5Z|c?NI?y2OlzY zLZTHKyUhnd0-!Vk(gSi>HwQ!!C_!}hfRhhIQ3uq8pcv`yQ2}u~Pdav<@L@dQ(#7G@ z(WBDNz`)?r1*M^J{*wLQ|NkJfVIj*130aYj5EbDP2}r1eqXpztQ1X4b6siLytY7v+ zMN!pOLPfz@nYBaD9GqxCIze_qBLbWvLHa;qAew(0NApXN$3dQe`UT=^P#|SP!iuxA zM+H=pfiyu40w+?CM?hi_8f+gZ4nRUsW#Eho3V$RqaKZ(JFOnEIfq<eJNi4vl(?z8M zNx%mrPyiAD=>{bY7nK4?x&UeI763_sEbHcYVel0a)(|d80;ETqqtizvr`ty*2P6X0 z1WFe^DjD5ADj6Ve`>3RJ`>3RVqS;3!!K2$nr2>))d{kn(eN+-45)mNXAfI;os6?Q} zJg6k(-_`>z3PB<3qY~2XqY?r!JfIsAkjy?R0i8Z7KHWYlJ`fp?ZXXp7i0LjM(?Rjp z?W5v?W;!Tcfuy0q8vzOt3s^z}IoHCa1Dw5~3c!UeD4BvZf#_}z7SsY9nq3g`Je`oF z4o&gUOahXJnhB0mko!PlAi5itUZHuh8&XJu%9bghvV{j!ws7;efZBww8M+~5Dsv0C zOznj5pn@LVJt`m*I~iX#{`&ua0xIz6tWo*l(OIJM!J{)o<ps#QFOE4lF@P99JXmjn zle~+{4G{l@NAm#(Q0QC$@h(7<xsS@3ZXcC1kRU&i?W1ynv(rcA2uKM?gCj`84iIk# zT*H=bAC)Z-4I8?BRE{wFsBGx;QCZXNqp}7fyrSDjWd$VamVor$==M=r(s{D;ln3Jl zhb{w<=NE&-K{hynY?$HDRiXkGn&Hr4fFcEU`wghB=dT&MTvVPPhJ^wF|7+=kvi!*P zfAz@pzauRDpCQ%%-99QOAW8TLC}l&E@BvVI1SR1Es7ZJ~NF0=e!I@+OC<%jwHXtQo zuoUhj?9upU2dG`yxkqIKnBJnY0!&X)S-{A^aJ)qYdNCfjX$TVP_DJaLQ2|}Q&<&yf zpX}^W0bT3R4WU|3^3Ocf5u%dJ-}#9J)T}z*q5^8dfSUB(5N2nKN(dtZL-!sq^<w+G z|NlE%R6tk%bwe!d-UH?_{^*48J(7FCN<BJzR6sY&d35gq(=YzK|NkG<Xt4k}y1PXM zOn0`ZfUb80DFV^mQ^0x+zrBci1FC^~z+USvOz7OA0=hP`8$$g**SSSS1LQ0S)%wkm zf93&D;Sk}{;i3}G-x&q-4)oGWP`L?WcDAU1uFnLOl;99@Oz3P;0W~4JA=Lj9oh>RN zAd?|fXNyV$Byd4ZH&D17Z&B%CWB?D~bwkwrKfpipP^XJZKu3s*KYynf%<2y4Y8y~N z4>AN~DM$<yGBZGd(AlCg0YoQ59o5+a=|Obw0n;yby@P}pxaNR%AwY(JT<+27SO5w& zh};%%Xm(Eld+x=ikBG4I=$-<xq<f1B#L|u6wn%4S1<a^pjiA;G1B~m@co5WN1Gy3$ zMct4{aOrkTaOrFTCnuL~2<`a)1V{*!qCi4my0b;401{#)Q2!rqQK^B37)+Jp{{xQv zGY^7d%)zD8Ma9OY!$-xMzw;}&7jV2qr2?uK6m~FIfQ<F%<N&!7Bn|83fJ1{7)EWV$ zE>J20X@bxYr+ah<R)D)koe-}>dPg7?;NB4^gMhR^3<7s-Iw5_x?k!;Nf+RuV4DKI+ zRCjIx=OU0e*c5R82%L(xfD;omwIbv}{UdOy+5%2ou+-ZEHm17=oR%T|BTy<w?jM<e zDnMiYzHjiJ1H_r2C<FNy<SvjsAi9gA<s|>qgB?C9M*OY(?4S${X%>UEG#@BH*Wl3& zZgqZuHprpMz>QN#&k5Gm#21cWU96ChZBYTWl0fbO(cK0IpD}c90T(IV5DFpyx*5|W zxkUv`cea4b2T)=Ll@~8WpsD@_*j0N}AoLa$kSjsy2c*fR^MFU^p%*7XO1pa?N;-Q~ zK$8WaG^NqK2V5Aw$awqzfA=0pu84UH$`vgTMU6*5W$9tV1KN-{$UXon)<8ygL!8xo zfUy(8f(mp(%EOmWe*OOs)&?qnAlg6|>2|lMfGCJI5DO~M*`fj}cV5=~hH3*PgX{zU zAqqjo!OJ@^DNz1_OM#O3%fEjhZU%>O^8s+D4>WuL3SkJ18G)>@6by?(ux3_Bo<>9v zL=@Cb0i_B^Ah&>vTMt%H=N%*pYD|Kxf~W;|?mAmkKph{DC^(A2Jxq`Yq$dF~2hx)O z+1}0Z;@Jy`4<KB)HUZ6xojoc?ARS5&1(Jbi=3jrRvj>u9AXI0M3aE?J4WT-FRQ7;V zMhm#D3vNAwI};G`&K{L5P-#$t>4tC}J5RWE@i2h;mYbn{OAzhY@RG@qf65_H>7(w_ z5u&2T-vYWd_ccRTiwa1A4jccJgB>m^svSNmD*P>=%!!a=hR7**_^2rHx446gE|@-c zh@4`FkBS0+i#AveDKD~O%f81L7?G90N;FW;289lYhV(x`MG&+`@9Y8BiwJp8{{vhS z^*~A@NZA6CheRSQaX?Z}3wUS<9EPC82W=K~PXQYW?}5mG${=a}mb%~n|ATuVAhpab z;8Lp-l7G4(6sT+lncT_vQvNq2;=$HJ%N|fC666#J4NkgXUv|S19?W&%WC;^(K2QNl zjNs%46JrI9A%aA~0SOm{l|o<|Cfa<U22?13`@$eYAYI=INP-3Fha^~#o!uNSOrJsA z4dH@Z3(^Db`j&M2sFZ+2K$<{Z-yU!Y)(xQ`ZNY+WAC&?~TM*n!2DzjgJQ4!wC+9%q zQb5Ll{0{0b!+NR57?@uE`U|lhIoLok4^j`I!NCR!WRMV889c{>QaoG~8f>792N#0} z8z}E0L_v-O1tus1!bQQs2FYX~F_1Z+kb{Uo0uN*gB=A5scXPb>@dV;}2p6UeJgS70 zXhGs2t&kuDH8{H=IUN*)kaXJ(p+JGv1FkYbdO*&DgeAP_0F|Z?MW7-AqzDu;u%ZGw zs&tHj`Q;+yl9Ux(M07$@KTH!S?Sg|7Yij{)ENCnh<PVS*uu@jg00}7Mz#c}l7C>BV ztp%_tcv=e(dGcEeBA}cqT*v;J;U&utNK}B`*?b@a<VsK+!rczdlc0zIi9u*^;sS*; zNC>PI?nRW!0GhZ!1wTS1EKfqRH!M%X5*H}7!_`6)7buf~#6adi5*J7WlDI&oKoS?o z=5CG`vmZfx1mVK8ffE;~2I+<*3XnKRD~`kkN+!_c0ZBKo$^_&*SmHvePaulG>7^Ue z8gl6Zcd$T#1g%{_`S+v`;|Z4zunZ*Fz*?Z~NRXRAnV0h78#%p!Oa&!0Ld7?10O{pl zVv28&iJgou`~IR2AYmUrabyJbY=3yLUL6AcXHcAh=4(JH$fI)$q$Sfi1>C%VRK1|& z0UG-<{I(0!1M%oQ{^H7m|NnIuA@w<LhmQ&me+wvmy=FM(qM{2LV$y}la(DQsaFxh{ zW%NKYdL1q*`ru(GeUHW?AlnZce)H&cQ855jNZ<iiu!Y?|DgqvzjGzbw^TC5}$6rJ} z{QuvhH$=t2rHcX7uLXDbz;5q`xcx=OzyJR`TvYfQYE<}{_@^9DVeD{G;p=iy;p=cw z(R*3>A7lV%7`W3#MFCX6fsOADQBm;d<U;U013=wGkX(0BMCZ58PacdH9l9(XI`*i5 zvI3}Sj%+Qc>HY36*k<{L8WnkFn9XusE-G>zE-Jb&pZ-HnJ`>Q=4=B7{R6s)_;DJW) zxCe;m0ty=Nu;UHTREvws1<*VT|9lsf^Po|a^A0WF9Qdb#21fUQ3cKB<N(hs{dch{$ z=mw2dKY7j2?V|GJFer(F%m&*89-=t_mA?lY9h^X+`{vNzeT{EG-33tk1&=0lZUOg_ zJvyhrI@~s(Bnxf)f;!8P@gEn^Xhx3;h=SCwpk5YiQ0Dm^@OTcWi{0I#0y2QP1w59} z3E_DpPl5E#I;Wrv)V$EU2N|0H^@2d@7EE_SIzgcH0`3AqMu0j`7=8mKneJPl@*CpX zZZFW#6lf@>y9eAogE$S;as?$tkn26Vt0F+21&wNXbO$AXJPPWdf#ktrom0TwXAmDO z-Z=%*w@aP^Zu)}MgJ*NPVH312Dh@Bw?n2xRo~rGJ4Y)uY<<aSs0dhM;4l=X^ZHRQ9 z0Qn&D0m26!-OxdR&Y}Vk8`AeIssJTlaHk0D2Y7=E96sHUHZv&Wfn~b`Bf#Slo(ZtQ z43IMLpadwoK;a5j2k%{jLv;%{E<utYF1VQiQr)=)9FQP!uqohX#vf1*Yl{kq>fEBj z0vc_CI-<J()XV@kIN+1M;5rI27}ebao>hhnN`UlX8<dcO4@!WY32kM7Tm^Ckga)@L zAR!7F83Glr$c+(5qofC%h&{Rk3m_p<0SghR$>1&kq>TdU!@>p^z^Qo)IFUjI7eHwl zG`Ik!(Z&~FY==fas4NCWHH3!D+=B)eK$=`2g9|yJ6pl2w0O}ZmA{R8c0LmyYxbK1o z7eHzD#s52?l+mIBR@8U|lu^Nx(%_uRdJkMT!V()i7{J|mP<;yuEeH(`El7yKl)Y#G zg%-@~(BJ^iL_!=7Q+FT*qz+O}!^OZeSfJJw$O@=l@C+2Fum_2O%z(7KKq8Qq7sw1q z%L`;{H^&Rbn-JeYxNvQdPCTdq)!l>IDHnir;=yqPEd+YNRY^C5Lh7Z190zW}fSNY2 z233#B8fZBN3X5(C7pW7!nt_1<G))MiJ9|`?fKoYx>g)j*Ur3VRhFy;eh%)@Y545}! zT(!UOxdER32E{mZ77se<-Pr@4;s$vWly18rTqN74Lx&JRv}?;rNB*e?!6OL#t*@C8 zgKm(hw=n=sE46?Jhd?Gm;{Y7>Ak#r&5E?V;;n^M(?jVIw&Ge0YmFxfi8-i!vLCyfx z$t~akH*munRPb~|Di@FgK`95)@J5PyNYM@|g1URaB@v`e4Jvv-dLRh^q6nInKvEDz zkmd)Z;SEbW&|V#MtpF$qz+xLTV+<MafhHt~sh}u^De3G{fhIH%g_Im@ufdZ8L>Fi* z0-7QqZUJ>oKn@0#YtTdiYWX9rTL2{pkP2u#f%{ybu>z17gk}JhAmEV*uo`F#yQqNY z<-tPWZkdZp21pN706ct=fFuB}WWdwtP!%O0V*^0VoD5KAc2Nlc<zyF?1W+~xP4jcS zh`kER!(cX89XR?xxeS`SK!OR7xC8a$x_eYW6eK8+@)sm1K$!`oAp+840?oxkau`V3 zN5um)rw$1W&=LS26%TkoLFU)N&W6{Gpa2E=4n#w`NJz=5vj<*nLF7RrTu6zj6H-xu z^n*=phLo3(JOwFo!Nm(wTcdjl*hu*JmIA0DDbL?x{1e(i0;y$g0at*~38U^7Xyw`i zo|@@oe0k-^|Nk2pS1|Io8h}Ul5DtJ0SAiT05(CkYP=XYM-4F^Au%MLLy$4)ky@<IC zZi<1E9i;Ka+yY*UgVYoQsRy-dK(h{@>I+1_SbPOblcuvp1yZBz0n^=(VzKkYK2RGG z)Ry^s0hAt~4WH&i86aapg)OK#1)+sNLZCbk5`u*7p$d=~sLlY1f#_~7h)+P71DYuy z0-)3baw5b4NDT{3RGp_l$yMM5*L6%MfPxETHiQN{0TPvPmpXu)0P))tu-`zfFR=5v z>k2$NtvtHRDm*%iI6$U=g_|L+hIncUc<~&})flA|IDnI<fMWz?4=8{^z5vsmE-D-^ zN<sOk6Ve6&$)NcUWB{bGsB;bCJFtSzDUhZ?CyUC<J>NkRE#Qeo57y_<wgIGk*aKb; zwGXst7g9?-yY&A*csct751O?9FMtyr$kima|1Uuj9jK`ZO6FjiK>Pn3$ls879Ju!X zMTom0i3(Caz*7pr_CF}6bwhjrN_C)daF5aUe>c3j39j)VSr^vi0XJ)4p$*B82e8gC zg5_x5{)a|CsOSRaUI+~-ozUC=pcIa`{eKau{SPipakc+lp^ZSaU;sA)Atf2Cv<8P3 zq@;ocDx^LGmm-LOrf(Ba@hm9kLdrZu0}wPW1D%Z<lI{O9@CF|Z+y9H9Q4h-$;8YHs z$%Q2c2#p!_(AFU&+(CsbR5N`eU*+`3X#byrCkIFa2HX(q0k^<Fty)Mg5EKibsDw6k z;LTddx@Aa{7nX4$b1sm2y#-vagV$SthGStF85+ZMY5$)D<>ArxKWH>`aJK)CgPUUD zWJg;2{{)sM4dM3x5m0)7wq*vW{eK$M382gcYL7wa!Px!><s+in|ED0n1J~TR+y8#h z3IbA2V7C8{A+`TOLye#zaqzHqC#2N^>ZO3jZ9ydyh(6{}0G@>eu|1&Ew5V+iSce&7 zzYs_nc<}iRbnp#oMhR$O5#){TEh;c65Enf33=%-vF9bFNJoF423+mniUZm5x1w3K| zaw0??H1vE1G-$U)1w?gjQMm%*L07Z)fO(LiXHWwTdFUB3nOPSOn)HG6&^^E-CWuNB z<g#N7;K?+o`@!qpK*AsgfN0`35`hLqLAoF`xQd1iNkI(<2M%Z~38V}vM#3JA7Wh~r zR4;fF4`|5=$UM+QBWOUU1-yL*G^W!69>@d@>$E@yvN&Ee9|k2f7#FS$GCB)d_SM}3 z-kb%J0S(-ALq}&pDGs`4rLzY-+5_vKL$+Cf)PuYApsBPP=-!J?AIPQ>P$+c!s8qm* zMSWDtK^maG0B^0x01XjkKr21)_!U$ZJX{4Hzk&)RfCdBtko4z(1U!%gGC%?jNCGJ! z0Sk}~0iY0aQLz98kBf>2C~RC*BDy(VWE}zp444g32MQ7&6_ais6%&wv2P7nXR1CU( zR17+ORCKz1RCGFhR5U=c4&W(5m2MvujZPoX>?vqC%|}H6$;a|8T|O%1E?pri@~#>e z`KP+5$bmR=t}T}w`KN-Hm2rWVm2vX7o(FGRdyTr84|7rjyuSpLrMi2-S*;VgxUn0O zzPmj@qhH{h)dR^{ki3EvF5vVCNv<v6iEZ%c7id2eVt)xJQ^7~SSU{s+%=|5D{y;~+ zKx&y=z<CgJe+kIsPR5sk(B(AH_$vTKh5#tk1dc(rCL$ytE(f^~CF_Crpd)5qCxFH` zM$0!9V#_z=GJcqsZ;SVVvhis7hEcwC?F9wQX!!=+MN6;pjku--w(<?MrW@3L1NY-Q zw?LLFK$kHbhYXm3wwQp*O7PGkv}FSxeC}=mFTm*LfNk0d=kJ^b+iC(@m<-a{-2z^@ zd+-4>blrLP9`N$>7yoyGI~Smd4d}vj=(2e5z8!F5JGlp}6twRH+`fb^n|R@}2YdGd zT*7zn0nd_jPXX&a_=@=xzW^&&1wTXQwHMyIK*<xjFc|6;(99mldmy@7p!E`H-wtSL zQy70I3(PZLKt6#q2SH4TXFzo#Xx#)PTn-k1oC&H=j<<lP{y|zm(jcL3(8_(#4ASuy z$krrqYqkfxHKCgkZMV)z@Qm$o$jBYU6o`qSHZORYNjF3e#0M`kNp1nx%pg9f9)*n} zzTnvn2`5nP4Dup`-UC^q(&=6SQV0=)G~8h|Ja}cve{fcYEoj*S-o4<_xe2^>vU>}7 znXyObCQyqF)H#9#0%Xnxk~ko%b3XA4Fu~+M@e4LVnvoDwk2QhXa*Uvg8r+Nmn}M-y z1}qAVE6A`EB-tGY1r4~)0f|D!06{i)dVmi%05Oj{@POx*po?m{IsP96?ThkJvFQi_ z?d@S;1I>+tLI$E5G!qXt5yS;IQb1h+kUZEC@I}p_bk^Mi?k9jGLEKIbs6b~AWDOrI zbAX#q&`D$v1>Iu+(g(H$+<XEzUE%8xKn<F1$fgG9x=={R1GJ<Rx#I!bh_fBO<^}9b zXb%hIDv&J@8r)9+C2^1tR2g{88<adjVh|d#3D2W@A~>2sXE$_$V;FjT16U(`rvN1B zz*b*Fmb!qlJ#<Ul!Dr0ST}7a^FR<Adl#IL^lpi}G^(ZJYLOLyw#Xq32Z;&SF+Lr=Q zTJG)v_b@;?4KzOlN;#mlFQCHW1^+Ja*f%IwzF-EK3)|4wcm!0)f!Dr(Lmad}9x|Q= zG9J3ssrevdCuCy+Q~<h-;iV09(*P*!K&K}#K(vB8jXmJWK8RK%fzBQkP$}{998@bP zXkl6*ia~2~UaCSHtdKwjXAGqE-(XQ_RDujZ#1S|uVPOwe22Yrf@Pn3b(2=|r6;PKA zq#dFb)cpdL6rg?=LKG6qh^`+*EqKNhv?mZ;UO`L(b#3r={@S)e3R#e2AlVY+0Py5K z$ZoW*A7p+XoNOQ)M-Dz@?t~n{0PP7vhC0Dc>4rEEG<gkD1WKgP4kAdAk4g>5L!g-Y z#4iBO$e>{A_ED*Ujf#Ha7X*t#ya!tl4JtrDIzhA#bl-??w?~9eCx>shLxNAI19%HQ zNE%D20P-g&KtUM|S_ps)196c{1yJS(iGxi6mkOXThx)M-lKBzxpiULY%TVuiLi)?y zE#O5>&>)1A3ZSwBxm182{L$xzS}L%D%37E+LH2;$huEH{#NXNmu6H1#7?AM6rUg2V z0Z{->Ls(aog9=V)U?7!vAUh!)Mo?%#r)Z#?sX%Umm<k$i1i2IxkswhJ4Gwqkx*SOP z+C2rlRSVu_gl!1=_6yo&1gT|)bT^?J#Gpkgs7?l%*va^E`Y%XI1xE?ASZF>7ZcKqn zicSxXm+yW;lwfxmSQI*SLNJrU(g~P`rZSJ_1K_C>P!0ik6>1=O-5fY@5$eF}=D;z6 z5CgB91D71#L}t#H8zKGyIRcS6q4Q?Y`~;#vjsZCUG9CfRncWcT;6tWPAC($#wt^15 zfSd)&lpu)`&=^do4`lriH2)PicAjwR=3xLG)&VjZ6#m^D-61MDniu(}xTs`<MlG_T z3)eb*R760F*M#|7FQW`mfCC4<Q3jNjKxTnx$PyjYGzZET2zgL`Ld|cWvIry(F%=XZ zSkoL*LhYUcUN8txbC8ZPf6ENmFa=00a|?K6s}q`2Arw-YvqUNNK>-J<#lR<%BphR4 zc<KEIv@5gYAgs~k(Rc_P!JSjU8{@tmWGwXtwP+o3`N1U_C@4Si3vhhmk2~>+U(iPd zJctGH6htFrwBr-MfD1$plu$wC;7ehUQ}%;SF9V(6_+s9M|NmbvK_35b3=8&Xe51hv zI!bDfiUOG4q9OsNr>F?9Ffbf%Q30(r0yV`zVF?m~Eb)$E0-q=aqQG1HBOrVb1yk7B z0V?2NJP&xYB7g}pUIQ}B1HK~511bul!G!?>#ClL09c2CS78M>A$R>D@kq~9D_MT5i zh>ACVXFDsXy?4Atg#&aXC$vt3Sl6QhG7l8!AUTiD01oiJ?iR2w5rrqnSdj6kZgYUT z4Mc-St3NP<gXRn9Bv1x0^A9t^Z4hPuk2&zqJl<KN;^NRzqvFip$qsYf52!YfMGy;n zR6r(zTnCcFa2+@tL7SFQ+|l|CWC6%<C;rY8u&#^^=(JKuR|drFY*8@*Ma>>C^&)F6 zxKpMB5`si+_Z}4x58NpOMK$O!1&|I<8%zOg-yRhZ{bKz(NM}O=EZCv~raN0y1i<_~ zV7hw>*c!ucF9cVE%Ap=e@HQTV_@GCH1FRTAcN-!OQLq5@$jtdWtzq6^L3jhi>}*l_ z171mvVd4j<Fo*_s!!AJL<_a{BkGH7YfyYgc3Pc&KM`q9wqN2~=`5#;}9&b^(0o4SG z8IU=k65|0gB)Nj*K>QaVelpZOpp((S4%nmef{}sYMb%nJAUyyJwx~epJ&<7NY==|> zd!WKwz+nhlp~}d>09nD6wE+}tkh}+4?gO^Ga}&7q>fWLPw!3o^r09dHYCHrk&pW56 zfYKc(4S{HvE^xODl#X3Gnm|1cP%)$c@)$_WgB4VNg1iG#j1Yyy6Et$WTfjylI%1$G zhg2#cUC@pgh>6q@gQ$UJOvoW*o!<mN853j;L^Zr42I7KqJE$N5$wM3m>Is1y2TEI@ zjhf(814(M&CKpI`XO9Z3v;ms}ZgO1#&9(NZfGE%q4Kx-Y@}Mf>0z@7}fyTK(ycV!A zpj9`F3=EJa*Bvkmabg@~k0XEIS9p^P;!JRKf==^*x)0=c@Z1B$sc`>*H&?Yl6F-cG zrsVF4;K&7c#lZ0j?TSHcfQYn!lP$<NaPozoaRAER-CI;Z6r^uv0dhuiiwc+qEsO*4 zyP?_F03~H2k{~FEL0vJBCTLgeDM)E|4<wa>j&A{(16qmLy$4(yyl7bi?uvnO<co?m zpcLDpk^oZFcm!0LL_5YEHaq~T6G5e$HY5Ci0Z`HVG6Q^?0Z0}UEl9GUqVMGkOxaF| zk3ii$CI$w`DFz_dLIpr;RhbwVUUGxaGys_kDrCUsf?BSSl8ync6T|`?Y{JCA(AlB_ zDqCL8fa?SWFIXqU7EqQ49~A4++oIwC%J;oJDjguYdkUnwY&>-0kT?TqLKI{vsLTWz z0dfR5{<^0?Vh*zN4P3!C9)#$C1#gSW31}*X=;(p)AzSmnLadP7+oEy+st`njPn_DK z(g1c0v=)OlR6)l~fYJ(t2KOexr}uP2m9fHd7x*k6xG1Rg1j=*Zy`*qa*trbggIwUE zu!aZtEE%{cxW(1kq5|5A0&)PzBuH-(Bmx>sZUG+%1DY;t0iPt)&GEuwIcUS+6iD!b zTDhP+3GR-8w1az<pmT6Q{UoUOK~916DnUuVy9bg3z^4O(Hb8XufS0*~I*dKwQ(!<& z0XY=ZfCU|#2O2HthDbpafliG9DFTI3caI8)3+qopSNs<<LQV$+(;Yo3jo@I1HkrD& zfOE<VzODcNceJP!F*1P8asW{sEh;C#DxpO+bj<^J-&Xe&$XIMQc)<a<J=YCY)_4dK zLsKAwa0p>YYjO%?s16|vS}PAqf}l|cQ27r|HI0Wr&3lkAXvHy-Fr@c21+w0_8)8_u z6-WVS$fer|!~&VrEd=T+gT@~nyE$G=TndRMQ0hk#2QfiImX6?vG6BV1j|zwaNq|go zgv1m$zxIGjCdl{-sQ=a710G+7L;`4p0Hg`zT1Qw^K?iU^au8LZgH1rHK;hL589fF? z)hS=b3yxh39W5%L(@VP`R7Z;nXs8u5?gY+x-CMvV@Qbv~;CNC3CntE2L(1|gkbQjJ zFd7^=kRdI&N?7E8dX=ESf(AFF7@q<joJJA`^%+310BXl02}25Y*lKdP*^m*7Dc}|3 zAl2Z`4>)o_D>NaI12PE`IUpB+BInEE|Nnh@TU359F);Y_Lh_PN?;L1RH3z(ZpqtyH zdk)xf+T5KzDo;R7L<j{E2bsyg9wY&3`*rt#n{kks0(B=ql>q|-10)VWleHi{;1Mxy zh$7IiG;}fqq6oCOqN_y(63BDF$JKU2CXPYzb<T(Ja|biX5OB;i9)^^ObHEKEpUyep z#-va897wwfM1y?RDGd?=>F|W;0I39(yFQ(ea@Gf$CqT+T<*;w3Fh~fZ16)#rRD#-M zKA<rJMg|5@S^~u%NExUc_U&YX33)ai02RC-m7oF_Bn+Y<$;^Toq1UtdKmbS;sJsQK z0@1$R3?Ly;i2@P=S>f4u0F-h-!k~f|Nf=b}f`mZ@9+EJq=miOb$~z=sP}vI-1{G;Y z!l1$zBn&EQLBb%z9J?(*OpuUcw*iO=GRv`B0K^120+e{RFNEZ5aN>a$z>eJ<ASGZk zv_XeOf*M@Vh8Rc!Yz!o4W0b+5wihU>8DZ%K)Yt-P0y!9-v!P`=NDh)<Kt(r56)4D{ zMK~y>AaXXS?Cok%0Z|<-Dwg1K6|DsB0X1BDr>JaTVgQu|pdz>nQXqG<Kv(0cnA zB*mdlh=Fn(D5^j$Z%_&2(J2NOYCHr=4WOJ1DiT4$Ai9gGqebNdsObYudLGa+9#klT z6hUZkF#;+MK|){~5M?~5z(W#-mGPj$7)cmb#)H=@!0bYl@n}U9tc(X05FkT9hC#}B zkO-t00ht6TMnEnArG&NfAt?bAe4wa+ii4OS10ZEQ=v*~u?Fo_qnS!f~hgP&83KGYl zniZr8<XU)20M!=IS{I@UR5gH9fx-(~MWChx)H41fIP?)I0aUxbXaaRVp(SAlq!@yh zMjb5}wKu2;c@Y3=$M#N9$$+Gt%b@eITU0<4ycWkuJD}1Tlp;W-Cn%V~F$+D=8aeGW z9|`~|0~MGcWe^&iCP1Y+NC>PGktV>MGej(d3J8z^;1q}u1{Dw>VQ^i75C#<xAYpKY zgb)T55FlZ2Mb`~EfdY~yKq8Pd0Wt}aCO|F#rHPqy|NjR?29ytCf?NSf3!r)#I%o)z z0O^LN1&mq*T9bn)NG!u@a*!+GX#rX*f#e{nK$Q>3XizvoD<RahupAOkAgZH9Wd*33 zfVM|Hn-64w3Pezb0vQ3Kp~W&Ngg`=|P)913LBWh94AKBH0Mxxk5(ec&AJ9R^NMfL- z7D%iBNeq++L1GyoF_0PH(%3~M16&lls8m49VUVq$kk+3A$$g*%2+{%-2Qk6A!R0Wh z?uFK~KA^LXp}`Fr!2v}C69aVm3R)k7C`dqp>SK_O0%%x+&P|5Y#~^thl?0GIpl|^l znv5L8E-LX|E-LXIEh;O~G8!oLzmQx3aW$x2*x{lQ*X5!Tho#{K>KVUyy8?WGM=Vl; z2c;#@*}wr^E-JAe-61Lg9ZaC6I4EWiV-z6WAW;wv845<KkC6KL5P48PAE^?8_VYpd zA*O<AVNgd0)bj<4g1U&HN)9xf06JL<#Gj%98U%n31;bXXF?@hdKY-LSx2W6zjod&- z5Fiw|?+5B!GQM2+9?~@iI}3IC0o3&cO+Wm2hkR&>NAsHu(3vF(pfi&rIzzx`PJ4h( zt8@S@bW8AHEf58b)w-yFS0N^JcQlAHFtncJp8`5kRlh_JG`ZuVlHkF5K?F2tRiYBn z9ijp{soFssd|EXl=yaK|d7v>W@L+L`ibc1JiU%m*Bf5Q595fI9KiGNf#gf_o|985m zm~^|Sm^8m&bmX6MpwmS~12jgbUJ`?13Fs7Ti1rc{2hDT;j~V`V?EKkT13K>IcxQ=< zOy{|79~A|cZWk4q7kB1>%{AzDQ88#e09mgXq9R?&30|bwd?e$r;Yp8fkfTaqj&f0P z&~{PrXg<K`*m>YZ^jy&3xnt)6kd+A@jfX(~>;@~YQHkhAC<cY1fJf(bkUD|RgD;9^ zgQ6lt1*XTN8x%I6rJFt~4j?NNJQ|O`m?_2p(#YY_dA;)xD7SFDm^tnL|CjOqA+ba_ zej3D3<7a{x_V{@Kibt>;Fym(%s37RX7e6esz~M+Jen6Ix6hAhQcqc!8cF#tOAFvaM zh@VR{5%B}kgEf9Qu*A>LDI~>@hXiW;L`YzdpB3V`^N$!PE#Zxylo>?D56BXd;%ClG zu({;NkMu0G_yIeCi1;y@fruZF9<1>bunaT*L{26temEpi<3~agd;Da8;t@ywDFdY? zyzz5n8aNyY<sXnGB*o94>0oookDuxpXz>Gf0uk{uX&NGaKzgvoPr*{m_}Mv;r1+7L zLX95{DeUo60g6W)@pElDq54N<DmWYo#Sh35lHw-@67S^4&+Tbw@dI`O5%KeB3L<_$ zda%aNhQ*liBRPSj_|cF?jUNkX?D5k9ibovrV+Kk~c=J!oWTN5+WC=;}vu6s}T=L_` zdMaA{fSo`@`~*!##1BXh*7%vQ1T%gr`$&o(3mMe-@sL5pk2+HOZ3ZYFam3FwP+Gzp zKTjrt!x1h2D1h2;@<{DBkR>?dN5Z2M)P55Ix8Ec{?KhDZGLU#jiyvX)+i$Zcqs0%{ z2{_^h)C>c)-#9uCzSuMo)Xfl`?aR*q>Mm%2#6fL2kbac70=3#S!0k83ouGR+UwHKX z|Nk-$(tz`5d;{v#f!0HVx;miq7(u<P&MDx_azOixKy7XC!8l-f)*sO50w0Y766)^Y zkVV8;bcs2rF$`HP=fN5QQr*1;yzjO<M5O|BF0ZzaN(E@iQRnd&_a=eLJ=nI~8kG!C z2M*L10=WUC7TWq&n*ffz6i~A?qq_vO`DqH+Ov96ops_`U7b$&U6$#xgDk+fm`N2*X zl@QRPn&6UDbSHt<>%grkQ7J%frTai)*#jKQKA>3kcwq)fJu%%bDlv#wx_c=PxRu^` z1Qdke)s~<D>FOxpmSkuET`G2{!$-xfBSgiOzXfqh8$`_yWHq4eNzVK&n()a~h?)i- zBy*fPLR1|2TR_832=8=taPT6jap(w9vFC4b2b%-wIG_Yqw~I=Fwu?#ywC^B45uWy@ zfcLg}G#&!Q7$k%tyC9LYfI0#O&>n<A=fM{S{h$&8MJH&O5FB@q6NkWOWrF<T(Rkco zI;5z8Bt>wdeBsyi|NqNf|Nj5)c2P-aegQh)v(rUIrNc)>xde24?pf%4P+%iiKX6Gh zfO=~hJ^%l|evG0;ro%@?8mwg>ik1p)h?cM27+OR+d{l(NTGpdzVc>yiIfSW&r^82u z8>|I%wJWHj3~^WoR7*ct3-WRz(0(dV;vhbMRVYJ>L!|uGp^V61{;2uuh7zbzjx&GN zfno?W{Rm$8gFAm6>jCE;Jo$^I8>|8?e|doNmpf|y0yzm^{&IliFB@?FascHon-|x5 z!KS0-FKb-+>xDK_nz!l*QL*H20gbvM=ZhJ)#1VPWq9a7boWDgKoG)PU*43eK8%d2> zM~I3ke~THKnia@uAol^bxPsLX&R_d`G4mHVc)%qX*8By^9iWn2qx0a43*F!n{-ryl zga;oF3r=v2hd`#F=QU843V@dLtPBhcFB01S|9`m$ckVimlI}CKA?f~92S!#w<Sx*a z$FT78V3oK9n&?0NVmhW4MDE&%V#@-kmK01ah}^XZMT^F5h%JU-EhxEb!UV$O4-%@7 z3<Vn>(ojX@Cgky<3KdXR0*!%Sjz5_7fa)oH;}0#J;1rD3zQ7nC0$GByeW3u!87SjJ zd%D2pqO~tb7$36khNnTuSP$3<INBG;<3mB6pwb<o2c=B`s)#}3LktTbg*vEV0vjKy zY$2)r$DoE9KLTpl<0k<WkGR@@U4-K2Njo?k3B?b{5|ZLa1`_Y&$It9ewD<u#fr$9o z)Q*TBkRGh@^8!o!+-yRPp9oOB9sn9&1+^m`I(<|uKy5zorEUQptS_MP1Ky4u(B1I^ zDSqT(?ehQ+Ru7Om(7<DNh>8VhE4Q|fiUqWt_pJjGKOUfTqtOi>tcBz<1857+r41ZE zD%~zBDv<UYXna)y)IJx7wa=lJbo+qDdO_MtR1A<?ZUT_}!vn5a1VA+l&x;yJyeo9O zs3;&>Zrt$pc?9@a>VU2elyaV{BSeLhzXdcA1FBP8R02F$9qvJjAt`XUgM}VEhX}E^ z|NjrE4K%t-ppFAsZ{VWsqGI9Le1P%A7O)b>&J&<fYnVr1YSBXLMk^?0!8Tw7DkuO0 zJQ|N*SP05^HYy&S$9=k4R9>(&{{R0{3v|+WH&`RA&|K5@|Nl!XNLwFNbb2(uArRjR z8j$=5i*ExBM1Dk$?*?^9c?qhm(Bqp86h@ukVe}dmtnnSvLR5T%EFmerds@NfQX#%S zK;v5r5gQX;K;m1xm0)}y0V_eoH`pUM;`>E2JibB7Kp7bxsId4xun0B2Me4D~_a0E; zOHzDC6mf#jLxsh6MiD1`{zSY4I)4)2!MdZ6lL5tPNcmd~6h?UCJEaL6FKGE&0F=M^ zOJI{75zxsFL@okNi-7VFw8N6u0&Wrgw}Z5ZBtXFw;L&&p;Wp3-6h0~jptg_%v@HZ$ zHvJ-`?*ISSvxsRgG-yN8KdiklK^u|&k=qLov>@pp+k6rm$enn{BSIR%;X$ZA0$D;* zebfU9XY%VK?q;<52<!wR>LaB_P%4JB7eIPIX$3U@1fFXHC1X%~L1Q^68P}*Fw--EX zQ0pTC^L-gQsPR*wgFSvufZ`EHdm*g}QXfTt+=X?%Z%aK<@dL7ir1*K$05+HW_{nZW ziyyEPh=`x2dPMwy^k9vjjGvhCv$6^`ehAbb0lJX*ft4o-x`_Beu0J+_;t@xC;aCGC zesH%JMC!odh*q9pv==~@kW_#8K;oTH{o%n1tNXd@3DyNWz)BEx0oYME>ViA9pjZM| z;UHz8NCFjd;0hL$SV48azzR?#A?kjPN~G~Tg7y0iJ=FMlp@%(wEI{gT#E%*%E#WQi zOKOOUACM&^#m|~ru(^ce2Ub^S*Ak2$i8_!HMErmqg(H4!YT)q$Qie5t0)C;!PewUP z{17PL91I}w1FL@m3=r{yJRZJ4A9wxJ21-SE>z^xC;BZ8%e=x?wL6+bw->{8`^FZPq zt$ZV4JiNOGt$YJJ0Y~`;szyNB12i7Ks0!RR_-qDg8^FiILHa?_1h0!gZ3ECSOXnfS zo#3{CMHwma!(fOSKLUn`_(5(TB!J=(NB#4x8dARDu76A_vBeL@cml{0obiKgJRt`X z?`ZKu!g#{#Dzx|kI{`=hAde?7Rf2L3q<sL=gAym8q7B?Wm=DT2sO<yI64d&KK>j&^ z7C#qo#E$|<9gg^M1EnRr`DaQwQSk$^grxX6Qvo)Y{P^*%M2jD=6NrePq;f?3fb?LE zpMZIo@zYsEQv5U+q2`|nMu_}_T)sWP6F<u;2<4wIrQmQRRK9^M!5Kf;$~PTIyrabr z3FX`Ba<upXI{`=hAeV24O2OruvJqPO2GWlbR~Y46L?KG~hPV9&s*F2NdGxjjM9c<7 zwF~GNz8Vlwq5>*u4PKmrge<t_1sYMAasbqc6X$OMEq;WLmSmVfMw4rbK&cAco)IvG z2xTIK6f7Y^VF)1$Ylx6DLTG^vM92UkbYhkmYG)KQFSG#^LXcJo=<W?46^qVu9*xHe zKptWI0NU&+0V-!CK%u1p8gqecFy$-*IWa^9)R9D(3mOFj^*%wJ$Wtzz;9IgeUg(0I z01pq)fra3cgN}l&W>JBT?jVeTj)j4oCkR#p8Wd?h5^)$b7&8IfA0;wA3RYo{533Sz zO2>>3(8d{feBAJXgyEY4kY~a1@n9vEFw7}|<SY-cPr#`G6owrj%khNaQIIy!T2+t6 zLkM%Rhv7@G6EMTD5oAUuJPZ-WAcf)CVwm%wVMu5^3+w}MdfxB{d-(k-A}0Ji#MHra zk8ASb;imvH5V?U2iew$Q13}|(VB10AcLJmqPx!Thv_ZoUVJ`OYTM2doX81XR%s_-6 z!Wg9R>jkA>a27#?p9g6C*8w#CYtb13K7msMH2$jqN&yZYtSd|)<+TKu-`%mp1W{fK z!^VdkJXkqE>OjMv-61NV+@+xHqoM&D|Aks>0nUHj;6erBDh1g1Zvi+KM7mv6L=fY@ zJfNb58$Led0UaNb=q>@3NFWnjR1~ybR5YODLw-g7|G&Nh&01i}qxlU1|7Dn=`me$a zyZ=s@LfYFFSo|kO(0?iU)bL+kA?g0put4>ng#~v1b(rJ!pIIR!+u@GCmON_sude`$ z|1v=76ZiV>7nTtJ!TL)-ED`=gZjXC_)Zu9Fg@KAByzRXuxnTd9fD*6;DAR)~!wC5D zlc(8WX;8BOx;z}T{6qsZJ+2N<z8T=Th6E4R4`(I7g~|CmNMVxD)gjR+ftapV>j+U% zEvbhT-U(eDE085sIzm*G`CIzH=fr^WWI|U*K?{;@rH&94MgEq#2n8OjC%!^Pu4D5+ zU0qa9fMzNjKr<B{;F$`p{Qv)7`uqp4$uNMg$v{j2XFw+z5<FOEG(h|@J?sDf*Uvz; zC0spX{x=e#UZWABJ{?m%V)EAuq5eZNM7=p!J(2l&fh{CGz{;x)wutnATwXDN)Zr+v z+&~f237)2^QIWt_UQK~SIHB?i6h96gtO5*>+V@@#Ecg*c7%2D^K*6s84*u@k|Nmbf z1p5_45H7z2>{0!zV2|Cu1$MaecUUf={JkU#oWKeB7cvWm@EmB`4XJ!ymxCpL6DXfg zp!x3x4*zL@)ZvKFvK&JGJCaEa|DDSw-G2=ZsPQ+!0ek#Cz~jGZ*@XP}B!e3Mdk4yh zB-XDMj;Q|gaK!Gv8K7{$5r5mV2>FkND*odm!+#&p{Kw#g-G2cfbvXQYEt8P{RMM#t ze|njur_U8msPVT0hyOT0>Tvk)TLvNjxuj9Ue|{OH`!B&6)qe%f*yHa29{-6E^j}IU zHT;*CPP+djTu}X|;ey?N6`*jy5r1asgyOFyg&O|rOC#NX7ts9o0Ehn!K<aS#FD#9a z|CS_E!++~iN%x<HJ8JxSxMPpM8E&}i_p($%{yUOHl>fl(nXV3oR;2bOc(F{0`fCOP zmH)<+|Nmca2b<u*+Ry@N+QlUN|Nr_JsHFqJg!=OytP4CL;Q^Un^k8KG@j)$eP-nY4 zM8!b!)c-@El|UgXBAutYeN;qVl&3(v51megtserlybK&QPdNTR(0R_K^Wcm9iQqs0 z52Zjlp`cd00H_s@yhs7$g#ZuM31=W);7o?J;sZQbSAg8o>7oJ|X96WQP&Wb88@2#j z25RO()&qgk6C}J4iyhFEG$#N5|GEbfEO3%=_{{J@4WA$0kaPi>hX?gtv4l@J$Oqt2 zfo{-p9h~7aKY^6+Vb}!;pO=a7@Yw(rx`q%+I0jL57$GEZ93r#<A#?yLG#4RMZ~~&L z3nA2S3L;dF5PEPLB9wv<S^y0o!jbep5!PJC8A*2%|Nno<LdyE83}4jvIRSDG{`9*Y z<ai?D=YJe2@pIuMBxpPn;PF!cbsOQJd6GadXbyt1HkR~DpuKP52MHfo|INb>M|lTw zD=3R$8INfLc?oa-?Mf^-s0j6EKv~_vgEfH>(tneShxr=O#R277r2gCKcoO?>AJF{E z;E&zE0U&ia{5vfkQo!LJpLr5PihnmSLHw&1N6^2w<4E-H3V+o2-GRfu93XW#{JSiU zkbl2Klj2_n7KnfCVhQ^9bu5YgO$b2sZ$SX|_&tEfzuRI7`Im(x{|2x^{OcD((7(T9 z(EW=v9tX-E5#1goojxi)V75my=)Of4&;bHq0=%*iw0Om*^VGp#@&{i@GhRISlNEH} zxsQs;aTk>U@IkgNDj^^mWCTdDN$0t49)s=>6`#)Q2mi|-d@t?TdBKtKhhyUd(CH^G znui=aE`n}|a_Kk;%KevLfewa%=nhdaX+Ff#d97Q-pu0xJ$FcK=<G~;Djt5^zJ9hqZ zWjy5A_y}Ugb%&0hV2vSIENXu6!14PHm(By7*Lp+P9)iZjK~0O+OZ@W>cKE2M^Y<?V z53d;>@acujH3c+3WbE`&3F!7w34t&}x_wjvK=pq_XBX(eACL@`3pz0f%<KlsG(Y?Y z;cWt`12efdfz&iVW90k@=0l7CGd-FQX@ExXB0L(8LylysQ30)W1Wjv6bROzF_~LlT z|NkDKvm?4)RD5RcRA69W`2G`ge&d18V;-P83O;nYsOW$uC_s8Fx@%N)I{!O%{_tTu z)@|p~?V|!Zq(#J~^Tf>WKOH+yI%<LfTfn9B<mKnxAu1xAzd*s=9ikEf*WP@b1<eGP z&J&#>Dm*hm#tArn|LLfC5oQ1n*np6i`$5}02#(KB*aBIf3a*ntL$9EO0cujgCMZ5^ z=49~fJn$kh7(L5_T5mj{)*Cl}OCvbGKxxFGyW_zY#2QyFq&W<bQ4l|2&S4lu5z2!N z;a~>{bi1esK$?%BHS8>)ISl3!ZqQc2*9;!bM?Ap8zz$s<8RbZ=Xr_)36-FXj(b<vz z|G(Y}_J;@Si!w+RE*1)FMH3z`?AS^mJYQ^qgr{H-*gHs7B+l@>u@!rGf{elyo{u63 zg{MIn*a1X`=Yujb!c#f|DLfOP;dv~W`0!MCP9QuRo<YL%U;x-V#Dr(TbL`;>G749C zP6{U!o)1F64j>$!kd6tWiGwzZ`y%ZB|JRowT@u3SP2vTC@TqtX37>`jVCNANJ{d2t zhY!doT;Wp`Mkss^Knf6w!{-DjHxeB_0xt=KPr(aF_%!+v9X<&!v4;=HC|uza6iO(3 z7C;IF!r=ohow_<M)RK`OyF-xjV?ZsWd@~CmK0k82A`qS#FCpP+=u32XM!dovo*<)e zg(nlJ62{vH2?zu`fVSaj4JyiD^#v?EpZO6Vo(=y9gy)05knnuy4fYN(`S-#<?BNMA z3Rie;3L=y~86X8L(c#%qNk;m79f*`ZIVvIPbDA$wcuIigs|7&oDL4!dbn`ax@G~?& zWCS(cI6AvltYKj2<}E@ITeAi<LKC9G;n8?#0|$s*0%9MV021%K4sOzI@dG#MBw*`? zAf+#O{ScacQYdBztOeP}g(4QR7Gwv+z7ql<HpD&)kT}#n7b5L5JPBSm4KXZ&lYzmb z`4ERkr-+J2HwX)Lb3r|xupVR)M9hl?DwqNmglGnDlkbMO!~-M_b;&DFWS@I9zd`XY ziv1Jt*e|dFi~S;CL5TgJds{$hkHe$!*bgN8v+&t}$?yR9=rtcugdM!W1M>et4v$VA z6_0KZ=Imw!jk|GlHU{hf*$)wOWPu8XfCV9%3&6*>Li}$567M{}lOME=`=tj`0O0k% z0oZ=*;m@!WWItN?vw#I5{$GJ){|m7Fkd&8&Y&~edHSX}wz+?XguvKXGZvhKJ><8cL z0FD0{AaPjyyCZoYzx@mF*q^Wqi~m!=f)M+`cf3ID_W+4Q?axBBzwr&|1WC|&4&VgS zxdndr9q2sJ?hY4L2GEJ>;G;!h*DtYJu!6<_TEJ(jfVR(rPOk*tiU2w$19Hz5mi}?c z@fPsyJD{%damcMYASS4G1s$YP0_i1Bfn2_Wx1;O<=_q?#e$_bzY$U{t5S0>mUwIGM z7=qp9DUjQ^uv&`RX@1@rqEgTuqEgTb?KHdd_um5_oX`#NR`Y}UP6!Kn#}`BZbUHX( z1?Un<(1Dmx6|D#Oryc~Islwmt#RR%P<9Le-=<G^RupEcnsRw0(7C(ZR-H`jRnjbKB z^K!6*OJdCv{8JBgx~M=7OKBAc8`KRk2(0c28;Ux};SsIx!EQeeF%M)klHJ`9ySsZ- zK=yvWsCc6J0b|FZ)&m_ODrWqxN5ERUTfnj~KbZ2j?tzJez1Rcx3MbTckb78Kw;(Hl zUP}jcYiElJ=(yW%$XPqh4?tlBIv%1MA`TG%sQ?X9KooWNfRA|rS4UI83AeLLU^n>M zB9KB9agp7i3<FW+(Rk<uKZpxW?TyENAmxw<m;e7g8jpbT#o=zqT~N3N9de*C3o2+U zx@%N&kVg%m83A;xW+%$fg9>cqK!fuaGy!)*5+d9jv;hc+QIIhR1@IVz3hEey0@x%_ zT6>xM_y2!r`htWRC`G+o`}hBUXp#b5sQHrlAD9n{DKOs+l@Cn}U@2|TxCS)uLkk2S z74Ug3pd!KpS{i^3B?X;f11$$YhmB@nDFi}}LoS<#rZ~`1yC5bg1B0}LKnj5nl??nt zWipTwKn7d_fX?QH7!aZo0xtn-R5FOj{vZc*V_Juq_X9x7SzDobUz)$450;WawnMTy zh}GSq0x}XJ0Ah7R{0oT+@Hxy76|j6G#ot;8HtKkb${J8mKyI4?H6K8{<1H$nV}?OY z(8=wPGyOs8P;;duf2$+dlx~PAFw-UYTP?xj$00ceWX^F&h5|96#YuOM3dlai6R0_| zy9aDHCrB8SpP)GrWH#tDT5<kX(6QK{fuNTef4~duGH_)S(AmSF-~lD@2+%+#az^n{ z$>?-Z5kMQD<ALQ80dU#|>F%Ba4qUh)Xt~5kB?FR0IKWwi2Q`aufQ<n~$xBdThes5s zoB;*s%Qi?B0EGlN-Je9|Lt_FgwGMO&4J1UlA)$!~IdDkzsDN@-^T+?tTMnB){a3u$ z{P90Hh`}l#+Mpp1Q3#4?Yzo1pAYK!}Dj@E`Zz4$HOTT~r|3e$x9;5X?C`*x9|33t0 zEodD8$?~8R(&42qs6hZKlc6duzJQbhprip+1IkMWAuRxJaK#NRctM#HT3kb!pqd!O zgl1WA&Cd3p57ZY!YXL}u4T9FHV0DlFp{Rql06v3VPgV;6bdknu2Fw-!==uz>IM|C= zTL3%2wnBY@$Tgr!iue}5Nzh#=ptOu=Er8Cy1Jy^JO$R_N07&*~e!z$jKZ3UfumU98 zd3_fsf4>N|8PZLFEJPFF;0s1bq5}o+%av%Yfd3FaD7HZUe(3}$@?d;uVgyU6kG2A! z(?kKSh*kjTo(ph-2USmy5&*=4S1zCu0955bTLG{VfR^n5&?WU?CxI$8SotEs-)aNS zc(iE;{07fPy=HisG^E-AE|6**6hZKO0t&U4&5(QmZweek<s&x*Rzu7H6-Gm_Dd3H0 z3V>S#qwN83eNAS2;1M{BL2G+RX@IXiaPS2aq-+M2w^V5meEo(}(L;v>FdGCHQPmCe z20_6d22fiC)a*uS5R~izjRD{q5{LlFc3$5FK2yQPWJos%GLahu&_p-dAi%kZ2GY$W zYgj-KRs?{W0gxg9#KP4efENM7wndOQq*?^dklGy5B7kO;k=!B}Z4c13J-|%m_Q2D3 z#I*+wqN*F_?E!_o*xLgtd-1ggI6$(U*LQ&~kbhyKGkn?u&_p-d9vBqu0cdQDwg<px z8`P-y4CqV&N_zkrHKXkThSBu`pal_VQ<Ru<hUn`BNFE<xp>liR>NDcn0~=A*4fFQE z1sv^xE1(*`8`t>24v=i;^<6BWMNKLy!>2s}O?0E}fkDw8fX2pXdtmUj2cS_i+8!8f z4}e!2(!M>wO6B&z(R;+T2Nt5L8|LkShJDz_2U_;w8z0C3$#!1f1-`3FL}vK32cU^= zv^_8=+5^zo7;O&>zV-k#YDU`wqwN7YwFlU!+#c9^jkxx}L{xRdyggvBAA5ViWIw+4 zfCNak^ZG9E9mOmn!>2s}O?0E}fkDw8fX2pXdtmUj2cS_i!rKF&McJVF0?;Bi(0%Nn z6>*?VgCM%QqXu<<AxO}JwSesXg`g`gL967CLpG;@n4q0Ol<Y4A83{3ito?<cb^F~! ztS1B=%7Z>%umrZ42;>GxRS#l8*WE(|K;|EBQ32(DkZ+N85kYtHfp-AhIft!c{|z%A zWC&Q@4peo}iv2QJ9nSp-AiKMJz`K`FcO{wex2^zdMcb8R%HO&GCJy!xWTPS4Ucnj2 zN)S5*KyD>|r@#UDP65bXLC|&pP$KK>dH|}`d%$}R5rR)Zm3B9DQ)%O&54@lnehXxe zY2&dIAX(@>S|c8CjSfoP#Ox9Tc@wm6iR@j1AOpImfVW)2%|YA$MC2|(PyoJM4q5pR ziaPM}etF0WXOJymeiAAlnxDW@?$Dh8pkRb%VCa58kO(aI4(I)VpuCE{AMh4<2|RS2 zKO}3SEc^p)GXpIvJKh4`YX{A%pe<h@CRAT{4|szcYA%xEZ`Fon1&|4_HT{zOt;%3= zoNM|)u?gJ|2HtoETBHswJP7RVli+WC1oqHthL<}iU%`j>@U1B-;DCZGx&)<d@CHG& zv<=_ehw}(7i2j#Vkc10gx_=*)kGypM2xPGzC{%DQ-3NsrWOE)U*I?V62U=AO4P%Hx zP?Ta*2(qTTM+J|GU=>8~;!6Q7-S=pG11f=V*RNZ!)vqf?>sKEYcm96r)vq<jiK|~D zP}L3R`gOtq_(pt0{W|3Us6vI;uNr(HF1&uN0Len@*Gnv;^(zBIcLz8FqtvgE+&fyo zLaL1xaE%G6a6z@>X#Lvh1KLZEINWlyeueJ4C$D}5odW`@U$LK$^9Hqk1y{zb56G@x zK`m%ddvNHTkMjY``8c=0%~SsEkYjP6r{jRQphfkakRyQLyX8O)WY8D^=0-R&&c=DO zA6tElc{a`oRCUn$c(|U8<8TmOC8L~;<8lyG4Z|0@Z9qL6M*}1at(9&5{u|0?;}jzH z!9i*a@E|tT&c*>32GF`1xg;3Qbu}p8qxXfm;Q1cZv+IPMfCI|+pbEMZQe#7VypU)B z4MRY;$iaHI!{c0>9LoF7bUYWw3(_G5#S$c5z(dy~@mw76W_ZwjwuH{d0cUy0z#C{# z8#&jHtn=|e<pBElHROCeP{s$fYw*_p2VZbOk{tFX02znlyxfkh34pm5{wS(CXcJ(# z9**+?)C9nCIL;SPC68F^j@AUY0g~;!zKaXA*4^UkkZuDYosS1kbl_$H)egr2mkFcI zfPP-$n*s2cpk+&7Ku^X=9a1d;&>Ro6K?aW+aARPEos2_p|2sIZkJkSoY!ui3+|;iB z*ArR)qpBO`_5XrH3|P;?S#k*95I_e=w)6TfZgBnocKFo)&_p*{|5Lh_4V3-ShXF{c z|DiE4TK^A<b!;g0KQwAa>wgB&`ZaJDAATJ6X#L*_xl|5R08rHb=b?7}znsYWA64Bj zum1xMW3T^14&$r;EkLrJ*LU%N>;I?2r~Zc~y3zW7!0Uf#OpMn5gRlOFM$KsbKU)7I z-(HJ)-VMd|KQFcG|M^7L|ETJQdHv6D1bh9@as*%f{{mY7^MdRDyThmchbFqw`hURd ze`rjM*8hXA{)a}*X#GE0|I@Jk=c9K0Kb^?>A64Bjum3mTsQ<U%t^a3$WIM0#;se+J zSBFpi4^4EV_5Xm^|InBit^WsK{SS?r(fWV1{-<I6&rj|8zn{qZA64Bjum2N{V(<T_ z9L3lF_W;RuUf%`2z}w>N@TvcyiEgz1AMpAg8WW@S|KO|tp;0qh|Bu%Hq}Bg-;R}EX zuK$PKj7hcS|F7DKtNxFnsvG9jzrZo<)xXFweAWLCX!Q>|{^f<`(IH*^=OMNL!teYX zt^R3I{qw+cKfzsqu-rdvYyY$%wff%!u@4ZQM@C}pKVib?`hU<?ePYh9qj>%wdfOt^ z8UQcriE98HMO8P<8vqAzGysm^Z2+tQ$-?f!wb(nP8vrQ#|DcI(v;jbi1^`Lx|DiE4 zY+C?Bc>f<XYDQuUV6^_HTm28cU6FeAe>su$KdQQ6UjG*y$36g1ava|PKm<q@cK5Bt z*5OnCLlfO-{XgLKKQtyr>;J(w{tu0s(fWUM{GYV(f0EAsf!?M_z4||&$od~u-7v5J z6;5EU|5Z-ltN%Gbvaq{uE!Ga7`X8F;M(h6pum7PjF<So*zWN^;HKX<aX#G#a`X73G zBK7M3bRz42RCU9={=a~u{=WjM;c=e-w*w>#yW7@c>F}xlp^0v^{vYuA9~u**_5a|j z|DjPcTK|vM|1_-sp|>Scul|oGvi?U^H_Yq*hLhO)|1Br+_5U+Kvaq{sE#?lN`X8F; zM(h6pum7PjF<So*zWN^;HKX<aX#G#a`k$TJ`~Sj;tp8Ef4fFcn;1u@y-{cg&`d<Ph z+j)H#J9z*9)ZtVALlfO-{XgLKKQtyr>;J)5|3jl@wEiEh|7lqNb5Ohf_b0OcM^!h> z>;DHh>i;L88Xo8P{|S(6=k;A2;QGIJ_|*T<L^oRh4|x3#jfv6vfAH1+(5M-$|3~Y8 z8rJ`u)UN;CiLC!o)eZCdf5K_({r@Sa@%8^JK(d|JcX5L2|JLDC|3eeqX#GFn^*=Nw zM(h8<SN}t!W`x%N;QM|$_o#p-ra|}rfR3^OUG)p1yE|0a7#KPc_y2(eJy<2!7#KV{ z$+`b`0|NvcZ&BF-VKI1gyQoxj`>2$3p6li@z`hl~@xcQI1_l?+LyjF6JAG6<Tsls6 zhp2d5e$_ce1!N?|j1ZNQ=0hx<*SbXvy7#E`FfcGUcK&cY_(R?iPwodf1G}ZD=l?(K z3{gS9|7QvK1d#3)up1!NJIFQN5YIsbK;|EBQ32(9kcW@AsDRQLh>6hG%`4-8tup@& zGaqC~^8?0i-XHcT>Y$bRWw5&A5O;u#Mhf0;h~3>iV0*t`R6NoAfU)CH>w%6C6*K<U z6=1F15LdzOCO748T>ujYd#DE-Tbw8OrylBbQ8DNUQPJmboq?>RvqfbB1Gwr1xwR8= z18+A(5E2kj=_w2h3=jbj%kY3tFGNvy57+>Y?kOsuMAq5maE5`QyGI423L)rn22zcK zlz22AO5g)=w}5qcG#=9c$#!1vJk)vcMNuud76+y7!`&_5)G%|W0s{lX_n*xN7&{Mi z9`iW(jJfker;ADsG$uT{OH?YlYgBSN|2ua6@L@dGZRgSrN&B5HDjF`GCuV;C>DYPF zQ4^F1Ra`nxUVh#kqN2h13z`hOr+~u=Zcg)Y7EGgDI!|<ls3^>I>Gn}kas2+%QS&0q zBn7ZZpa6Wi{O|w&&}0KTmFT7XKQJE@8(@ACDjyo*U@6euW@s50dRZ?x)L0?8*F_}( zlxq?^x*0q=T~s2veN-|!PaXUvfAEzw<HdtNSr0yB?(|U!IS#qq9-68^SEqxRAgv%R zA&^WPqLR^h{UCDV87;@kKys`MILCsH%YhgWq7nknu{A0gL}XWx1G+J-!_2Gbm-XFZ zU|@I+x*8H>J0t;uSkOygAp#)7kGH7I0Qr!Cf#G<I${Z-O8xjiLJt`nIsJTdrzf~KS z6+p7ETqMcgstguC4oTr4qmDx|28anwblp8*`xH;0=AiB#u-%*>VNe$6hG+zteW)Wu zMS{Qe5!geo8D8$7{OlbOw6rY%OOYbr6bTNfDPXUI(so35jfxLi+V)Y&fFx`laKaWq zP1rnOV?crPvI>%LL17F|t@ly+&@cx}9f2Iv11dPUA>K!X5jaeGAO-Ko|Im!r{OP~q z#paLy!GQ@@0nr8x<7TizP?Ta*2(qRduZdt4khsKeB1qxOlz;#KgU;6hl|UXi>(>}; z^=rUr{pzFQ&fibH`gM;5O1<gO5u#$x--=nk&OlW+oa@&Q*y`6Wpc)if1$#6ent@us z-T=u$>sO(&(fXBvp}PZ|fl=yLNbVi2Usb@>Mhm#w7_DDX+K!|3E4CUNRBb}L3{<OM zr(mmJJ4WkQD%Y<uhQ!sc7O3imbN#yDESCQ3lCz)=E2N9-(Rk<rYW>;)l7-f<oAO5M zS5W;5&cG=3D<t=hwEEQy+?9m(Lm|~E8TBjgVqHE4Lr|v@)B%Hbe!F=m>hdvwyPBZR zQ8%R93+rl1@waAx?S2jF5QFRl^<Ixd>U9va8`5Kj_E=H-#*+N4u3*hbh92zj0rg*P z!QzDa#vuE^-8pFG02&;D_QJsqM(X9k94yY?`UloGj-kA7EP&QG=7IH%1;CwJkORAk z>>G1{`^G$|ePa%=G2p(j9HhewiW+W6By>W;_GJ^KmkhED+%-Om%7?}VSZWo-5Kwqg z+-nBe2kA9~+((UGGaeH`E`I3+>NQV*1CPcx`1{Xiu+{GeM(cMf*Y7o&#MSQ+sOpAu z{T^@*OZ^^l4yAtQ;0JNx<I@%(S!n%!DQ&cV2i5Q342)90LvrtE{Z3B*nfI_Nw)%ae z>S+D00v@(To{s^|c(|yH*6*O<^TArbr?6m|e~w@ooqwk8`1l+};_CMbRCU9-erGt3 zrG95Q5311N{dWOg5Eov*zd)+rKgEsK@1Xh}oPkm5cS!CXY4!W){4;#&7_$x@oqvW; zD}z>eK)T$Zp$?QTH>iV5?eXzB*y`5_qxCD5`_DE~#MQ47sOpAu{kj2L{kjFUe)T}D zUuS@1q5bDc;iL5{sD1@!V3hh5l6yz%S91E#qwBk1%d<z<cYzkFVqY-^s)&hP-*p9B z{d!`wex-8#dPj)3`gI4Yy5U^ECS1VMe@(dn>afE5uMMd6s|QFHTE7|vjMlH9`W2jk zQR-Jn?j5aPN9$KR&>H@XP8XHY{_8;XUwK%uj1M!g4(#}_17x>@19-Oss6!9h?NHH8 z-~CDU{QdjEODv!p4IpbqK&~NUe^L!MO8pA1H%kq{`zgS~6rjCHF{o<5yM#)4!K+3< zyPrUd6G-2pq{rX70p<(P#wpMu56}V<U8Jo`AU}1wsAP1zsARMr;Gc4kf7`)M7nPK5 z5Qc2Y;k>{<6(pw7;iIC?-`W8)608-Pb|JgAsIhfP;3Af)SL7l})w=_=>ivOK^=|i| za@DJVwuD6nwuA+;;RqB6(Dj(0F*@)TD75B3WET`<hld1s6^jh&Di#UwDi%;$c-aHl zx&$&66z4CaAZt}%eB`Z4_W%C>M{HFB=Vc$zzN8k&jxJV6Ms`t&0A-*E=vJkGZXcBd zEL)X)aBo!tY4L$=RZ76WRY?Src}2jP7qnajWH)Fxkq^>Vr3B))Dxq74xmC#nw3o5< z694>z9X=|;{QXo~>a~L%+f?u}cHC3JLj0|1;G6-@v>?BO)^|Y{7lD}gmU;>Dw>pD0 zqb>Ck;BU1Cixacd3%sTa)V+e1Q3RHH@$<L-f-UvRfh_e(z_rWI1-f<)l*9wNYgAlN zhoKWXT~s*G@(BwppFq}VfdT|+sTbG~w0z>Dk^ssiA}ZiK!h)PfL{z|81T@k5QUS6? z3(G>U7D#3RSq08K$5HvvxByG7ffxb`Eqn{Tpg9|5BpGSdTz3zo9S2#$hN0@^5|jm5 z1lMD+uw$u@e~hljqH=%t4Kqr8jB`KJ2~>69dYiv>Snd}-fUVL!f?DZ1psvSS0g{FG zcby!mT<H$c{lef3jMCqQ<ld3i-=$I|Y|MbI5>{luT?vn_w?^G(Kf2x;y#5_pWrJ#a zQg$hW8WND{WKhEcrFsV$Me=%U8*KHv0sFxAw};<(AUuC~8JZt5YC=!kz*)b)_>H1& zIM?q5m#}oIOD>^wsw+_I_Xv<Iw0=*r9If9$<JsU0j8eZta_?yU4(SI$_BBBU%RogY z{_{Y1gMaX1*-z#8gLia4)#!R_#8@J@_J;Jdan#0O70{7L5>6o@wSI4Y<KfX+qvGJv zS)yX$(Osiru@5wu@Zz)SzyDyaNB4e@LQr*HqoM&4(C}#e&p+irhl`4O3Fm9@X<Zus zAAI5$V9of<%di8ax$_`s1k1vs@sI*YXE(^?#$zBhMEdwAegR&q&%6viy{sk-5VIvb zI<J4?7j#h(;MV|amjJnl!=v+>Pq&W>hes!micjas7k5CVcJmRB!-khUdP`IcKx1(h z9;^wV21oM&4$#R(8pmB!3_ycZ$6ZuFrbC?Q(aq59!P5MYvC~Jz1f)v?B*M}B@qhC} z#_k#wljffcj{H*(H2()t2VFT%I5s?BbmZT5qSFV}n$F`dj+uZ0$3?}$gB9c}kIv&S z4qN{F|1#<S|Nro<YG8&3mhfc)9V$5)ZbWy(2~a43&d~z7%fJIXWZmJTqF*8a315T% z4_-5PfP4+%J9u<EXn>SW1q~4D@wYAnk9mP~TO4apC}Ln>1hYMQc|iwQdUSSxY<RsJ z6iJ6aqDIoOCXjjtScEMAIRzSFAU0Bjb$$d#SS~ce6kri10gW&PP=pCUBTT@f(?^8^ z6k}Qz|Ng(U{SO+~by0!1yxYM6?s|6q7MK73|08>>+ri<ug9Ip)UTXgT{~r|7tRkOz z89cguR4hCi56%EZcISDI&SNiZjsHR9|9nEoUqHyq!sM?Y$#Zakk{nq7U!#BjUoJ-) z(eh|~!@&iT-lM_*rnjj4-~=@(_JHYq%%HftZUl<{7O;p%cSArCNJERt1dzF)^_U<U z5{W%3(Hsm6C1N1&K@@<avhfJWlEV;Dusw(f?NN#1U|`^HJp_$Yh&9I=RzRW^!bQX^ z$nw`~Kr#FBJyOh00Xyzk6G#^W=(senFB%VNfZW;*^;F|A5Em(mm%Rr^aR)ex_oxJb zJOa*^Eh-)$M}czY6sYhP6$h~NHJ|PtD6>b!f`fqp6zQgB|Ng%e21j}eBp^W^M8tcK ziaE%<7FlT2qbh>M{(tCf0NCxUAQylR83YCM@fMX2prj2sl<NzW37R<o$%1oscL2-x zONtkpA2K?0oNGPl(6L3u3}k1kmL=%mFJ!eIEUhQ`rylB<qGAeGApus=4Y8xMMWu&> z0d!Cphyq6|XgaAyr2`@grlzQX+yI$WYEd!ZU|{IzQPBq*_RIog9l|gLPOxDh^_?v$ zDi9t>5Yof|vAQAR5CJ3=-92FS-!Ha;x&a+iRP?|$%(esB07*>L3MyT&$=|^yV-G4F zu!^T(6$syf!U%M_9tQ&hQ9-2v2`Y84VVltm>wp9fG^lzYJdhwHWI!yCU7WB31d&vL zj_KoMU_b<w8rTL|C+Y{4D%j)=V3V;2l?qtJJg^G#gGvDsRPtcMa?lKeh6PoEN)Bwp zFIVaZl`PogUN?}**n>(2tfCsMg8ZP8fCQB|*f38t!zv)@2pUv15FSVnlBz(g?iLl0 zI79%%>TXd1i}!%V5vfWHY(ttS$V1f4fTCcN4}nd_9#kS=6&t`R$PX$3NKo;E4XZ;l zjGC#64{XB=AL<7cFWBU2Uy#YzgNg^NA``5F{GbB08#;Pa*ujRGqZvlcpkf2turL7R zA?l_oR<Ow?fgqEy2Nergg%Vf=`9Z}1Nmc*ZK`ogNV2>aSqh?V31KSW40`d@bgX%BX z<hNjxu?N*3u!`GY6$syvS_A%I2R9bJgAH4WW*9Yt>KoVw;Rxyn)mN~|%fKdM52`O< z6;r?}$PcOykf3@GHY^FvFlq+XJFpFBqNyKLZ^0(V$AC=69#n6@Dty5z$PcO)kf3@F zHcT4LFlq+XGq4S<@gNUTHv>Ken=Fw4G8ubNJprp=1FIlEs2)Iq>OR=8D`1Zx45MaH z-2>Z@lnn9^b%W|I*yOKZld%WY9k7bWU=;}8ky=*WfCSZbuwfg~3<EciTEHElPDuL+ z+G>I{qoC5D))Yhl#Dc2mMsHVL1KV&ejru`#6>PFrI>=<~L3IVJLISLU{Gb92LUi<~ zoCh2B4D1nvVbn}j=fF0+&H#Ccx~b|c*kt!ikjdDC>I_(gDOd%<cciAO6OdGO9Bddf znqkxos$*aqa&tf)qHa(f1)I!}3o;pdP#poQcn7wd{Gd7j399{I!}g#VM$Mqw2e!eh z0OTR+2Gw4$$#=mfV-Ko5U=^pqD##D29gv{f4mNBinqknwh$?-+ZD1R=6;nT`wt`I- zDgl{{J*c*TRWN{6kRMbVAVIYrY}h%lM-YZlGgYkv+i<xQ<RR*&s<mK~^~*peV-Kn| zU=^}p6$syvT2`%q1l4k|VXwg+K^R8OpjrmDA+!?YA?gOzQn1M{z$Rl4swH3**T5<e zz9TiL7C?e(KG?7&XogWUsOEufFsh|~P|XFKd=zXl_Mn;rR<RkZg8ZNY&1iJ=s7wbN z)`(^pHOs1LU>oK&P(P@qf=&JfHW_<RO#!QT0aihNP)&fOs(!Fx+t3W7W~%A~+pxQd z`a#tTHd(wGWHR=k>H(`@1*;%Gs5&4))ebi7GT0*s!>AclZD1R0+dv+oZdug|Hu(bB zWb8rJ0#>mPtODUXQp>6aNKn;-4eLQOjG94J2ev_~i~2!T3pRNz*ktTMRRdNr8?1u- zpsIibRXNzOOf<u&Syq*SZD{MIeo&QyO@0J68GBHbfK^-os~|t93Lrt14>oKbnqkxo zsywg_yC+aTsB*z3i%$fZj6JAwz$#e5D##D23`kI=gAKb3_6Wi-YG%MRunjjRfjmUr zvMLp9vdLtS$=HJ`1*}2|tODUXQp+mPT9=L<m3XjWAHW_#7)H&YiUZpaH4Wq;>IPLT z*yOihld%U?3|Pf&unL6lNDZn8NU9148@3Y7Flq)>7}y5$S=0}zP_W6Tz$Rl4st~Y> z9bgsY2UP$hsQkf(wV@eC&9cf5Y{TNY)DJ3Ou*v_yCSwmOAFztIU=`#Cl?NoK+`)$J zMl+0>smcv(!-4tK4=Pu%$ubK-CSwmO7qAL0unO{n$^jBo_F%(qfIWgRjG94Z2e!d! zF~~#IEvsz7CSL`cj6JA8E2#Nf4}(=8d`D_oWdR8)bFg(2(F~(zP?>>kkY7&ypfUxU zya{YF_MkEWt5^V5L4Hty7N~>PSAh-7Lo<w;WtAS-hVE6=4=P=-$<M(iV-G4Fu!^f- z732q%1|(IfgAH4ZW*9Y7l^WQF6>F#;RH|T;S=NF~#vW8EU=^Rhc9S1e3Xq_Z2OD+( z%`j>Pl^oaxtqmX#QMas;1)IDVY%=zsk^!q&4OT&ZP)R_7N*ruhC7NN>3@S0O4eVQ} zA5@}XlV^cV#vW86U=<x;732q%03@jR!G?vQ8Ai>riVti<@pkG56))K2^I(&)2Ne%k z#a^%q@`H*45>)J9!@AK7qh_jN1KaR*7xjaR6>M_HZji~?g9@~rn!mLStb+WYVt@qI ze>TwiDi<`vs2NoMz&5Pg2l5bg%c{R%lO6YiOvWBmf50mA!79iPD$s8AjvkfoV8i}` zJ%TXo0%Q$0bRO^uga;CYEKvZlx?5C0;t&B4tGh)7EZzeaN2~??2DYK#D9A(94XUqT zlh1%n#vW8(z$$iuRUmvvY7_JW8+a+&d$3_0XogWURlNh-&~=>pLG>1F@-wi>*n{c~ zSj82v3i5;M1th4RgAH4RW*9Yt>KWJup3~G1s;6L+=Yvhg9#l`jDtf^x$PcOqkf6E` zHY^&=Flq+XJ+KW&&rv_9?t)E@I1e%zdr;j0t8fFWAU~*XK!WNz*f0?^!>HL<xCXYt z@Dj*F)Ge#7f=%uPn~XiEu7FikgH@0pR2LvYbslV(Cz@e1AO$(JSf2yofdnC?IEV#0 zl!^nkaRf;P=+;6G<c%Zez&8B2N&TQY3pP3A7RY4mK?S-Ci@((gtb+WYIspl)<6!Fq z&<vwy20R9~LE;X`L)1-GN5LkSfKA38R7b!nlE5m+4=T`AV;wyz`@x18pczKZ47d+$ zgYSLn2i0D%$t%GoV-Ko5U=`EBD##D29gtMD9c)-CnqkyTRolQeoPR|9pxO#HIp#6Q zWb8q;1+2mgtb+WY+5icv^<cv!&<vwyP^|;okn#-VA><6$0N$wy*?@}dQ4a7X$<~Vw z{8NvCHb|}o>;Dhc|1#$2|NqdPmY{9jFJB!);U9-A_619wKlcB>M=$H#?Ys=2J(?g3 zkGH7YfNsn@-lB2`!F+&VK0z>FAee6u%o+~RPHqN<ZeAsj(cLX7Afvkl3@>)}s9XT; z-GWf9Ct-eA1NOsv@Ck#j8M;|NZ3FEL?t$nF<S@L@*`jg+q!B{39)M|F4c52~uJIs> zMgg$K0}zcM3ZZcoSYs_z<7);8Jl>+R1L2Q7P-Yh-P*fOO4)C`|fwgo)6haO%?NM0? zHq9SdsuQ~VtVIQ646eo1$6Hhiptc-uQ7M5kyC8ZY78WsqQbspKA<V)RU<=ccr8-+w z3?M!Q8PnOKVgliT1R+%@h;_V0MFVQf@fH;wD6<Qq7h+)-Gswc@Eh?aGt)Q4Z4%ylY zVnXv%cMsSU@Ij9tRo#$O)!hR&5iAVd0t=!*js|ViT@H4%HQ4yq3@>jV0jEq*dIKlN zddMk>pa=l-XMlDR_p-`uMM<6upiTxIqKIIwKrq)Jm>UqxEeK`>Jb5;60VOk(<T(Qr zXgw+*3XwdQfgOGxytx~cJcGgdP?P5bkj54j5QRu(OTika!8IzOXoMtBXjuZH5E_@T zF))-SLJ}o}Jl>+xfbc^Ll-bpy0tygN#Fg5E5BvmGc%Ya%4q46*VnP$EGQ<RE!hG2X zIpGzg9TeQJ=Rs`%bGAVfCW!O0;V-zH*t!`dA|jwpINqWXgJ32gm?;Qm27;M`V3xom z;@2jOhzJ0MXO9YqLPW%3aCjmj;uKgPYD9Q|G`6UKC`5QJ0&7G>#7q>8kce=AXarFR zjSI0wgayJ6Hc)0)iwY<}kRk$<+d!dz9Fh}3OlT%nZczc50L`#3Gyj0|0Z2P2xWN$t zk_U4@5dq4uAkNE-KmY%CL-HjzBu{oic+kz~-96wP_T7-3?I`DjsX#mjrXZQOdkQ$S zVyJqV{OA9F!vh}OydmrP89)aGfcK|&Lry2~Xgm~<3p!f?ejGtT9s>jDjA{mt&Mhi4 zKsPxb^XQzSG6BRo<hTQL_`-1(l`;kf2A^(-uuo@*3deC56@dWIQ2;I~5->@SZjhwH zaTgU04+aK?4Gb^#fBp+TErNI3241*pJR1*!a<LESK#6lN-hBA`|K;Wb;7|meFaQoK z*@Gy2<a&<@A_c06K(TVXMdb=K7LP;f7pUkNs3>Ug2o!uhV0GUwDxPS5z}Ru9^+3lI zm40x-N{5yFAcH`~-NB9?l|Hak3``1Ce}Sq2NXG_LM<Q*u2l)oHuLdMJMFnIr>|BBl zNIRq*Y?v0BVW48Fy9KPivqfbN$dN7JvI|n+L#09D5CISibb>F`W5-)mra+mXLxDls zd%&ik`mqgcH!G~N0T}}GV=Gwd7uZ?HTU0=W7&P!e`}#plM2!I|8o^ZqNEM>S0J#7x z4AKET8USQGsK#gk8xO1AUtZY{j#E%bg5!7@q{e{pk3&KNEY%H>0#*7TR~&CqIRf=5 z_By`1MFpg`y9cZe)&I?)GN2lKPCCdCkmEq{+XNCW1Q)uXfQDKF3LOx$8<Lc|d%!IU zum?e^pt05618x?8g+V$%JzGxj@eAN1EgC^a8-fb{m%b2>z-q<U6QS-0`SLiVaRFk! zEc*{G8$fn|uAS#(U;te^4~kM~!svmtpdhIWCD$W40P5WCDPZSesCt?E9~=Xqs07=} z0dXCS4^2>DskeW@4gy6scZ&)rayuaj1RBS93M-Ieges8X-BZ9R6~#dyRWD!s1s7Jm zJ>c3Ac4*2ceu1WrJO+kO{DLhi85|&n0E{6BsRli|MQc_emv8U_4OG6t3$zMQF$ONs z3P7wwKA<ujd=hexN(LL~;FN<HWgJKks2D>j<BFgKAVgD$iUO#NQ-G9lx8Fg_xJ#>X zm2n(z{{DaYYY#Z|;bmOPUKBoZ8Rxn8|9_7ipoGZKP<8;+yhjNJ{_vA&{CWrZryu0s zcCm8`xK&QL9E7Or-U2BHuPJ_Ne#F>uvGr0%k4ic?r(!J!)4)=cmV*h9axflj*ua#7 zabUZ#mV>ciDVmjoF<|52<>2?-;CP3XdoSr!4n~7YCCqX#3M5RMaxfBP^k_K<D#d%i zT?;bG!3nT(FacH$LKsNp;8McnU;wD}1DAsyAQreB1hG6idsG~7l!G8WILkqZCe(89 z@=ItrcxoB0a**Nq-~TUVcY@PDyc}#t<s+AaIXl69m$fTU`Yr;9wtxtNDS=?hAef+* z8mJuY=8XUuLd0l>Jvg_zf*VDk?xF@*A8IcJly{*`1Vm@U4y=&}su5KEgYa=k(*)!O zq-IQ4iwejWpbiIr>q~GC6WWG>wNGrprrkr9Le#*Zh79PGEKt&dRLhVSBB;8Cb_Ago zB6T%Ek`N0wf!%l<GEM<i2-+JCVj^06pbjgzi394CB3gVP6T!kD9nfQYK#m5r_-w$A zP6iwQn&G9z4sc9^d<t$<e1Nn!Kut9;pA&RkSug9oWhl|hfQUU71d{{7<Uue&EpM=^ zc`HGNphhpK)dg)5Av&SfU?(E_lAd6FsL=~*hC!Rui0HKfm1gLjdQj^IWIwd&1Y&lz zsDOL`3TbGk9u)8(nd6X#6o?6pY-Pxh12ke^Izqw+q#YDK;Jzft1~3QImjp!#i1X3` za`6NxX1F0k1<-*3XoTQto*_kBH>BOwJw*i+sVL1ekgAu~|G>?&ZeE3j$Yl(!zT^z# zzN7)DpaB;-8Xy*CUlJsYQNF<Xk|5n6NpL$$1JceaehMvLvKHYgUlu(2`~T&Ot>9D# zFJFSTq41H*7juXdRr-?Z;Dm*>4W$N_qO=XA0BJ+XgAE&)Hk2ILZmex6S+Eq%+E6lJ z<Kb;6PDmVs%3*MRpi>)48dL^gwxOgz!nA2aNrH?XZ9{=7I<nhPD`0IXlui`5cL^#` zJ-S6b<{+1E*!z+KpkfSMpmBg$;5HP91!_Yv;AlgE^ni*ntbIv{Ce$`m{{v_l*ESbd z8Mo)|-~TW5H=&eiFK43ik;}L`h!j=Ixc{u6)o)nKxPM?NO3Sz(tl;%f-@%3rOd0nL zY&X_2?kiY|W@X$Lu<`IRE?^@#j_F;-eP(4~D8($}K7oX3Q^tJ+89iFYQB=llAXvub zOeb8%y#QV12`=LvfLP!%4#WbLaW`18kC%b;;4I@Hno!HQ)wiK#+@cw{%D5Xh{{DYi zwho;B;qABwsC?uy?kGfxDrMYta4y7J#$5wTQCh}bfRu6P!G;Y?8FvnBH`X%lELe(W zW!xFC@$fQk`dV-t)4Pm24JvUk%eYe@VcL{&CqYJ!mT?r7aXSc>aT6vHF5?b>N;YsA zw*$limvJB#sEpfyql^RT!CA&ZG@+Jp$FD)lxP6mxm2qD#|NZ}R?<#QmhnI2ct5NvK zWgHJgiYjH?dT=hpTE?vdOHo?Jt$>tq%fW^XOc}QfY&X_2ZYfxbW@X$Gu<`IR?%qmp zoYK3DTMR03Fw3|_AYs~+aSK64kCt&1m2n3MmT_Bp372s*KqVWvjGF*rfy+1$3slB+ z;3(rjdT^F;5KX9M+~bSTGVWF%t};&G{NMjCS(by-KfH{~L**lvalsHNs+4i<;9Q8c zjB5i+QCh||K+3p!uwesJ#?^uC##+YJf~9Cy#?^q0hnI1x%fN9=?=r3$RN`QkaaAB; z+LUpXAfrdiIEu=+69mh+8y$qpxB^hg1}@_=KrC<>2V#NBxC9(!97qq&G7h2%wT%0J z23p2_>%>*Y>74re|E2e0aQcUraa&RO$YtCth!j=IxOi|b#9GG1fu$%d<02qsTsYXU zfhps{z;<IT<3hnwG%Mpmz{bPNxSU1cIHq?Q7Yr(KFw3|gkT7k^xImE6qh%aLW!wdV zW!#@;!eyKXsAL0|aSk9BxQqj_KxLc-jxr9U2WJ@v(S%yYNuPk0aYC)Q$~cdsfB(On zG#{M);bq((R6cSU_i#Ra*RPp_b1K$y&<rd^X*p;BDF^kzh7C+Ps0X$iYdNS3mZDiX zr~@`0UJmxp1E&LemxJ1%QVFvh)B*|9rX17+89iDKQdAD!fR%%gWorU0Di8)@{o0>8 z!sVa>sPqGugAyPXxEutrK;@tSj&cyB2WL45(S%wK3Ll1+gIo=`%0Y(%fB(PSIvbq+ z;pL$G927osImj{xyngL%Bgz6N(0VA)BB0|fDkQ95n*lNeb%7J84cU#p8;>8HTM_Hm zGQj#!7dV0PF2?#bKCnh=tzY8>n>NJOuknB#4PU=@VHP-MLGcA%WrAb<np^`)^n#Xe zL8F(1^=mupF*Z?QUBAW+b|PZ^S|?Z^YV=}Vzs3bB%|__@wFOnkWel$MYY&juuQ7lM z8gP;GgN1>i6Lb9<NEoAhfvsNy=>|z6uV34|4_dygs>W5mJlOsB|I3CM;8X`MUtXc| zk;|9!5GhbO08MeAEleP0v#og(F9Re0qys99pc|DTr3CgJQe7?J9R#2ii~OyBVJl8S zWdt!ho<M6qK^tnmvw+ryoI}$NO^TpBQMmRvVc*i!1=$J(aTF(bMJWxoD18GvdKcKy zuNhu)LBbQ{b#R9N3R#c|TCfTVRHOyGjkZ@Bco`ZP7#R4c!-E*44!XeysvNX?7{u&? ztO5r4rqqbQrXz01?qui&pqF<cZU7YqAUC}}3=J<3=jBVtI#AFGQyOm5c>-Gpnov$m zKKg*1k6wUs4>%t^0I@Lh5l9%6RZ!MQ!SWGEH%JnkkD&W)vUfxCQBno2d^BUn-~TU@ zr=jMfv#5OJe6$H7g(V+h&oTJ+6y66XDXe{wdtfO_`yw}3z-y$ggAE&)zQ{GO-B|k~ zSHV&=>x*0g8xQY`v`huZF+9W4X&vZg7OZQeE`fw;(-*l2GJ3QxLQ!Aj2dpoGa~)_$ z5pwy4eI4itP%#EB&<=oD;Jyfm1?r3JV8Omd3Zw^AjG>fquswwkO{jg5_HEEIuC5qY z8MkHg-~TUHO#-KXcw0eaG72BLjQcr>zGE`m!8sLcIk*ihMQJ&>0a6aG2OBmp<={H7 z-B`=PwO}clm4j=*#>30O<rBg2PVaJXHK<g=9FtiE5~fW#xDsUaXgNqxImnO?+EOhD z8;Zd)Cex8ixEx#nD*eFa;0zE8Tn>U*pmJ~mj&cyB2WL45(S%wK)^CKCgJpTR%E2}3 z{{DY?whx^C;pL!tKMEhY9F*w?kI6(9pmf?neSA<uowP9-7LXxCjLGzab1Py@=1M-O zvya+o2jyLiF_}KFMrw`8^ny(rVq-ErU`NBpWM1@wV-^%&;2sc;F`2GBl;|aIOhy%C z2x|0#x?vc53cJBhM2yLN&BcsfXh#@CA@&q@fl9LxIwqr&iCo6u8k6D3M>?OT0aVa{ zi<}A&3$rf?62>TBV0}rDZjdCnFA3dKD8Ck3zKCVvDqnn7{r&%PMmIRs!OIt>9uz)u z`SKj16iZ*S*>-ageaB?V!3lGSjmeaO9St9oQG$dgsCWctczk0r0fi%POy*50G5JUW zIUi+!at}BkC4g9%`3NKo$|@-R6<9t3=>|!H^AU7R=K4x#K023%D<82e|NH-Cbtg)` zdHEETkDQNAL8P$cBhtrY;=xG@YhNS|EJbNwBm&YG2?rZCFny6Qu-#buBB5X@n)O9O zz{bP-B6B*xaSYF}bQ+Th2GymQeUTuLFm3uGfgqzt`yv$eMHFCt5u9T(B8kZ58}>07 z4^S}%F3=o6EO1{0!~*q2EO7KiKzcyM7)luj8<T-(LhXxuUIH!SUM1ox;}jPC{r~b% zD>(hb+X^~uD178HPOy!>V>0I8oQky^Gy_XfS`HdO%0YdwVFObR>VfUXS`O-hrD#?T z>VS=hmxKFT!0}G+a!?yoDq)s`S|DNCl!KZeqesg@ipoI^SUCt;9)o2}MkI!CIj8_C z{lMj*1c(JL2SF@QIVgam90cjXSq?%pp_YTM=R?cEM=`j{L5aD4|G#|N2u}a-a?rmC zg^yegnlypOWRAw6blO3EeA35c3P6UScG^L0NX&II;M|HBlW_p+BVtU353G?|V=}y8 z(}viX3=i1R@G%(%NKAv`3*1G+F(&gZ1|@pQ8<Uv`G6Xexv5v`bgPn*NlSu^YLycb0 zU<}4NPh6nVY=n-<><C9LV{nbh7$Em089)UMxXAgzjD4L9GiXi>$C<$(-Jrq;+?Rxo z$#l<#mM={axXPCuGyeX6xuFiFJb5WqkHSYTU;aasg31ACfr3~kqn}LQF`4hoLvfu9 z*wOGY87oM5!kaeu#$?VUj=V9MiV$M*kppr*dI8Ek;C%D|#KIht0SSY$3QB(kHYNko z4Uz=sBj}in^9*P{vI@nOj|!&#{r_@u4NAUw`4^RsoR1zsq_E^8(#K@(gOe22zQ{eW z6s3KU8_bY(GGN07rY~|0Y&X`v$W^cu&H5r&z{bP-B3r7#aSYF}bQ+Vn%#3}V3`m$Z zeUXbGqeuH96!k?sV0{ssV=@c;k;^yiV=^Z|#Td9iI{;#V`ywC~s4oJ#SHPze^XzSq z9#AocQpUl?WFVSQ`y%m^p=DfH0Io8wXTsnAFK<<V(?7f~;!=siM=s+uE9pBXvmKmM zv6h3|z*3Z!gBu{_;CirO15*yJ1KW+Y99#>QqFFh(25daM9K2o*j(2*OgR4QM66To9 zDv&U3%E6T&qesg@ips$NSUHGuOlE->;c{>RsPqGugEK%Za5)HKfy%)N;Jy)NISA5& zvmAtILM;cw`=I5ZpEs^@u%-L&|CfJD!08`e4yKo)@R7?wpHlFcjG-?|rybPChjyVz z*t>Mc2ecpp<*Fu78xmtorXQSJ5o0oI!1_=-?V!AixlRVGky>Liy<pRZ*qBTY*wOGY z83{;CgW?O{m`sv4O7xO9CiB+|BYLrp$#jFAh!~SO3)Y7ky;#R&K$n_fT&M*)85^1u zLATI=n8WexZ5KD>G6vU}OayXYvH?`kfQy_85DT*}2@=LAUtoPnkZzD9xGxDEllk5W zEnnWb;VNHL+W-E4d7==dJb7tUgu+KIU&M>R<;z14lmrM$ub`MDZ5>_>$Pm;)L9FFV zIXD3#$`>E7KGXz=wR|Z9You2BQVKS0h?OrTU`NBtm&XO*m<7cbzVhXh8%p$&SH8>z z8G;(USj(4UuoDsGOD<R+YV=|)Uy4Ajr4c&j`^J%&@+AYge8~WnD&X=Z0mQ;AUqHg3 zA_S!$2P<Ddx<Qi2<;(O|X!+9TgsXfx(gYf-$^)l5c>CoqDj&Igc?6LHl>^WM1u^EU z?nK`)-*|As9AfM6;=qoEkNL_%!V^?Hf-^k6G2bH&BX7)ijU6%hr~)}31%Pr7I3Ia{ zSeW?;Bn-+bSo0A`H%JnkkDz0|{!P$)<Ytd6AJx=@&Q{Jr%|{PV`N;X`C`1ZNJ|cb0 z*BzXsu#R=Ofu$%N>u`XKb=ZRq8<?>UJFwkY$2x4mQZyUuumKwnAM2Q&4US`YhNaV( zFX)C~Ea%5tfvQ;AjCELoj2<29plGb40XEiwbIkXOC35+OeazPYRE&WOGz}07Jk|kX zfyO!%aEx_;^ni*nlrj!B<_pn;I@Xb03oYZ4tZ<caGpaymD`$YyKfJA=o{7RoF5`GI z={x2t56-Dr%RxD?6s6^$1f(1k2OBmp<)9eYZmi{?C|HVS<)8@Icz8LuD;*r~^ezX5 zL8VeP#=WybAYs~+gMuKVN6SHq%E1m;If!%2_lgPOa*zX5`hm+q1`rEe4uV*qa_|Qe zXgv((m@h~V&T<f<3AG$du7H+<QKq=c!3m{*|G#{Y0#5(%a?mRkg^yeg>ZgLoWNgh* zI_;o7KC}x(!kF(1GmJq&P#Y3s%=bGJXgv&KOlAjIA8Mx^ly@=4e7}J;Qfo}+E7-Il zHYW20>}dFy%<p7y%!1+z+(pB&4lmCXC3?vlli>szLd2NNXRs3yV=^~QK*<6%da;hl zd}3l?7^!2v6$Z#<46ZTX3CMlP7fcKco!}zp0f>d!mjnr8lrOL`8IW#}B)BgL9rI-_ zftD}73~-e%28DnBzg(RNPId6|MKlS8k6ga|f+z)*1JD8mF(xzFfWBig_rVEsh>gkI z13MZ%CSwW-Pf+m)&hYrgWDN92-k6MqE;0FN0dhXN0Lne!d~^cD!puh?VNg~<>94@@ z5lA;k5}c2qV=}u7p!sN%F0Op^BKPnAmwoXl`R3&hR6cS(x(kuQl8;CqlQ|AfQds*U z$G}pQ_C*dbf!E>f2OBmpeUW`&yRr5~_JXBo))(0WHXhy=Ssw?EV|a$8)0oU|P+f}I z7uf|8rcGaDC&=j0z6eEqkrlAM2+lE?1P$c!4f~kP22e2uF3?thSm3@0hz074EWpth z0qFr1V<=@DY)l5C3AHbBJsVoaozuWo#<67n{r~c6G&udk+Y0tED178HP9=uEV>0u> zITdR;I1emEX*oCpQVvcB8#XZI;54w^Sj)kwU@4lFgHyo9!^^=-QQ&x|cR4s2R4QSX zgOfnQv?&KCf{Y$52PrBCH^9n4oMSQx%7n|o4p8X_E(aSxEO0ppVu8xR3LNDiNDt0( z5TXgS96X-}EeDS&<0=RLB>(;Y@<%v0{lm+_qzDu~ayjT80UncCsfyBR2lerxT__U9 zWI{lOpmy3pZAgqUnR0M$MU2U)fc2qv+Ch02V@#$DtdUw{GNoYChS-=)3E0u_F&SY< zOoQSJ+(pANCUZ*}C3?vllPLumf*QTp*U5mLh!~S`1?xkNUaVs>MWE7bgsziekw-3L zaE;0AK<-OsfC?ILk&^&oVfH0K!WiWXtS<@D4Uz=+C81+7`AN|7B~2bz`7$T|@Bf#F zLr}_-m%5=SeB|;)2qFb42cQKCVoc`09DT=R;=u`Xh>gj_fgKGWlktTFJ*apDXLx*L zGIL}{-k8i0X=3ux3FLef0Lne!eB=RQVdf){Fes~_^jBc{2&5Y%3C>5*F&XuEXg-pY z!Ih6fV*dVrxhx1J-@FtEM&TppqpuL9Sn?6+V>0gGB!#sv;s%zYv@hZS>5JHd4I7xg zh#lB&tbGw%uoTVuA~s;-;eC<gf#5iWXIMIo$ykHxQp~=H6-byieGyBL(W8A4iuxiK zV0{ssV=`~VkjpphV=@MyVhmiMX@FSZz6gi~>We7g=!<~#fQm7cG7dH-1JQ)q7jce) zmT^|%xXQSK@W20GzV!pAe|TRc+#iLHT*le>(|1fp9-LFLmV<I&DN4&h2}n684mNCH z%0V%(-B`;(QLq%v%0UsZ@$ho+l`lBn>0J&AgGwdLa!?2)Oq+605M=adIY?1Ccmq}r z;vAECBS^R$<N%d^;Bt@w!~&OtAQq?`{K1H0oea2qL_1FgRt`cmp_YTzq0n;BNC;Os zm=W~%|4RXHaQcUrgH@<}<Z>|H8$2c>DuU8!2lerxT__U9WDW>}7DN!<8~L3Pb)C!v zus+mIJ1FmBjLCchYoykg%vZ2!Lu^du3)s={F&S+ya7=^Z3*1G+F(%_Cgc7~vjmbO| z#E4$3V=|w?PDG5!Yy|5=jb5x{GM^Yn?mC$XyvSt?t}&Sh$bHEdjPP|bAQom{@&UNq zK`UQieMyjRP$LZ7mxPYV+zx=2FPC_6l`kBAfB(O{?G8?L@bbmg1BH)VzGy+DsM43b z4^CKE+fetwQk1r#ZZLx9_pgHu8<;lKHL%@S+fY}*QZ#ErT>%>pZ$tfY1IH<-90r#x zbm~i929*JrZKz8iVcN8zE`p36Z9`GihWY?&L*eX8?%+T!->~;3Pk@Rsa2x6Xhy`v# zfmon6)DCc2hPl=lqz6=tVeLyoG@-VkzI#K<xOW`5$~YCzzyDt<xq#C@yo~EX<s+AI zg%ByKlyTd^xe#j^2g;iKt(2B=8z5!edaykMQ^u_W+l{r1TML$=SsAwmY&<9+Uo*UB zc<Jm6j$?Y4ajQWk4rUp*3M5RMGHxZv=+QEcqB8CW!7}a+GvP9B0jOjHmvJ*dEN~eI zVu8xI2{_6)kRF_697Gdp87J%xE#tUYaFuZmE`R^O%ya;!e|Q;p8I_M*#_ezb_Y+$s zP&yKzeh2COL<5i^sFS;(vJ+$Oz8{=h)tML=K)JP>l>w{|wIcz_yBPh%KCs4j;H!2) zS|Rv2<mOtadyjB}7z_+uEh->ifbO&9Z#@mx(hX4vyAHS)Y#OK{0&jr=U5(q>q5`_8 zw!1|IWK3s^N)IS$K?Iv0FhZq|x2QBgZ8_ee(gJ06L6ku(1T7{4n+j40v#<wjVJFz% zpkU%;VCZa7@qkzXGN!Xd#RtLz2|_Fcv5vQ>I6!SV-lF0HWp+XILM)7B28CufL?O(= zZm@+x$WomxDhd!QK*n^osHi}AAVG+QAlC5~l^Yz8a6I0katF%ng6M@<sKx@a@OX>L z1*q)t7L_YdW_ODUDD8FkfKA~%(Fsx2-J<dZWLpo|M6fVOM>j+x$kB&7rl@p*9eo|_ z=+_J{7ukW+GAJ&=?NvU=Wy7G@0`t}XffMi*QIrHsUQak5WC$o`I2ahZ1q?5C_NZX( z33q~>i0BF1gY}^%V5~ji4p4bb+tms2f5G7e3II^}yq<@28T0FH(4-5Jc^UT?9CE8r zhloBv;}BFxAecW8%s&Wb36$B*YYH+1HRLKFQ4OM6Pde~VJ?7A{MWr1r<XFM_P(!W& zq_IT>L?P}WYr__D0nnUqyhSAh%Is=U0r>!wMoaC%*I0ux5GW}hhh#1g6XZ}%1_tF8 z6_5$gJos|jA8^Qlw1dJ29C9FeFb5QJpgaiTyqx+69C8hy@vTnCxY+R)l?Tw!INqZ2 z1i^fPV7@^xW1!4#-rt}h9FT($Y0d%^06i)o3K4RxXd!nRtPeHh3_u!NR6rCW<XW(W zoCY+wj<=}jK$%?-A0UMss3ZUd*KtTG0b)W6808ifkO|Pj<mK7l;E)3a04RLGAqSEN zb3h>nDoj9}muG(e{|~yFnuCFX8<Oo2Wdpb@=z)|RQ@|xf^QZrc7n?u+R|J(HU}=aR zXu;Aw1zeC|sCs$)_y7Nf2RyoYwf^ui_;mNE{NQ9@@aV=h`od6vbc0(3sQLz1<OLuW z=I9GZ7^BvPjlO_%gCxPDFAfY03>z3;#M(n^?T|k_aMyS?9<1O14IiKP=sfqL%jWO@ zm-j5ep$IBD!C~cLg~A7ANKOU@u#_%D3RJs-Vg*$6LSwPn_U&&T21fo#@GIXzim=b` zceSX1BtbFG-})DP!#uRg?QBu00i{O>)p~$`%0d2Z2RmC-KyL1aP@wYqU`LNiJ~(00 zW<?AexZXYvsac^u1y#u)CZdu79kT|mO`vr*w2}cGxds*n>F9>k<se6cDw#a6qql?o z{F>oq1|;Y~jSg`5;$9KcY}@;bharo9Iy`(qHPP`Fa76)f*6|jVJ<z!5g0we4;ah41 zE{i~w0#wl)s3K^Hb3;t%Y*7KJdHDcR%YkYikb_<yMk>W$zWE2vL?GXCL%aoTm_R+* zjXM(|1x0s{3Mk;Zr>KAe3MCVPRK0xu51NVI{vak32_R>p1W?uiXQBuY3o{deghBZP zrF##{L?GQDNpL2DE{Bn@fo3B9pSUuStL5MSFOQg`WSW<H7ASn=Oe74E0%ZYcoWPcq zVb3n0atl<z_Nai=eZQ!9qWJ-1$D!5(9aB`o!RZNW_dX0PMQQgw0Mfnp2OBmp-FrW< z-B`Q#zF;Yub?<$^#>2b!PtCw_3eT-{8p8AjRiv2RdoPeMZMyfKAfrdS_mE77x3GHg z7hG8N_JDh4u&VwOzd(~i0rV<qoI{wRUy#c;>_eCipkfSMpjm)e;O;$$1?t`#;OO3i z^ni*nlrj!B4GhtQ+Pyb1hn8{LUvQOii6(#lzvMOnr+;`up%j&mT*gH~q^MHH>4S42 z)-p~HEJbM<rvWMB)WL=gOc|#Jwi|01rwW#$SsAASHXdHa=@^6KnBHZaGN{DCEaQ|w z!n7&l6hTIhmT?r7aUKNAILr5h%Qy*8$p$Xt1VAis83$s4$~X=jWgJKk&N2?73AKz1 zFoKqG?(cDxakct?|Gx|}0H=R=8Mg<Ok6gwrGywO;tv>QFcp!S?paCOjvyOzNE>}PB zFnFN$#zAE##t<euIJY9UA1wvzL+y=&@-DP>kLZnqdNlm4)LQDo3U<a2Tk66Bb~Jpc zORhdRra|!q>gv42vDC%;JxcU~#<!r+%L4659dA+LKrnd_Oi-s4q_&&)+dGWt1$D8y zA>(|A!4_t)6A=S^$H4kfqZiaq!?>D_2~?WVc7V?j(lrDH04Th`gDs%85tsuSYyk~9 zgE%i8ApJzp2po5dN)2SV8N};`@bL5#L5dNoKqhui0grT}^b<j<URuNYiFaQimoc~o zTLO^#i9Z-%OI<#ISeX4pkT6F10_!J&bb}<p{Y2<emk+wo^5yv}T;+?b_TT?6Wp%-+ z4qm>rqw<l<mmFPi`QpZeGQxZVk-qLAm=6%lCkW;X1oI7o2^wL6B+Ju`7%Q-_mOAel zKnv_ZTe3hiAKk38!TL~>CDu~s9atk`#w80yqk!RsPRLLNe1-%zUi%iT5zCAVDBFM> zk2>4|3KY<A2hxlSNFiv{1vFIj25cI9#swq=8dCz*eUMQT(6|$X2TG>R;29SX>o{aM z7;0e&Gz`01R6vpt3m?O#RUqTW{8K>|z6M)(16isQGCtbfq5?9evqi-OVj*bI6k;KW zbsRFl3AGSuh!i9VvG6x|hN2sy5az>IU<*GWOLexWtbmNAfsE;FQCS1wfdnBIf>_5} zR2D$TgpaqVEP*n+AbKGd3bR6ITxLLJkGH7Ifie+;VIWh$qh26Yh#41<iC|%nj&6uX zkfT9^VK2dsJ_4T5dCl;WT^pP=Kye8!MxZk;px6TQp))RF|4|C$1<*(VxgNn>fncsd zFgGBWTM$e{F}?dAMllU8ZF|6jV~Aq<1=!)|p`&=+tleOJsQCd@G<LUuhq@58@N=+6 zMEM?tq7jlGK*MX@kda4(#%ByzmNJ5hM`%g`&EkQWT`el000BiDbQ%JbQb97uA!RR! z*$o-<Q-(|@LUY1P0muXnNINLF!R0&11~3OyzJn4ti1U&kGJ*5<FG@s2AVM?-!Aw9f zQxMDy1TzQ0L`>@#fs96N4uNJwx_iJACy0o63Jy<1L@<H%p+*E~9;O>IWr+ySCt!_; zh`9I%6!S2Rkca?HyFlk15E>t2iwMw+2`FHXx2V`az0=jA0tyhMhydkas3K6-2Qj-L z#fx$ac+Le{LcII|nbiPk2L(4cB0x5PIiQGuO>w;Z{u^9Fw16CsXo2p4=1ou$iC`W; zFpnUZClJgt2qt2dXytEExIm_wpfi)8*_rMh@N6z3A|8Rm6A=-WV12Og<Nz1+pvjnS z$OJDUJRgEJA|k>AMI$64Kr_9dK~N3`286~3*dk&B!Vg=Z%&ry{P=Fvs1gO{ph5m6! zkqBZ!OH<_*6_5$glJn(*U*G}>q#Ydgpeap|JeUKD2vC^^;=H{73p_FoD*Ct~WgKWg z9a7$c3qIT<<6vosp6(uSS=>DZTn?kOctEON-ueX|Z0hFy{E(OyPXcm_=LV>q1MXy9 z0I@JzJRo6EqXuQv2-e~O=>|!HTRahv>7{=v&=$|vhqzii+Dd=_zYJ3aha$Yia{!f( z+~QdZkpi_rK(T@}z0_#y|BHuV0Y3u+9cP$8$(g^EHZx3|w3=Z8IhVf`zUTU`3OMvZ ztut^+#XZB+X#3^|4}-%T1_oMBGTnvrBw!Ug>Lk-kNaq1m3(|0s=?Sd!u;CstS*Zd! zD;)r3AaGXN0b*fhC6F*Ew_wdmAl)EIa8`m&GHq6bW~Ej4aAl<ja)1B7G*bpgCOj)G zK;<K6rB-Ed)zJF@rH&SW)=s3|A!GqE1hqB>Wl@a53DC4Ae=A~ef&;7%wGsd&M2x|S zZQx3PT7wf?!KMwd!HF$kN5cmv0+qlq4T>*tw#6|xvF{#A^n&{4(1MeM!HGzaA*j&{ z%9<F16Pv+KL{!z9V12033vMn$=8_Rr^(JgpHK=_Ibt`Bd7{nZoca%lkBBp$4KrUZa zfJzl``LY1S!Yp4v!k{7qYxx4w4Uz<xFVMk>U|DGS;&lsG`O+Zu_y0>q1#qf^moM3< zeB|;a03rn{2S8DWR8^Bci9H{ju&_?>%mYhNI>9r80lW`qI@qv*ncx9s8~#?T6FgJF z&Z5}_&lIrn@ChCzd2k$q%3*NHLZ?aW$)GX-bAo3QNSHPgJQG1ik52G_Mwtk#xWPS% zJ%Qi^Ps3H@@(ueWb_b{!0~crwAQpIn2gLH|^iiq6cG)#Z1E>r`Dc~S8*dZVts8c(o z6425u=PIt!ZIS5T|1bSyz$qVIy6r&aBbRP-AyQN*-9l8#`CCK5jeXF1V@^;P7Boia zqf*A-3R=DbUabwvji50|NRJUTK#P6tTlW-|Gn@<zoEP|~9_(~cDd_M~$>(ncO=Th* z1FeKXDmz<LKnuR0^97J$Kd3ZF93lW>LDzXf%W_za4As}&12zSD=~0ME9)Bxn|1{WQ zkO`nsy@MS-D!Kfvka1h8FP{rh$>DDW?dSoGLceU021h3-=)eWpR!FY`#y{Qy4l1zJ zEQl0n#T?Xwpk?+@CaA3gWx^KFL5HKed%(-_QN5jAS_<|u$OM>|vr6*_EVF|)robbi z(C`OEPj?S^(H>YB6o#MyQfLx6)DfbRSqdq?;vwz_xfJA|*HfVD{y-eiC=YCTX61j# zFa#%fl`F_V&@cq31b`-k9u-jVKvNOw00W9DkWJlFz;44(^)mNAIN(7IIFJKgia=Zk z;~#GUFYE(L{rn4d5RE%?A8{_inL)7pI&pze`BkEl0J=ROL?xp0kWaUZN(89b3cxJ3 zLR1oPmRSKH8E}gX+T%MY3@x*ET)<Uky%YHR|K(AM|NlSn3$V^S$HM^HeaO&x5Vpw3 zqw$bN5omcaywC-4A&QQF;uqjeJ;%e~)5~hgz`)?qS)=0N(Ruw7zo3hX1HZ;WkM0nV zK#7WlN9Q%4ZXXcsqhjFGdGZAtsH}W)_uqfROZ!2Q=&^4F3j+f?0|Ud0-5{wViU0p6 zfPqKj8<2G#oqJS3y8t~px2UXO1i5GrnBK<%(qk_0_rFIsM8u<e{|%6nJNKw$Kox@N z*8lud4s^7r*fTOPl<>R;&xC^{{y%`Q!KQaRXm~&}T#t$!c%C#1JTU>9HV0XItihm| zfq@am_2}j02m8DOY-QsSkW&vE9xy!V*m)8ZhG0ESAU)tE+8{0{Nck8TJem)2cyvxt z0j&pxsq|<(^dJ@Fw=Ga%Gmp;eorgLPI_>~jbDTv5G~W1vQ{eA^G&?*R4<#TO3i20{ zp#f<SLm|T04c)?z%}}s&pe}{zo&pYbkH$k1L>L;6)ljf=up8PzgrQvgpvZ24xP$ct zD8M>fz;O+lX9A@r1_lPuObwI?iY$oR8M-;T16aOaQoPvwkkO&zT<b~DwhdcwJRb&6 zYay%kU}-(cKNU2GWCK>Q5v-!SMFq6At-D8M2_pjo=L!C)hdNtSK+EMirl^>M6)r|r z*x8~2+U?fe0#@JIqB4b%fdMpU!^pq@sTrZtAaRHQhy_*A-2+zt{bDP~qK+vlW?&os zL#MMq&IP9_)(B9*Q4|cOV3R+AO$M0@3o=A7n1EG00IR?r44{R79aB^wi|H;QE2MHT zK-T2xv4JKHA=wua3<p5L(0qUcv{nY<0Z7X5=w|5V=<ESU9jfO#ArX1JMFrFW1SJ`$ z*F3s=z)7roqCg=719)-)wC52TkqB84nCu)##DWz~Q33HdFMy_+J6lvhi~m4L4YK-g z1s}xOpcY0q#NOr?jQmqTdk_>L%LL^?LESPNT=w8|ngq;pu&t0ZhH#n;OcvxAP%{hU zLNup=7CC{OCJwT(^$;GXftE{k^r-NI6t=j6od)s&crOayDM$?miiKUEK<vEUd8~7a z3TTy7=OLHw7BFiA!wX$rP@M!V^PjLn%lzZ~fB(NM{q_GpC~n{dakqm5yf6l@NooNp zfkZd5qT>#rbHo^4hC`-OK-m-IgqMMkJx?$`v`7F;nSnMrb;HUK1yHK#YylU#$6HiD zVF_)lfdUT11i1@RQe!VeAS<7mz>~GeY7v=-6|{H;(g_7+c#xr>MhwU`4B!F*<jn3K zu;t(_K_FGo#0F{_g9{r(@c}9$Kou3Jcml0Cf;QrgLZ<aVJ^-2gdLq<2AP#6ooe{dn zrVP@E1KGh1DUuKw6l64LmIzcpef$raC<3vNcPoKaK(s+!+zeI-3N4hT98@958vG`L zRX|HhNEqNS5v1^?=zr)ORrzu3$quxU2$JkTtPKn=ws9dPyKS&!7r^`X|H~a{sYVGB zgrMkvh8n1#2Qgo=gQh~^sb&Jjspda;)C6Cu`3D+bpiQa)?TLb>nrV<A7%8d7^C<RI z16pzgNi`tW28I_697w5VH!RgiasU1QvhydTumTl$9;^nSwimPjLC*e==0@v9P&EK5 zWWR$W95mMpDmh<rqNFF7A)tB-)Kdl}IY>(el#M_I2FPfTEVwpne#qD@dhZAiL-Qj> zO|T7}Ju09jWgv?{i>XQ-AVnoihX)H-%X%~|pmio7Eudv1rM%!SCMc7EYzK9&K{Uh_ zpdH>ISD-gdkhcnfmXPqb_HckIxNb-Z0V<_H%atI@C>mi>pxwgVJt`nWVT~xzY<tHP zmHXg8Dn?e=*`fm4nF!hf23oz_qEZ5?$smG|HWO4DBn}Y(u|P{7Kni=n>Jg17(6kMI zs}B#zm5?xkL}Lat8bKijEjU3Qh8CP4CT0x897c@+&@476IIn|pF7_DEMbiSB6$fbn zO$9?^0G1W8rvq43-UDuBAP*f(QGra&Zs!L14b;<TWMF{Bz*%s>u7OE`Vj0@LgvG!K z&=~d<mE&NA^N<yS7Vv<A5)!ANVI&9-v?ml2;2;)gRVAph0IzMUL8$2NQ33G~F>nlQ zLkus-m53PV?$`smud_!b1l)0hRnHrkL7hujLk>oR*F%Hq<Zg)i&Qk|}$sc?r&3N(P zPu7DEnLB$_To}O}0Z?FqA`dMdp?X1zI?r|U7<5ko+uM2l;D7mp@1-3(FE}#(aBO@4 zN|r8~ha5XVTjoJCt1cZUyQiptSeIXQP65~0pmYH?ZwlDb=0hx<*SbXvy7z#MbnN`$ zc<_h3<G~lwj-9_;84o!&K7yEf-J#<r*bq?FiQNIs4<0yvzv0q(pz~U92;0NXDd2`` zH-u`v#6KUDx%Pv?vp*P|qM@k+5-T9TLz4kS0Avx~RMq@|v75K;01rd+Lq<(ducNa? z1vJ^*F+~M5_gZQW9+?6e16G-Us&WG)1+53AevHBd<QTMwz*m^819_^|1?DMGDF{o- zYr#^MFe#A1@J14(M+Tar>X@Rk9IQ|qSs@}RgFHcTQeFnO;pNav%AgrONKyu|z^M=v zjsu;P!4q};7U1xtMpE9r7bPi!r|G7sfTrotlk!wll@lOIxt|(IxeuI3G+~}XPRhMt zDLI%FC<@S$GH9lvV~R>USfK#2LMkWaHn0unpnbrXS)agTu%Lc1DA&FW_=wcz>E3Yy z)c)-iU3{H~!SEaC!a0T)`v3p@?`%;4ZGi5EP^||X`KKIk>1a`DWnf?^aemEUc**d9 zW9LDSUf#^>pml%H@w~=k;J$n3caP3<J3);O)G@En|9}3!W_Wq>8?qA`Kw7#zQd&>) zPXTp=T0mCZd_5Q1V+K3g>?7C{pyme1)R&FlQTWGOz)cXaRN8lh;RLE8P+1SlC?4J5 zs>lOW-4Uva8bB67np2>oD?ly;83WZzT2%y^-Gby_5DT1tLB_+)BeyC7IgHq<2prQ> zz!46qihNY+`TLz=Q&AxIK&l-OtGh)7WHv+q#5&%h0%|pYLIJdL7#cR9RU(i^L^toZ zok*G2MWv!6M5P?mX+ap(&3g@1O+iP9N<Oq11u`9ED(GYw5RDr0ptBwzkp^j;AR4mZ zi6^)(K(dgE2R!j)4fY*q)jq;VP-_$94bYluh>;)<gZuH&mMN&A+uZ{W0Psu<NC#-0 zKD2!Xnu*EbZ~X-xL3qvZ((x;JQUlZwnz<7+p8x%4^8v=r1D(e_4nAY<{LtB=0@{KL z4J(iCEh^wNv<EEO`QNeghY#biZaWuP<H$uN!=>}Y%<n%PJ5M@lUhMQyNpa~sdHH#F zh)M?Mug)ndAoqf{!GK#{D5f<ZXTdbkrSn8*h)Tjtmu?@G6vyvB9W^h)%u4{92a4a9 zps5*X*n`6A<#EU?4#+MLA9TPJY(!!WWJw+<w74Pddqkrj99BIlpgas2761h%%0L5H z1w>nS57;jdg&<d8QwXxA8?T9A6_6eVeiK0oU;4n7`hDGot#28k5(Da3x~Rl#V0fYR z>kneu;{vQ#dF0QZ|1S$ag9|56%N!IwFT=i|@Sy`nU@7Y_h{6iB1B$)Z1}YXHr4~as z2e>0RYa4RU#YZK=p`%14ywnQP9*3!g_8JS(6bFD5`$MxCC}Kd4f<`qcBS51T#6;u? zP_!ZURzg(#pc9=S8CVwcg-&#WGA~F!YS91+8)$@pvlmDew5N%jy+C;u+8Y6x3(8(T zpn?N*9ttFoVTlkt(Fx-~oelELOXx%=$O6y~Gf2l1#Dkg(I%5P>PJxHMKs7o_LPK%@ z)VVlPBvc{T;2!W?0Yo8&iC`6w5);3PAccrAvg|F`Q=|tZMS5&tcroKUQi{9=OOZyu z{``NL_=(tZ2-I)^l|!I}(~YMbazQJH9AM>!3%J|>8Pg3Jqee3gPdQ`*E{7aY%OM-E zd7w7_%a@Rr49IM7)SiSS0T>_JF$7Dk`;0ALfeKgL`3fY97?W|@jGC`3Kv~5cmakxH zq4`P@O|bz;u|CE5N)JoE(#4yvKw$w*R>=7Z6nq5ol@2st-G&4*EGtoxuRxB0<N}cW z)W}zOOax^YL{k9de2CQ;bq7cxIA4LvQt*foyb&C>5zz?N`hu$w?4qKL+5(o}NPG)8 z_zSoNJR7AEj@kkaQPC>7^Ljyd3wYFlK)V4HB4{}bvzqU8QBgt5uL`jIsshemAY(|* zuQK5Ls(_kbWx(cvBK#!_Bq@Q+24~7AsC?x7dh!#c`E}NM)ch&|%7WrJ@@pZQVgZn1 zev0!eAC~;eOQZbC1I@4BAUz{cf(Hfih{~_;P9XAY)d$@9l^Zp`Za+bMeqH<loL{$n zKuVw8==qfklwZN?TwsJp;~UTr1!%nsXaEAV-UZbE2d!=a(fe3I>s{R6{sFId0f~5Y z?@uTO4UpETRCsiQ2*^qo7nS@HPEcFUMWy2Z1JL@OovTpR_kcAw9<l&w?%tvT;T{8V zL9198I>GCET33PB_gH{exzwm6z}ELfK-c#qcyyMi1VGpK1b|j8d4SgUsD1qN-=pye z$R~$ER@<%OVSua)`ou5bqvG)5>H9zb!2$)J_yt^43i!iMe&RoLf+5X=zwSim!RCjI zojod`el4g)25JR@CP^V8pk4|C1H<=Auz8gd75h?o(1Z%8Tn2lC732$#&f_oSKK}Xt zvKTg9Sg;z>6auU1o&xpqp@3ZI5s8o`SO%Sk9Ct8*x{53+kiBUkphZhaS5j<Xc#--J z)MWDL=2by5a~~)lb$;(GQPJtV=F;t=qO*bFh4nj-PX$=5SMo4Grp7OVPHhCa!L#uo z$e}(^r+7jl1FXog^D=08728U1@T)L@Pg4X3m;%4XL7&chKApEfp)KLldGW=L_kaGs z>;-i}V5_M<z#4J6AO8G*3EGGROJAXm;f`UBp^hQJpp+&LN;rE|CV=TJDjguYdk>i2 z#|BDicV9tM8dzrk15je=+@oS32U<}Cr6K99MI~Mav9b!J;6HR_71(s-l~r*v3=I6O zm%x2paGc3AFdS>JD1ol50=uG@R}!4&I>1&o9+@G}z!2>i;~480=NKOgPE;?Kqo!w& zDNP_#z-z6*rZgVf0dg@Yd4oLAcnrivO5V$sgTogT8K4{&AOi{y&<eH|6%UXjL5tX? zs6d3bs5pS7uYsZu!t7D8kO9Ta$rq;Y{``L#`X7?l;T}d_!C($DuZ8#j|No#&*#Zf3 zP^fe}IKWmgyodCUGvpZ<3_uC6MI}cblK8t@R6wGj<6Pyz%LPCZpd*##!BZRoP-URQ zVxdf^4pjyQhK9}-6&*PShOQo!Y<UKT125TIPV%?%fR}J|L+pSl(|{<;M=0Y2EA!~x zqv9dYz_1TA76DG7FE+gbXMx@=Dh~3XW5@(}GnRpKd5emI99RIxh&%X+U$6zN3|x+Z zqvCjk00YBLkYiuWc=PA~afk<L@9kLFvLsLl;`VkFSQ!>??|zBy?ZTy`czXie+Y4WV z@>UBtw|TJ65CEmU7HHOj(F~vX1wbVyEGPxw3|_9KJPc|4dMEg&gJyutK*_891bFEg zEKiYP=+-4*Lk~g>H3b{G85|Vw0u~f|tO=yLHU?ra)U_sHgJ(gK7HEKgJVV(bhC*Fy z3^ueCx+n}Z((KW@1)OaV0Rjqr59k6hP#Fc1fzUWZS`d;lK`Oyz3hRk5P)r?%q}@9& z|NP&Pu}z$z^SI#w$ii8$s>VYnK7o{7+Xb2^d=dHtmTExR7_>YMVk;!}Kk*ARbmW2Z zj37LIVGIoG9X^80g<2nrk{V%d1erGhW}XBrGeQ{fj0myXgS7(LJYKkY7N97BN6iW+ z(2`Zi!a4zP;oqVHp%LN60ka6g5NuIFHDd#^MN3}5!tJ0(uc+BHb_P&q1QK-MQW{iJ zfWpWJ>ITowhmOY`K?`6R9FK!4S_Yq9-hWfs8Ni|M*m=mOSN0?;C}{SmXn+gq?>?Yq z$5WuZDJlx!vicI}$S(;n^Q3R*%@^9w|NMVB`zLsq160Bxidayg+I#{up#fsOyad^Q z?;{UB*&alJI$H7!3=lOT@(c_wuR_$|HK!4BOf<*<XaSCCP7CCa=iVMjq1ihHnv5Y; z^e29SHh6M@#Hv7x3WNbqE)b<2jmIEK{ul?SDG7<PEzqbl`@}E61}>T*F(%NW0%5?@ zh^g-)Xp;$?8XzqLkH+JmCX*w$9tBmK0x}E?E}h3g7I4VG4n1N3u?~USHXt!jp#P8t z)s+_=cYxMxABQ!r=BR+Q`*g;rVA+W?M+IaIND{uV{kV&Y0ce|!NB0~Rkcl3hF)9|v zT~r)EHPZ%$7pI^6`TsI_u!NuJLL$QNgETz+UP!~j?*WJf4nL3>DEw~V2tSZ^P!M4a zKaepXNvz=qG7&xex*z}f|56Zg3Iv|?cLI0u0xsbYO)gM9@6k+V`nx-yi153B2)`4c zPy=rRI{;#V!w)0|3cnpV!Vjb!6hv6V4`d8T5^MN@OhgYq??-?Bzij*oUZFVf;kR@i z5#hH15q>K`p#~1W1t1nU{6J!$@SA}n{6N}4L4-B@K*oS1v4$VWMD+0Ee)#AAOZy*? zmNck7=<cX_$H36p18%~?YB&$pf_I>@zD0!rS{p%V@Ko#%Sq6q~h<Yrmf<R5&<1H!% zpr$9Jk!=EHf((c11u2593Ia8q@vjP+0t!Izsvr;xJQWKv9&X+g6_9yItAapA60s@> z<go53V2`6Y0CiQ+<IX86UqGIQP>`wE9+k<`3=I7J#$upVR)&{AjUkY$x*>jVe!vbo z3<%Pj09gbP0I@(T$U!0R(Rh%<4;0GhA=CRG9v~X5-5pyXvGPV1)D?s^CRRXW<pER_ zLW5)F2FQUuDj@Y(V&#e~xFe$hjSA4+4NxX16rp-SieRw<HV5xotsZ!+fLP#I0T~ZB zZ;A@YJfv6w8A(K}=zzj(3dAF*4nU0+&|0kvAWu(G0a376=?2G2sVE{=K&}Fv4g_jT zfS1le1wa--X3Ie=q*%#t0msS<{oBY9@&*ziC!j$OjzQK3&<HsI6@}2?2-yL0TaOAz zJ(dXB0*Md>Xe@y4$$&CJ0SMI#QUr?-usNvVfwp+A1s)+F7C1sc#>35<q5?7xDMCO- z5)mOPkO%>(CYVh&fIK}#1w_Fjq!}C`dqfZs0&-P%iwcN><O&e0yF~?L5kvsQLW&Rx zXK;i-vdPK2$g!gG9$a{>fQCOLRwUko)VHWCfQmwBaIDM#Ij~0sq#jGGOo7CT1T-p+ zx2VWKnV?XF>IEr+#R}LQys=UPj};IL94jE>;pR<I0hxysD<C6@h!v2-x~D)qg6aUw zY%&4l=_x883KlEX;8^)5jEEJGtGZiMKolfbfLPs-5P%4PtVD{H1CUsG!EpmQLSi5h z(g6*6NQ4AHBcuT;3ZcOfQUP*Xj|xaVmIx_<M2G-17C?vIK$)Nbgz5z;f<*|}9MteY z%O)l82m!Ic5dtzEZr&6Xka<WE0y2__2oZr~6Od}W*#uN>6@WZFMFm8`BBU4`A+AUf z0&-P%iwcN>gg=PY-J$}r2qFMtAw`IQD=68Ye^Gu7IYOpDA|wME^pFVYfJR6HR1`vk zBP0UkwjLFbdMptV0*Md~Xe=CWQQ?6yK>-NW3sMA&5U@FTBP0hNAs`kwLO{mD&6}bE zG7l+2Kt>V~As~l!Pl0#@)d8qE<Wc7ol>m^ZArve^vcVD3BZSBy44@cpQ2{xw`2lk$ zgw+iR0f+$DN{D!8iwaadNU*a-g$1G;BnVLqVs%5rAp#&3NYS|i5}lAz?=ngzI|GSR z4`}Q`;`9JCP93145E>k(79j8SsDRXCiBl6uoc@r542B+WQTYR9g5m(G7o-Rlr(knX zgA^^3rNHA9!~(}D$auJUQ&d3aA;l@kNFw4C<go535Rafb05wiQnalv>=_x883Kply z;5eNih=@~=tGZiMKolfTfmq#;5P%4PtVD{H7w(WuCUhA&ld*gNS3?@mpoc`r4`_ra zKt&-mI6@>qZtGD2smBr_B9I9A0F4FEu@+D!C;*{)L5g4z0yYOVJkTN}1|A_G7C1sc z#>35<q5?7xDMCO-5)mO^<QN#br$9V{>Hy3L5de95iVBE=MMyL_LaqxSA_U|r(2=xq zunoLW0gy$I2m!H>B1FOq5+RKjkt4(g5+NMWpoc_=!3U7i78M4lD1-(_$PXECrv{`R zON4xp0XLstKx5%}i^>})6BK|@y&y%f2mzae8Xjm75(1A95DOe3AmicYO;G`vhZG?o zBZ-I*ki)vCsDM=Ct%ja;PEq*)@-&2kMMy9>LgbJl1mvpj78MZH{J_2w!s>>E07L*} zB~pY4_<%zB{7&#(s`M4)SSf+T$_vl{B6Q%<gEa#hD-WQe5E>jSH$V>TQ30vP5-V3A zvGM>K6`;%WpiEFGLiK_a!D0n$4&GStfyWAn1&$Sv@o@8|sDR8viWQKNM8wJyNHzhf z#v3c3uI2@hr>CfZC|Im`gJUIuACXN!uIg@40a1`9Gl<pQq5`rAA^>6`#YzQoSF`mJ za;&U@#L5Y1_(Sr_0%)upfQmwBaIEYAIj~0sq#jGGY=Oke4QNz=E&+uyL7@oM3sMA& z6|gyYW5op?D<Bp)RzSwX&6}bEG7l+MKt>V~D|aBV0#c1PRzS7M29T$xsDLO~tT=;X zWf6EmKV$#^<SNklxbVUYDgd$wQh0$_NU^da0GwA|965s=Ax|I?vH}|PkO;W}jgSRU zQ3wr=kQpGi^{9Z<V~LO{kO;W|jRnv-Z%`&E0HJz8ieM1}HV1En*uWzM!~#bM$auJU zQ&d3aAw>wtNFpNS3M4{6s_{k$sPLKq^7IrH5Cw}6YjA`>HVZ)_1mr5vxx?TH0To_Q z0gy$I!VAPgijW0C;0S>fUUBD<V@2j8xQ_3DhCd`$1i-T{Eh-IAQ3wr=l?sppdsIN` zvBXLVBvwv9qXKl+5tIoEMW|koB3P_|&A}ThCh%ARvB0qcG9GT;6cvzpNU;Jkl89J2 z1Bn%oYJ#y+0P^${6%YlB6=QI$XoGjwKw{+p$iNmAkmDec0Ah8wsDLbj2!L3iGe+gW zvq4bxAi>TSl_L<{AVG*?5DRqgGCYz&Dv;uoBNP&+;3XGbXAyDg(Y=GCgn{7&+lfE_ zcdpnf&d_<@@FXa>AaQE}9^5$wnPU8O96ZI?37eRM4atGWCZJQEkU>(IjK*uw&|MF7 z2-VP|^Ejj_&vEt-_=?dU$kcS>L2yU9`5*^q6c{u>isbYJuo+WSAi_o-o##OZ*1R}+ z2F(a?s}yPkWKa-p`V6QM5Mj6xO&}vKAv_CC_)vd<#sd*D7r+Mes6a%){s33)4rnHT zgBfZ9WE>0@5*%+JApsEun*ffBf2YA=iZP80o&tuN1R4qhxdlQarbE#dLBJ>GV0Joy z?CgZj<4!&O=l>3H1cRnS)pM{;hk|DB@J@%m&t_);n*x~*HRJ{*t34_j(jXc>9SY`6 zQBeR7=R>AL!A$UUsLZK9|6lgp0Z)g5MyYYFBK-hrDnmw+K@+#2=<nX60un`CMG7*b zvqeP&l=G1{p!BE+fQ3MNP^N&T!OK6v1JqMgAfwP*z^MvqEXMM0kjbEJC{t8;q!}1y zg0{MVjC4fWi~^AY8w@h><@Xn0e}Tq%arx^E#9yEhSdhO!NveB~3P=>yUm!C;9c-{C zkT%xzsIY*AApYWzW?%qY4>Aulk`JCn-2zUjP-8Lt1u_}pF9w*uKt`ha3nT?L7&J2c za_dX5zd!?^xcs#S;xEuZCdgkqK-@hlAW>9*fz0S^QTZbUnvz8E*AK7|#9tt3u=ODG zx~G5#M$wGL@E6Erh`+u_LE{T#q$5gvfuz6&g9cb%=Dh;@3pC(}%U?Ypk3a?mK@I?q zfbLNNiK6-oWJYI;$_KC~Q2g}<EClfvNE&QCcxZ77cyJZXSPXxGOosUD1<YR{BT@YY zk^&nH8lrru^BU|g&`2CEf8{{@1sYKUIRHG6xJLyfis~<r8J#UEPr#l)@z(>e5X4^~ zX|VMm^SY;iN9fRu#qbx%WQf1+!2AU=64hTIDX_tyk-nEtA^rjlf8p|%55!-fAu5mq zz~gayR6wGr{sNiN*`jg-><JWqT>%S0`~{K*TMsg?dkT1{56xH%e}PPf`0E19Umzn< z{RNT&8w?s7dpYk7IKDtbK)C#+1MwGVa0cW6@R-yd6_6;Zzd&Ymwy2x|djiE@C%{4w ze}SaI)`QIJo&p~3LNgY_Um%kq{yGBl7syCde}SaH27?BTUe0<8_7`X*1(&~gApQc4 zaey2E9@^QX0un{_7sw3IMN42$p!jPKSP0^;15(iP5M*BW6cxxw$rcqPV=?>%G8y8p z9WZ}^j70SpNDAyPkclsY-+}!F8e+iZuQ#A(AY`Zj<S+0D$sQGuD5}3eW^}fwYyo=$ z#a|o1LJ)s}q`}sM1|hnqfCpdDjK%O5$YhAW*1-G)G7{BaAStlHpdpNxJnzB&0(H`H z`RfeCU!cx5$N}InhCM1EQB;3{%mCd%0`>%ozm|Z7ApTkbi!YFQ-BZAwcQj)$`~@-@ z;;#iTe}Rld^%qDA>@UzDz{^t*e}THWxcs#S;xABl732VLFMW>+NEFpyATvM*(t<sK z;;$KCA&9@`!2AU=uX~CLq|>`a1<6<pe}PPf_-hKxUmzn<{RNT&`wL{^%Z?A=_yYA7 zarvtU;xACI59BX!XLpYZNEFpyATvN`bb&pA;;$aC5X4^-VEzJ`*F8lA(s$gVf@Ca) zzd$BK{M7;T7syCde}SaH{sNi!((xnMU!eXLE`Q}f`~~Vaf&2yTF78nQiK6-oWJYI; zN(<N%DE?{y3qkw^k_KB3G7ogLEO^n!78N98G5iHG8RD-Rn7=?qqWTLY1vVJeb$j_A zy15F}yXx-fc?0fFgW8#(_B}|@gS7$NYR5No3sR4zI}KU^avZV<8Z?V>yhX(YX<iE4 zZs`Fp6M=Q7!RFxYPFH|J2)yhB!~%DxK})egjs=@H1#BKtcN%0Q5#4E!!$6HyP~R5S z0jNXfPp~gLDVG8*JK+N#-~{PTgIoo=<qEvOfgiMY9WvDfvZ(n1NC3n_>QypWgL*9I zcQS+aAXM%{bcnk<jzD4s)Jg_<6cQ^tps@m(wrx>?(2zk6PzBZlS*?M2L=b2N$Z^O* zRcNf(AjJx})zt%DCIX8UkiBSQ3~1x#1@Kq_vB0qcTL%j^ZwlBvq*wtNNkpuG90sad zWEmLH9Do@sp!Fu*Q&5(j<bz`+fE_VH3vyLA#P5(ufC_*tf(U?ENU^d4IaVI;L5`I_ zkXQk=@e#4|0U9fix!V>M2n~)EaJ!Gt+7nP`5E?6>?i+{+G90QGv{nQbD<FH(0tGEr zGT^ZSVu51?WIWtFa@U@K9M(MrvWNy>UU}XLS#;73p<qK#>EKwI1YWZa$txgN!Ix;& zqc70{34p9bij@ExNL~RCSorQnjun%);1UefLjiddl2<g|g4DODKxSWIG&okktyV&7 zPe5H+P*k8T(Sqs)trdaA3dmmkv629f6%Y#?D<I=Rjs=@X?%ESjub7y%C!kmXEjsB& zU3(G_j+HCm?Fo=r0l5mkL<<xNPyvud%@05VAQn=r{6LPC?K=^%;=vjLj)3Fftu!y@ zYy*!lb+)KLCSPHrLkZEK5veT@QP?O^K8iULkj)8!n*(Y~g8~o|+Yzx4bHJi7bJS7H zIe}~r58NEc+#}3A1>q2Lz@jj7?(abI7j&pHdNbHV7(-@|Wx$Xf1h8R92u=Ku83V`> zFw2v6z;+Pe-Fb0)6N-C4s~*6O2Z+Z&J0;*tnF)^AfrrweBX*Cs!^{QMZm<9cnRf&C z(r9F*Xge<^!_5N~!^q}cfSCtboR7RpA6cmfE6N57=k2iI0gWhLO<~8j!2%Qkct;fH zrl4%Fm<c|LZ;wiV6sQb=jVMBRQ&c>pKnwiABZ>}SCU`{g)Yd=$Us|02w-`YU5L_)r z(AXigkpOBjf?F_nMigy8MF;ALq6Jt8(qaTjgBy0BAn%?6Zfv0$i_u~Pxen4|G=a4k zK}Mps7(r5CgF#J}moneM{sL9uxcmhgJA_uVAP0ck0C+|e4Zxm2X$k9qg&_U{NrSBi zMSb@a6-X7mMFq)N41a-K2l1B%%wHfQQT+vy0vil6@#QUuzd-dWE`Nc>4xtql$Y0=E z8PABK3fL1U{!#!7LHq@h23rrV{}3a<NXBCL3*<V8zhq$k0vU<wFOU@2U{JOBa>fsE ze1U2vT>b)$9YTwGkiWq75S|f539u(n{3QYwg7^z04YnR!VN6khR1;fNkc`Ff7sz!G ze+j_+1u_!VUmz*4!5|Y~hC$Dx1r_=P7R-Z&>OsW|ga+69pqUqP7tBNTg1R@bdLNXJ z@Ynl1pb!H0TtF;vy$_0akh8$%k=t_t#W68G7tksOEDPqj!Sy~x3+5e=S2670h^QY4 zESLvPG=RJhp~0~NnvCiu)N=tPD=e)ss9sR_1{N!zQUHIfu)t#l!~(|($as*mz~+(L za{;ALVtOv1RSZ~qF3jLqp=iPU0_cMIf2)xr1n+|R9nd9M5E>jIpjF!4ggPyt84+lN zfaWkjOi%zq^^(?U`6J1|06F3h!~#bM$auJU<aSy>4kM=10?H<!mGWqvmfw<~4n9Q- z<^v#0vmx`%Gu9!;3f=|tpjH9M`w$u&E1<qU=&lA(zZkQF59*H`hs+Owq5^HfJX9}8 z5iFa43Sa!$<O@7jKrC>qfToK;&H|f9Zl?t_HAGCO1(Z#&bXq=xV}+sx^FJW5^5Xgm z<OspLU>?-&0Qnq3gChjg%?BN>hdn|-YuArM7L7wA1T=L7VuFl;>IEr+MF?mf3V(#W zfkz041&$EVJQv)&0a`Hs8XO@MEtvO!tgwFJwj4P^@Gh7KHJCs?htS{%0rm8|$y_iG z)eBnS4vP@b1RDMbc><3R5DOe3pb0vVv%uyJ(1Q8L;0PJO1@j*u(FrN_7Og~%Q@ji2 zL5)RF;6Z3`oPtIRy2)HH57i4=;0}vZ(BvWhIK2apQxFRrr=ZD6kh8$%4bX!5+u%5* zXu-UK2dFc9{>8(^$Pt2f!8~|0rMm?@ZwWpB7c?-^P3D4ms9w+lcUXjgW<Bvo$Q5{m zfLP!N0nMs{A_Q#S04<om42}?r7R(1g7t9ANLXHr;3+6%dw;-QGXmAbzt<vu9fvhvf zY!`smt{;cY|AOisv<34}y&y%f2mwvk;*XFs@CX61z!3tP4hA_3Y~BDZm_H4U5Q-Me zdmt~Ef3*ZTR`4#E2hBc%ybqzlu>xA9-A(3#d8l5{0(V%ffF_&q$I20StbkbHSOHCD zgPa96Z-5re9|p$?MGNLPAa^xGPzo=+3+6$S+#v5mXmG56mTH4~HlQ;*F!Ks%?fUT+ z70_HcG_QbWw?RyhF;KlAMX*=_O_k%1l|ArS0kOcb0x}+M-T*C_-wloxiWba2fG(Jy zJQq2e;9W2enw<yv972O51hh&U)TzN9A)vME$6HiDll-7qKwB^m)eBMtixAKpKmG{W z0*??73mhRJ<3Y{>n>RoU<~M^QgrWuW0>R+w9kO6vcL8#&;9W2eT3Z0}K7<CxN&;w6 z1DOlvp?X0J++nc-TA_eHR@T5{1;hf!3TOoc$XQ_X257<jYH+L!;DUJv<OTDQ^AK?g zTQGlh2H^$s2WNn1a5`JS%Zx!`3K~82U<Hp&Ko@s|Mk5h2um$rFQSdTx&_R(euFpkV z?GElpLsz>)M)Z1MYw2MN<{`rH)$UW!jR3bwp+<m4-;m}OVGHIV!f+!3K}H}gm<K0( zs6Qa1Sdcj{4_4TMd59?3AK(S^{AebCgBfZ9XaEZl60imH5K*uR;Q8mXbHHJWG5ZIe zxr3Sn8M|pwfzZUy{-G?GPnm<fVE&s8*6A(K5I5fGt$j9F7tAk^1Qi*u=`9FvipmU0 z`1IBUFcUnz^?KHy|1YE0f~OTg1K+r&6`<2wkYVmEDxf3;UD=MhAPQtgXNyV?DCeV2 zZ*_o$AkzvUY4GSj$h>aEbP1BN7}E+MlOfXzEt1d$*dQYvQ5Il>q`(G)hSJGcFb_(T z(3S0|{sIp_x2QCLJ%KV^QUex(_zNTrwjMO_3>wLYE|^C$7Q<g4lOg`9fcXn#B&xqa zQecBYgV8TZUoa0!=-qgxw@SdCK=D@rSP0@TkTlqO(D*IVf_WrkG5m#?-pYab3uGj! zzd%x8gF$1uWGt8mk67ZF-pT-b0>xh`U?GUVK+<6AK_j5uhz0XV#$xyjG{OpruLPLC zKt`ha3nT?L7&IzM#)5h9Kq8(6^D$sgp!h2SEClfvNE&QCXdn@3!90?&82$ncR6_g} z0`nKhNK}7;q`(G)Mm@<`Fb^I-!?R#M0PG1AfBArgApQbLgRKXR$0044M=}<}U!d_m zh`&5w{sI|^>MxKK*kCdj%!9{}@GO{j0eb?)Uk+d)h`&J6VCz9+Qb-Htk&MOg7ii22 z;x8MRzd%N!`U@llHkix>^WdQvJPYP6z@9+ymkC%1;xCXi*m}^=4$^{oBx5oB1sXbn z_{#w1FOZR_{sKvX4JLEJJa|L{&w_a!uqRObr2!U#_zNTrwjMM>0-75HugTe>f@Ca) zzd$1}5Pzw_`~@-+)n6bfu)$<5m<Nvu;8`%Q0QLlmzhuBd5PyNB!PbMu7?2jsBN>a~ zFVGkU#9tCHe}Rld^%qDAY%rM%=E1#mJPYPUz@9+ymjGA@;xCXi*m_Ve9cjTllCc>6 z0*wMd{KW(F7syCde}SaH27`ta$XGBB?wsOTFwX(@1d6{{z(NpzfuzCKgF3rN3+9oG z#qbxXGY#<<1I%9_BT@YYk^&n{=7M=}_YTj3`9Bhv8}z_J5PyNB!PbMii%1LRk&MOg z7pOZ5@z)m#X#4Po1Oo%Azd%x8gGpU54{8yB`VSBq+?@u^84_G}0$RTX9pnJ5xB@Xj z#z6Iww(R5sD1^YvPCzVhcN%0o+&prZoq!w$YOIpEVE(-XXg>V{($Z{@tDtM)AS<z< z0w9YZ0w5Mrm(T)vX|_i{qC-qz!91uv1M)tE2FD6$;*j976VU22P*fam0k1#<F+s*a z^^&&i<OMudKrC>qfQ*NmNA9u{&_Xs))dHG_#<w&Zw2cAFvXkfFSP?>s6_Bf-EBPRi z02KgP1Q7tSkYeQla;$9XMUEA`3+6$sF_8BmG&oj3Q-7clT99Y3jL<^PV*+&sK~ZtM z1-yeA!~_`w)l1silLzov0kOcb0x}+M9=U5zK>bQ$)}DZtW@A}<avvNkA(Skb??7If zEz^S>D|i>ogW8fH??Y&CtbpbLLBq7zV+FLV<amn;s4EMK3bY0DP`w~UumM3(&j|m3 z;0<`JfLP#I0T~Z+7T7#;*PeiS#l);V0mTZIwI|oXu`-R41@i{BpfQ*8JHf+9ZC!|1 z@jzWLpWO}~VFIn=hOW?pjM~kBE|>?kj6o+^fVT0xutPBiWx>2M+#JZ9A#7}H0(8MV zSQNI_{97lodr%h4-)@7s2h?^2MFhk>D?n@2yCE%FxO>(in*&`iuhjxJpqG`^2Xwm- z{EYPj5ugA$wv!#S2dcCawwfF7V)u8=fBu6m7XS?dA)N9d4`kBu7Z)I2h7Qhw4}K?U z$@_;6h)W?ie!$EJEqQ0ihhAO)IfNehG<s0Y1yhQ)<b46$JWxRjQv@>a2U$zr13F-V z1X}X`$Q0|CASh_?jtQ<Z#k%DEgajxMVPk?2-V~Js67Vs>9bhJSOz?W!pZ_o2XA(Om z2nizi8g9@?A?nx}XygvG2^p06QO5)~fQ2A!zAX~a&D9|Dke0lIn@W(C^cZbEkjaoX z-x^q(4`d{2n-3%fZu5Z}9WSFGOT$5<ZZmOQO^deV9o#Cwv*djR*b^vi^d(>+h`&J6 zVCzAt1Zl}TlCc>60=W+2uLUrFfs91;7f1?hFsRyoDFIm;4jOgC<u9})@8EhB&zRsG zuqRObH3KXJ@fS!MY(1!6MH<6JG8V&MAlE_sH3jA`kddhV0!e`l2GzAMuR;6;s#|dR z3vJ0exF*3fCO84?2^4?zfQ2Cb0!f3d2h~iVW-)XO7s*%*e}P;F@mB}TUmzn<{RNT& z8w{$gUQQu?$vb4Or3HNK3Us*+ctE)aa<n>TdkWO8z<owMR4+&otmX#gBm6aY3n+xZ zeGd=|Tyuls9aQRp%_Fz(0g7W#AQS3)fY$P2S@PZtuDRJMIV1jpFQ{aMo)Leh2~krL zSn|FDT7N@maC-_o98Ttvcc@;{`W`j#SOKxXu>wl9pjZK$M{eH(ltPK=dw|yRV(EKS zgJZ>$k~88BpiADlYmp-a?~-@OgdBWg4%CnU_0|b?J3w<9&;~AO76QZs1t3%}Y2A(z zc!Yph;0OU34>yn8ZU@L=#B@7A*#t|sqZk|^6DT<&{sHI;rA}xzSz3=AD|nZ@gQ{<k z_aQVmn}B-j1iKxe85vMipe=ca>Lsn)kpqtv5DOeDAmc&K0-Hx}w*xe}Lrk{=lufX7 zJF>yCatY~3a*(S)>oUP74KQ{>7XITqBfbN=<o!t{a)jVr@(yZufP4<2!4U%LsFS<o z9jceKCGRQl2m!Ic5dxa20yzt8-T*CmPX<SbBvOQcTt(6u@i(C5R&WJ!gy3EB4r(xg zd=8<(5d!L?le^>{s+Y7S?=kQQ0kOal0-9n2ISXvw04;fs21iK3z?~7V;fB;WSYL%4 zr+AmVgBpvVz=P1>I0g0W$zAde)l1rv_YioTf>_`<1x+u4oCP*-fR?-mgX1)Xk~88X zpiAE0l^{n5-X-tg@et5K^x$)3!4U!)@E~`|J5(=eOWu9p5dva?BLp-r3W^Z0c>}cM z-5VSstC5Z*2f2!*GvYg-OWtFPks}1}l6T0W>J}9U4UQ1-EF+mq-l2L)Tk`G#j}Q<G z93h|yT~LI8%^RR4@6O-|`Gj;DImlHcoe|%FyyX3RDRQjfUGffDRNVqTNetT61kaI@ zx#S(Hm$W7CHt<*hvB0qcnvMp=3fQ~>TJmlUjujK6SOK|;q%-0b{E=#tM3lk{?~-@O z%IX&IIcU&W0nhM~c}6@`FKJ8OP2jNtVu51?G?@;H6|i{&wB+3w94lp%oDnY(2#%E( z3-XY&3Em~|kVVxk-~-&C5dxl?Ci9GVs9w^Ryz9Ut1jGVI2xt`mC_=#I4bYNzZE%F_ zMLLZf<SLTRi1$EV@@`d#94mO2yzc<7!f8=~(BLX%1L!PsGMBtV^^&&aT?HO1AQm`Q zKx-I4u>v-4fR?-~gJb1C590VV=%MZ)$3aec2eD{(MtlYGlJ~THM4ZBwyg$k$yyX2{ zCS(SOcdix>IC>#54V(J{uWtuk<a-XXMfHCkcwKuhZyrc__Y~+F_Cw$`?48#=I?sU* zd%pou2I>HVhI$(hf}5Anwd<frLz)tYtzCx*!`H4)1sQ>~b{(98q3gwAqqmTGHQ3s9 zh$wjFIe6`QFq#SA@Pe8E8ejz_AczUDwd)X3unFKvN5MR#KxG9_zd`jwMkrfUAhg9k z&@I%vz$^dPW<vrLa?dz%Q)=K-@t~(j&CNw##;(tYb;=49NqDEMzVl*T#x8*}Wd-3) zQ4s*oQ-M!u;s7(jQ&uWDfBwImQb+8R6=djoiwY<V@hxL#0p(`YDJurB5M-(VBn=+X z2bqU71%hNO##8}ls2ws@@JAfFObKM9Bg!&%kQCTp(D3+6WytyHph+QIQy|bOE6CvQ z7VyQ4_?EH%5XV@4^#v>h@fS!MY(2<4(C9oUUv#4wi{USj>mdI60P`2fNK}7;q`(G) z2AE$yg!l_IDTK>k&?zg(80r@A!I=1#vA+R(0%dCF1y~5;FOW3YdXRZY%h<s~y^xtO z41a-K2l3Yvn7=?qqWTLY1vVHoPWy5e$>+X<M<MY{Sv>%I0>xi<z(NpzfuzCKgU1gM z%h-{O#qbwm%IXHpUmzn<{RNT&8w?uhd>H{bA04z%99MjSPI!lomw`^70uSclS;l?^ z><JWqT>uL~`~{K*TMr(FL!A4LWGsfiK(2$t*BO|<Kt`ha3nT?L7`%*K5OO{`XrVYR ze}PVT?}l7F2=W(rObpL5_7h-Fp!n+uSP0@TkTlqO&=?!iGIk_mG5iH`9mHP;VEzIb ziRv$q6xd+!GWJste}NW?<MJ2igm=)W2)O061$=Q^H=bqed%&JR@z)Np5X4^~X|VO6 zaVDf??BL-hh_M*{0=W+2uPrctfs91;7f1?hFnAgJF_O=H2M@2{S;oEr><JWqtpN)` z`~{K*TMr%>L7e-JWGsfi5X;zC!2AU=64hTIDX_udW$g7NpZg9TrNFa{eF@kTDE?Xi z7J~Q-Bn`G6Jiagme(pPxu^9eBEMuPo^B2fSRDXe_zy^btv0FgSM+Yqw$CVC2C%i+u z(xChW?&srK#y$h=2^4=#0SiI=1(F6^5ALBO&V5HR7Q<g4*Fn<Z1em`-Mxy!)Bn37Y zyo~)D#9yF=;<)?;I^i99VHwC@;GQy`W$Zm*PoVg#11tpb7f2dxJ*el6w2U3eSPXxG zTnF)23(Q|2BT@YYk^&nHUdFzK<a6J_9Zo#U*c-r}K=D@%SP0@TkTlqOa90s=?mLpP z82&;mW3Pbu3uGj!zd%x8gTc$#b4fn;9n{S4#<PsQ1ndbEe-(g*ApQbLgRKYmt)_tQ z-vT8ABx5oBg;>U(1M?TiNK}7;q`(G)m$7Sq`u`u+KNte%zGr|!2)vX8!~%DbK})_s zJ^)SOgKj$o-86QHrSn?1hykcNl4D>X;)HR~@-9$gmC#ZW(1K|!OG(njDPG2Y!U{Z+ z1UdJ;F#*vZBe0Ae)cyo{A3}qBwxH>9a+k3~^^&%fBmo{PAQm`QKnvDD&H|f9?otxa z;xuBGl7M0b%TkhfaI8?YjJ*JP8T;!v<XFMGj2+Z^26-PsgJT6WXHIZE38*s&UAX}2 zzJZt^W1xCTTTc=Jj};IL94jE>;pUOMo&@ADV%C#@7EEJVPZAD}6^fRzOCT>}4~<2R z6}-#XL2ZAK_aQVmRzMTy1lN;*y0W0CKwHKR)l1rXk^p$DfLP#I0T~Z+7T7#;*OP#H z#l);90mTZI^(6k_SfOYc`vK%w*&mIF6|`mSOCrDnM;Pb6Uw|%S2lZs&%f`!5%t2Yk z9s@TAGE)v4$~ysF#ts&REo0Y5F$ZNCy9nGIP+tQS5s<+$3+OU-uqe!&=TS)hf-YlE z4h4Itm(@=mWf{9cB&bM-E@NL81zVwwcNx2S=pXPhc2G5saEe4e$fV;hI3po8kuv6n zd~&;H6ih#?)&zMKwCr4itYz#s;qHNz_b~GmVCIo@a(ibaERaCU*rnOAjtPQ-2Je{Q zV>YbI*d4?{fe2g14&hBvu@HwZV>bXZ!DE5~5r6)_Y{(*ZOb`;9Tfpaf;2RUv0cC#F zF+mNm5Twlqk_I=;K;|JWV+S{F2rgq+fwlQSMxwU)KvH0XLG2tema&6taXib|6~LZA zY0Juhg&_U{NrSBir4poN>`2C9v}F-vf)X%)fs91;7f1?hFsP+K#xizry$Tt;L)~@& z8o2}A-3j&tioXQFLJ)t6h(os>fa+DGF<c~LG5iHG8PccXf%yw$B&xqaQec09>RK|E zv4d+8JY#|!U{9d<iv=tM@fS!MY(1!E0yT@FW4K7hV)zR&CddHu7syCde}SaH27_v= zp|*_uj~M(&YB2@|aLo;hcTgz}9<(2zW$eGjKz$GDEn{a5LDX<VU>W-tc&va};8+2r zT2QPE<TCcp;8>x`GIkd~<Omt`%h=z*BLu_(M+j)j1Qa2lK593)-44+72r=Cb9Lv~W zgCm4`%h>M)Ajis}U&j6f9xEUgI95OtKA>0u^-;UY?RJ1BcZlhB;8@1~7#u59S;j8w zjT|9^ei{26c!Yph;0OWDRDmJ{)IIGUpk?g0!4X20W$Znk$PqH=m$6@gM+k@oju6lk z8z@3RJ<{$0TE>1E93ca^j6DH)8T$*AOg8A3v7dp*DToD*Q_%DxC{97WdQg*HmVp83 zLQ2q#A`!#IpsB>}DJme<Xj>mqFQf#OdIq3dIH#z9DA?79r@?Vb(K7Z4&}HoEZpfKz z&@W>@0*??73mhS!c~MY=fG0!;Xc_xqaD-5_jQs#~8T&LB<Omt`%h>n8BLu_(M+j&_ z7Zf4jncM+d#=aXIArviR-+{c0-M}3=RtEht_AT&O0kOcb0-BBn#R_;HdVrR(ZwAK- zMa$SDke9K~az&1nLBEWB4LnvrEO4xVCeuN&0-i-5pk?f<!LdToGWG!IGWMJH$PqH= zm$5H_M+k@oju6l)08oU0r~e0N8T(>zgiy4My#aX{d$AL8tl(Y7z5=`$t3?GugJ-xF zP_T?0s+Y87>~r9;0%Cz<1+<0%6f0o!251@kY;dd$;4=0N$jjL0J0Ri|wv1icn(#7q zc5BEC4)3+!+~DYi#58Q~3w;^8g#&aM`*M(SoXgk+A<7WT*ul+9=rVRtq+wac4iSbg zV?SpPc33yeCEyecT|^F9unv>KwT!(7%>;0GK}`S+u!0g0XnM(m71uI$FQnqjgB3je z2Gzd<<-iRKq-E^SY{2d&>0~J6W$f4Nke9J%+-Jjf*)S-Q@J?A7+-GChv0|$@gJb6* zpI%u*ZqQWK9+e4VAR0Dh1>sFm=@5fYSv7!};3=zE+duzbp7keo$_g@cO?Vl54JbFG zPFYoeg&<P}AZhT3KFB;!e+oKP0E#f^Oc=&g0b&_@i5PSlJIF{!lx6H7DX_udDG=%} zV=n-E0%Zy$2P_2f7f2dxJ!mi)X$k~9rVN=0!|)ek$|?iqFOZR_{sKvX4F*qvP=6VF z3fL1U{z?D~LHq@h23rprlO=f>dkoB9AR|%z1(E_A3>v4U!7}y;uqROb6#^E5_zNTr zwjMO9iF6t}cyJRk6NV9Ah$*W8n7=?qqWTLY1vVHo(n*75>^@*mp!mxJEClfvNE&QC zXmAkeG<NV9A!KzthQAQY*j-@$0vU<wFOU@2U>YrBcK~|=#a}jHA&9>~(qQXBV{9ZZ zW4D0$3uGj!zd%x8gK4yk-306j6n`0jg&_U{NrSBijWdzFj9mxjFOZR_{sKvX4F-)p zy`=s!b`7v6Q2eC=7J~Q-Bn`G6G+cvp8asH@2C_OHBOM}^u`9s*1u_!VUmz*4!8BUN zE(7)iioYblLJ)s}q`}sMMm<1l4Z#iQEh^x_56J3x41Xb(v5Ua`1u_!VUmz*4!8BUN zE&%ofiobZkLJ)s}q`}sM#to28V+Ri(Kvu_N_zSU&odf1CkddhV0!e`lrqMEX7O*E! z{KWtkg7^z04YnTC^Co#2`yWwgdjn)7s=q)|V1sG2jQxiw=C(Po5X4^~X|VO64lL4X z?BISZWOY17d?A*xe}MVxizxc3<sd1r!DKFD2Q~BYEn|NJ_5@0Ny#NbA`~{K*TMz02 zBAv#LWGsfi5X;z~!2AU=5;eX+QecCJ;xhIJpb!EtV+XOoU1ZRbFHjE$yw;1{r6i!` zU7*G)nakMki-MMtP;?qQ$};v{hKNq?5Lm{310E|N7C2Tw3)Vof0$!;$K+D*#gJXqy z%h**6kYi=gFJr#|j};IL94nx{CMZ_G>+Hx~PXg*!60@EJv|t*`GWPS}SfSoB_C7u2 zSQ+%o*iXP?1;hf!3aF<HiWTrGL2}oVfO^HmtS14*3YKN;$HB2ey=Ck_bP%zEwv7F* zCV1coV;MU~3<G!w2()MbzKneXia99D*r&qH0WVmG51=u`LCgV*!j_+BpqPWQjNKP* z4rpo{6cLcYGOWwk6_Cw=E@Pjg2KG=d>-10D3?AL^)7TdvFJphM4O^j&cNu$v8e|ze zsG3JO#R0mE-AM~#6S>RSleA&_VYMd6tDt4<0kCD~B%Q`C1UC;>-owoEfSE_qGWJ7S zus{MWV-LB3bxaTxG<e4ZWp1!B?8w*#8xx$#3>pjEqq0L3M8n1eA-pLn8${t_f-AsG z@R*>d=AZvBcUluWCI|^l!pqo~fHFVonBW4i5Twlqk_I=;K<1IWjC~HQ%?C0Pwao{T z0vil!=g?po`wXxrP};Inz(NpzfuzCKgHj2}%h)Ht`~@-+)n6bfu)#E1#@++=1d6{p zz(NpzfuzCKgX&eJF<fx<3RxYG(MCrsV{d`^3uGj!zd%x8gF$sI4VJMtfIWfYuNtrr z#9tt3u=Svt3Dhixj!lCrD1u{x6)=B+j70SpND6E)sJ0qv%h*dmAq4JwfLP#~8x-%L zQW`vHPj24>6vv=ICe-%;t<S}>g|--6b5m~_yPYbc<{kpe*mK~q0%Cz<1(a$*u>ziQ zAh+)UN}-@(EzmVR_-=v%tyIIZg*F=;D^yv=UMr6rA%lJydkQ>4KrC>CfTm195i*d= z*ptB#LcL||;!4P|GU%7F$G~F+!~(|(Xu<~+E8v+Pa=RU%$sJ<49iVK2rP~n=juomb zV-J%-j*vmWj6DP%As`kwLO?TBpa=m^QB6?+o$ijb!WJ|+MZ^kQ(5w__xdJieRsm#% zEr^1xunh)B2vwG`AC*FmkU_tU-3J~aAQm`6KvQg>2m$p-2WlC+H#kBDa2fjy<YnxN zvdD2d=$Em(z~dCe0>>$6dJz<-puRq+$vzOv*qy;~O3^a*6VPSs3F62RGU%7F+rT3P z!~#bMXkHW)A>awo0b0gx4UQ0sma+eUE@MA0iX0(>ei^$7JVHP$aD;#+bU_gUp2;1c zW$eb_2%%^h`v>G@?3ohCu`=kFvFpHN1;hf!3TQeS6f59)=mA>Bt__YAik7iYKwidv zSqwQ=2K_R26?m+GSm0OzO{RllWgwTaD}!T&qGjwI&}HmGg2)jv=$Em}z#|020!IjF z6#ytgz|;Q&w2WOE93d1fW8Z<ijD3wTa;)H8#y$hQ3a3Q{LW9>iPoQ8KJ5(=e%h*NW zu>xX&V+FK^0Te4>^9E=cyD&Ib25=es3*=?&H;{4~Y#Dn958-9(4m^+<9A5sjkY((U zn1(G8M_<NXC<tA~{@@H~Y6{nB>|PLMh-K{H<|T9)J1EkyEMtcV!<VtMgN#5rjUAkV zp^M0gTgHA=0PHpRJRUf_peBF@SV0L0G`)m-8GEw;BBOY)f~Vi0`gh=5#xBnXww3H< z?EC`A%h(rgz&d3GiX^;KR+$^HE@S6FnX-cLrl>H0=c&M_vHuVO&0c}0tfuk(`Tvql zk=Q9K$j~+6W$a%>FqU6^01H8;3P94}5q*$(p#BtessI#WgqN|u5rHl%0~zUvvWy)h z1vVHoJWhkt*k6D>fiea11S|yc7f2dxJ!mi)G&&FU7kEsW;4=0HFn@uJMD-U)3T!ZF zfSCrTvEKoE0>xi9z(NpzfuzCKgT`b@UdDa}<}Z+usQv;;feoh7GWH8#PoVhg3|I)_ zFOW3YdeEpQ$;;SJ!2AU=64hTIDX_tykxm*cV?P4+1d6{7fQ2Cb0!f3d2MrFAyo`Mh z%wHfQQT+vy0vik(Fnme<)7W=_J%Qq{Enp#tzd+Jp>p^2|Brjv%0P`2fNK}7;q`(H# zXc_w&uqRObwE`>z@fS!MY&~e4iR5MMOJM#28HwsIkQCTp8ZBdA0QLlmzvh62ApQbL zgRKV**O0u7eFn^5AR|%z1(E_AOrvG&Q^1};@fT<k$fXnFFOW3YdeEo`Xuc2JfF^bs zdk@TCAR|%z1(E_AOrvG&9hm-V0SiI=1(F6^4;nWhc^P{H%wHfQQT+vy0vk-DW$ZOz zPoTtC1y~5;FOW3YdQi`s<Ynw7Fn@uJMD-U)3T!Zqma!LrJ%Qq{9Iz0?Um$6)^`H(c z$;;R?VEzIbiRv$q6xd)gm$8GI`S_Nxr+__y;;#g-5X4^~X|VO6E+En}b|hmlmdGHM zvB$vt1u_!VUmz*4!9#Hwdju$iz)ML$EN~YYwB!ra!x_kB?BOERUB>>I3DF-L0?XI~ z;IRT?fnx=<U=0*21G$Xd9~>*xTgD#Ch#V_}ei^$5JXSy~aIAp(nxI$#ud^GVW$f<Y zSfSoB_T&G5Bi$!4=$ElOz+(l(0>=udrwob}@G3!a*OP#H#l);9!Lf|p9vmywTgGnq z4-qS9%h<(!|Nd`y$pd2<y92gm>@WW!n}f29{oF5@Ip78B@Zm2DY|GdeqL_oSjJ*wR z4rpo{6cLcYGOWwkBazL4E@Qv?9qf=^);&8wV}<Z#>;h4sb<@z(*cJZ%{tsST-HCS@ z`^@jZ!Kbl<s(FM{Dxk~Qt06&0(wH0aY3#HAKwP>-1y*Z<yb4;z-T_;7PSP@VZ@77| z@*ZYh1I#><ma+f-4GSdDGWOn;SjPlGL4$WpFmxr>W$Xqbpy+@tV~6mjsAzx(0>NW~ z3ScI9Ot9(K@Bc49@en&E2nkKX%h+W=nICl-y98JW(&ht6gPUd`^GIICE&^-wfs90L z^MRzm2GeL6y8ze|C~a9Dun@#wAZf7mpj1NgGIkD_zd%N!`U@llHkd}s*jd1yK=Bs? zSP0@TkTlqOP`!#Yh6}D<2`*#*BMcqG1sRFzFOU@2VDK33wIASg2s%6h*Ueo$pap3y zDv+9Fiwd|Vfvh)2J!KwbMrVu44`Gb4=`Ua*h`&J6VCzBVA&uc88H>^P0GSLqW&Q)q zUmzn<{RNT&8w{$ghT1arH=qy#_dP%?aLo;hcTgz}9<(2zW$dqoL46PEEn_eLhNy9e zz%uqH@K^z{z_9{KwV+r5PdN<GGWN&dSfR=?_H7@KBV^DoW4{BB5D*I-A)qM}P=pNR zGWOfx2muW@zGg7I<k7oD1>~yk7BJQPfF0Bvm1kh+Zczcv!$1T;ETjqC2Tq^{#Cgbd z-2tDGV`b1UW4{8A6%Y#?E1(G<P^=8(GWN^hSfR=?_WrlX5i;nPv7doQ2#5ua5YS8& zC_)Bu8T)B)givJ}`@h%75i;nPu^)j)2#5ua5YQAGC_)Bu8T(;ygbd&^_6x|%*rVPd z$LXM7#=Zw0ryv$MPC?U)pg0Bf^+8Scfmp`A8yu$;En{c!1lL6`X1+j<kU_tUeG5E7 zKrC>CfaXO(5i*d=*f)bCgra5a2Hube;dqW5A%lJy`x<zJfLP!N0Zr(FB4i+!v9AV4 z2t~`-HGIJlvXhm8f#JoXm&ma)=$ElCfyWAn1&$TabTlYd267quVsNZbw2b`(@-lWF zl)`J!FJqqrj};IL94ny7bWp4e<TCcz;8>w(8T$d~GIsAr$k}AjFJqqqj}Q<G93h}p z0H6pN$Yt!4!4X2yGWHM1%h;bjL5>x?%h)@>t8iLWAT)Rxdjkc_*r9q!TgKi4j};IL z94nwT44_y6n>Rqq*t@~8GJwn26~Z7D5*w&O5`2t^Q`j>0-n+m5?*tvm-Fe>dBq+E* zlPMmo7Vkj%j_o=C(pPyGGK0hGF%Png9TL;Hma(sT^!vZz0gql@Nsw~T_GZv>+{Qx* zuR&&B_vk#=d8qT?i)M&2#4>hp^Afs@9TaIuqaZUtTDzyHK!oAT*d0JdAT47Dr(ozJ za^jY;|9c4b8hjoP99~cpKm)9Z5W#gC`<{nLfyxS=euL`YfpZyq#C@=>Bu%LypT_R- z@b`c4IqIFDDXUwJSf{K&k%V{3YEdKBW$X>YplE_kSwVPHR4Rl)YuCY3Rs~=tc*^Sh z{onsz+JE}@zq>^Rbly3xse%uXsRGE*HQ{CKIiTE(I%Sms7J^I_fTY1A`XKW_{V7oT z>P9gZW2yk;I>=N(3T&zXWTYd?GIo#@*kJG!2=z~6PXK!YWojn|EClfvNE&QCXfPRR z3Isf+OmG={1k7I`BT@YYk^&nHo&ur%Y3w0jPoVfK04xOY7f2dxJ$UqU3V5*7qkD@A zcxaa3l$8(6Umzn<{RNT&8w?sWrNL?J9$-(P_{#+>1o0P08f-miRFmXo><%!0fs91; z7f1?hFpZY6+kicR;x7xZ5X4^~X|VO6!9kLjv75mB1u_!VUmz*4!8BUNZUFWKiobNg zLJ)s}q`}sM#@I++#;yVL7syCde}SaH2GeL6y9(G7DE?9a3qkw^k_KB38fPMT8M_S3 zUmzn<{RNT&8%(2R>=Iy4p!iD!EClfvNE&QCXt;*tW$Xele}Rld^%qDAY%q<MvGagE zf#NR?un@#wAZf7mpivK!m$9?J`~@-+)n6bfu)#E1#?Aot1d6}@2!UqxA^rkMgRKXR z8<4z={f7{AX#&VdRDXe_zy{N38T%KoCs6$L0W1XZ7f2dxJ*ekR@-p@}Fn@uJMD-U) z3T!Zqma)G8djiE@PryPDe}SaI)`L2*Brjus0P`2fNK}7;q`(G~xr`mu%*VHk{SMd@ zDE_(u7J~Q-Bn`G6)CELZ#*SnxM*9%4jQtACUmzn<{RNT&8$1-3v0ng%5O^sGhz0H< zgO+@OdN>2QjQzY2XekNxPGi@(g6NM8fo1F`;IRT?fnx=<U=0*21G$X-I5<|Qw~T$- zCFEEc^vl=}z+(l(0>=uduL+73@H)EzTE@N~94pjY#?EjNIaUV!GWH$tSOKxXu>$HT zgJK1|N^pRdv2O>*3iXz;XPrmH3feMu|1;o$Bhb1b=-?7$xUK@*GIk{tb5NGCv%}3n zUB+I3Z5jKmbI9&NS;oHqG|WAqscle1K-`0M8T%w;bD+!E`A&ij=w<!diL#8n0eKmF z<T=<1ZM@6aFP(raV+U392&XK7E@R&U@iIwcZph2nubhRr6muE-2H3K5l9sWzz|Dh| z_n@EyEsI|PGmoTY>?UVnfdm>8JXVEuOb`?_c*g|$s<19&Um=7%CJ5n8QCT1a9}}Db zW`f5Acc1?K|E2alV#fp_Z4koC*r$LpKkAs^1h5dK%?FYOH_brik-Ut(2iE2T8Hw8F z14)4mrqMF?4zMRs+OjQRA&9>~(qQXBsf6TZ><ut~fs91;7f1?hFpZY6*ML2N;;#y@ z5X4^~X|VO6dKGC57hJs(91|>o`3qzus=q)|V1vP9xYS?9UI6w4iobHeLJ)s}q`}sM zY9^#HTyO;iSsjnj_dqOT&w%+0WF)G;KvH0X!DF~ne*Q<=fL8O4fuXYpJOYm>_zJ*N zI4vr$i4X`4o}L5EY;;4^cb+=<Oa9<1X~v5Of3hBY$lTeZq9Mn?aJ)qY6fq18U?wOi zK}?Y0P`w~Uo#(oF3_yOwJPf_@!2<>c1{cjkjvW^}dsI?DAq4JwfLP#~8)Q7(yeVKy zk<QNr4c8NKelEyi*d5UP;DO`!8!nv(I<NJHu;Dn3JsDhcQ*RmjhU18uatJJAkAcSu zhy{)nP^tyR3V6z4fR?dGgJXp%%h=x^M2?U_zl=Qu9w8tWI6^>ECZGrb&y|qd?Ep=W z5OWC>D4Srp1S%LDA=F#O-gyK$RtEhtb{}}GfLP#I0ZsUTVr3wgv3rAKg(}O~PwYdE zkU_tU-31;YAQm`6Kr>aK2pPy_?9Si_p~^CL^S#IsGU%7F+rT3P!~#bMXo?LKAp^OL z-5MMr1GtQx!yVLZf^OcKydODE2mLa36L_40Sl~DXO)rAt6x7!THQ5JZ8M`q!PAOW( zZUJ4!erYFigbey+>^krW0kOal0-6^EMaV!dW7h^p2t~`-GoZ`Z9d{r{$e>@wt^$t` z5DOe3pb1@2gbd^|c4cscP_&Fa0eKnw?On*RGU%7F%fMp=!~(|(XgV4cD+9TVT^bxK z6fI+C2tcY$Tu}<ILBEV$1Rg6O7C2Twlj)#X8OUYq!r)k;Xc_wt=rZ<}&B)ng&@W@> zfkz041&$EVDgaP~4CFF)Zg7N9w2WON1d>g_dvN5oA;${dW$YEuW$X|dJWf_X!7_HJ zUecDav%q5o!~(|(Xbl4>R>0;B&@y&raI6gAGWH1MW$a#C5OE4y#(r!a;brWb)<I@) zcpLK}%h(|?jcXbE<IT`z>_H&qIG3^Sfha>PV+S`cq087ok%na%J46`1jJ*<M1ky5g za0-SlB8QCH!rXWPybitxI;Lv~x_B9!%+1kE0EZXU1kivjC;@?{mvEoP{&f>lpt6Fe z-=O+;KxY~uw8cIS1_p**OrU)M6E{Etl#~mOk(aSIZbDwhE*y+?$_f-oc&Dsx2Vq^t z{zDK{48WGLLwHkEJ_y36tX_bb;3+GPjlciDtT;^Uloe#?8fCpX>WMd?(d*6@l_!Fr zwQ(p@1rNYNkf{QYG<ZZGWFDwLMba|%JA%;Vav&oeQI@fTq`(G)hR11e8v6~fCs6!# z1uO*d7f2dxJ!mi)G&&FU7kEsW;4=0LFn@uJMD-U)3T!ZV3WWO0*w27Hf#R<dU?GUV zK+<6AL1VHcpT>R!<}Z+usQv;;fei+Y)6!rW`vI^gQ2ezAEClfvNE&QCXjGHrW$Zg( z{sI|^>MxKK*kBqhW8VVy1d6{lfQ2Cb0!f3d2MrFAyo`Mf%wHfQQT+vy0vk-DW$Y`! zo<Q-}60i`&Um$6)^`J2}l9#bBfcXn#B&xqaQecB=w2XZY*b^xJngJGq_zNTrwjMOj zMDjBBDKLM5j70SpND6E)jh3-b0DA((Up-(Uh`&J6VCzA{H6$-%?|}IWWF)G;KvH0X zX|#;J1?&kFe>H%GApQbLgRKXRdXT(~y$0qlkddhV0!e`lrqMF?3a}?o{8a)Lg7^z0 z4YnRMZb0%f_5zr{Kt`ha3nT?Lm`2OkbHJWJ@mB^|2;wi0G}wAj&zt0B?4TjnnJ(Qu zDj*|K{RNT&8%(2R><M5`p!h2WEClfvNE&QCr~^y#GWG~qe1VKa^%qDAY%rP2*g?&F ze9PEFz@9+yR{&TD;xCXi*m_VG5NR1ZlCc;|Nf67}ePI3q8HwsIkQCV9p}36Q0~A8w zr6eF0xQh&0@&)SQ4CFF)cR}hdV^3X*=#LG7W$X^{SOKxXu>xAK28xw|T*ht>juq-H zV?VzbIaUV!GIk4itbkbHSON7lL9qf}XGiXO5>UUAnDr!}bHA~yCou=d3iXz;TP{M5 zl|jFZ-2fgdAQm`QKs{wptbkVu4$v}oeQ>N$ZyEdI1&CNdTgKio7d&u;v5b8Kwq@+m zDCVFnV|Rd?gSw1;1-51E!YJmTEMxyM2j(8o)HWz0AcJLCm$9FokK`}tGIsY_U;}zt z^`cRhv422b#y)92Y=t)7W$fIuAj{Z6)jYx}C!ovN-$J}h_A++f`4E?4E@Qs|TXs&; zGWNamVCKQfdr;7Uma$)enMcwx_S|`}Kmsje|L=`;Ob`?_c*g{fdt+V3u7ENo2;ogp zkpK?_f{(Tk05id3f?wwR{{J#%J+WhgkTwY6W$Zkl%#XT^odYZcY4d@k!A&!ec_c4m zXMwf(Kt`gr`9M-&gK4ykodN6#l(y_20nqR##9tt3u=Su+Lh>^99|F*^PLPqP{sKvX z4W`jD_Ag*hp!n+pSP0@TkTlqOP`!#Yh6}D<2`*!Q1M?TiNK}7;q`(G)>e`poU&j6d z><JWqJpl_r`~{K*TMw$4kj8Mq6%@f`><?i60vU<wFOU@2VDK335L?E62NXi!z6Xc} zuDL<+4l1QVvn<^Mw2b|>0I2Uly=Ck#XCP|0A+U`73OrUoEO4xVQY|P}z*7zbw2b{S zI98~#j9p_ga)b=}W$b6*5dva?BLp;M0*a7<T*iJH93j+O#(roja;yycW$Z`bu>xX& zV+Azf1B#V_T*iJF94k~=#?CkaIYI{gGWI?22m!Ic5dxa20!7F`E@R&fju5IWV=w4K zj*vmWjC~6{LO?8Vgn*{lKoK&K%h)%ABV+)Vu{$6yV?TqE$p-y0_BHT01+l<!3YuO7 z#VM$-4{EXx#4>i!dTIWC(8LMsW)P68x?5C0RPzHy(0xgu#b+%lpgBv30EmUOn5Y1{ zjGen1Ig<_gW$a7f5dva?BLp-r3W|_{T*kf_oXJ4Z0*erktGZiMKolhWL9Ff;70_fW zL;%D>ijW1+W$aa*$PqH=m$A=*M+k@oju6m<E+|3<avA$<aD-5_jC}_3GIo(3<X9Q> z%h;#DV+F(l#|mgV8Wbx7xr}`>I94cH#%_VUjJ>W4IaUV!GWH&LtbkbHSOHC@gJNYM zm$7$)V}+t+>;^%g<&x)L>}^4gkU_tUy#*d2AQm`6K&t>i5i*d=*qgx-LeVnz1mtDx z;qAz=f_E8v26P!aga$8TPXM(fdQ?Db1F#(T09v7byhR1H$N+T4!|@gs(6Rs!6C8>V zy&y%f;~v1~TtE0<{@{CQ$Ic6mj6WRFj(ezq#|nrAjunvcaPtOe8GAK2Rt9hx`vl}= z?9Hu+IE5`^|6fmd8T;#c$czi`E(gdmc1TRamWXSBmWX#A_vkzaxie0t6}pVQ8>AfP zGWM@6U}cD9?BM1lbQwD+0U<SXV5hM|gyGBBH-U^mTE-4e!O%tI#4TelKr;axUQiQ2 z1FWC~1e#vLy^LKCsrd3>1y8?0_3yyBjQvz2BtXes#=ff=c^SKp3f3trP$c1<vJz3j zx{SR*08}o+ma#*4Q&chp;LF$(z)bLzm1EQI|1URAA$H0NGIULN8G8&UH=|BjMSz7M zQw1Pt@Q6OhJWziMy4)KSVcmOFKu5o0EK>q4kcXVc9s-*x02%3svWy)h1vVHw1w#GP z*aN_xK$!yZ0SiI=1(F6^4;oBHngRijDHB}A?g8@`$VgOwfuz6&gQq~Kzl_}l><JWq zIe>*A{sKvZtp|<ClDv%F2Ieo2k*NLxNr4Tf(K2=muqRObWdat0_zNTrwjMO9N%Asw z1DL-+Mxy!)Bn38@M$6cBz@9+ymj+k};xCXi*m}_5Aj!+vRbc)C8HwsIkQCTp8ZBd2 z0DA((Uov1Jh`&J6VCz9+Y$PvZmw@>TWF)G;KvH0XX|#-81ndbEe+htvApQbLgRKV* z<&eCLod@PGkddhV0!e`l1`PzgJoffK=++GlSq27Nw{Gx2ZruQlTY#=x01vP1Q2~jf zZdU@C(b=NH0rmunzgWOR5PyNB!PbM!BY7D+1I%9_BT@YYk^&nH8c%sy{|@Xg4m|#P zBLluO7cxq*MFl)cu}1|Yis~<r8J#UEfA~S`5K#Q}11tpb7f2dxJ;*$gm$850hb~P3 z8HwsIkQCTp8ZBf00QLlmzutg_ApQbLgRKXR8<4z={RPZlAR|%z1(E_AOrvG&Pr#l) z@z(>e5X4^~X|VO6o;S(M*zdsn1u_!VUmz*4!8BUNego_Y6n|X-3qkw^k_KCU0o3K1 zq5@iU4$8LRe(WBV9vKD(jCRxt8F13>oT738<}Z+usQv;;fei+k_%in+IDdgUak$Ej z97z6xblJA3fSUREma(4!djiE@C%{4we}SaI)`QGLTE>oKEQY^8u7k7>kHGu|G7{Ba zAStlHp#JJh?N7)jBKEw&aw1{_c=KtC3aAAK>PkRpa2FZ8bezzMh@drDpnmc378TGc zDi9N73{)>^Cn6pIg%Eft35W&mB7=;Fn@8?a5|G0{jaAGe8BtF}e9}2Z<qN2OfKaWM z_~#$&=uz3v4_Znxot=RJHVh7ORd<UDh-!Yo-w9!LLqY%|0J0LPcX+}I)MGinlNq!{ zcwQNzlRE^KvG0J#3Wx=c70`k;P^=8(GWPA@Sh>lDh!v2lx?5C06eJQrtnL;S&?-2H z0EmSYD+Sg_vBFV`94mu<8T$r!tbkbHSON7lL9qf}XE#90*w=$&MH(qqK(6YBoSfSH zpuQ8r>TXd1bzmU^AQn=rNZ3GP1$;eUQ899?4Ekm4E8wvLVu51?)Kdn<3V4;^04-x* z4vv*rRzzL_xvING1w=t20mK4bSSQcG01*In#F1j<0CKF{DMZ8y+A{WodEkMg&K4EW z@&J&>A%jyduq|Vsf?^KJGWJTiIjGCnA7ER??t@|u$})CCxH+JyZG?NUE@NjwHV3+l zy&)Uyp<dQ>9h7D467is9dTb{<s7OCu09&DrcNx1&He?w)sG3JOMW6s=((xB+`4F2( z8FNEEjoqyPrXN%>@rNJy#4iY`nh<tXAZrkXYXFs$pZEn>7i)4efOUZc5V|%X>$;y0 z^DAgIdZ8@Vp*@ff@eb`-%3@uOK7$_=7O<f`2ycqY1b+C?UI&;79@<OG`~CmrwFY8` z_CSFGYCD3PCXg{W)UFX|0Ist|r3I9SQHS;#z(SCw8%P@5!UCB`@@n)NSknz;Bx=(Q zBn37Y)OL6|`8&8t`UX#z?+mD^0;%sn{sLFVkTEz^e}T;CY*DEIdjh3NS^^e=_zNTr zwjLDqB(Fv<fcXn#B&xqaQecBYweQQoA7Fo-z~iqq5PyLx4v@dV)fr^jII6!uW^}fw z<bXYa;;#&_5X4^~X|VMm^N@yOk&MM?YJ*IMG__M;{sI|^>MxKK*kDlo^^*T5*k7Py z%W-ufdLaG+m9!v#fhz>avT;;@fz0S^QAq%M0>xi3U?GUVK+<6ALFR#4x6mPGBx5oB z1u_}puLzjGKt`ha3nT?L7*qqiJUg@wRSyA$5V#8gVu34QP`rbRGVs_txm^fQ9D@Rx zP!|GpS~Qj}L@>Alb`?WZz#vz_n?>yC%_5Kh$V#LN_yTm{2Kbbr=qyA9JOoyw`@mxb z!~(|(DAj^u1=QaJ?N3Kta0V)}h&bvVltMwHQ?d*Uov4$qs2#h9puqr8?wg`=L6(65 z*0J*j$4a{>B33}If;Wpm3(lYdAd4XDn?Nk2STS$`)mP_V%t}FykU_s1-31;YAQm`6 zKr<hp2pP!L=+58>IVXaM5Rj|7TU0<)^8-+>0I|ATz}MwM1V9rjND=bD2^=Aid0vxr z<X9Q>tI=)Xu>xX&V+AyC1B#V_T#arGjuio<SOK}JyF~>=L2?C%)!m{3nhb&nfLKVe z(%}q_l^4Z{$PqH=SEGa0bh&_Q6A%j=A)pB-P=pNRYII|8goFztvI)pl-7P903KISx zR(FdEXch}10Ae9U$PH+@^(Y=WLI(Y6bRBpO0kOal0-7lUMF^<7**!%i1hpc`kY!*X zq9Os!igiy>0jb7Ykv!_0q7ne9NI(><BGCp%$UGrLgfPg1r(-~lYkt5Cnn;2OfGmOt zfLPrvDj*e|Eh<p;Ai>TS6&8qMkRU`ch}8`dhX{aFAVp_`D>#2aN<F(I<TxGltI<{9 zaSCFA;}kSY2#QlsmmSn(M~zbt;^GuEBiKDf1*95poPrt$29P)fQLs2w2FK|lK}4K_ zTt(7q^a{uxffuzg$PqH=SEI|oBLu_(M+j(o6BHrf>C!1GBB&7pnkywDlYyo(LEAxz zX{`xBA_PRiB19S-Auk0G5dv}*NvqLUK(`0Hi9(K$LBASZ1RfzE7C1sc^Rl1_0nhVJ zQTYOz2s^~md97Q-pnH!BXp)zR2m#H(c25DT#+yT)bxu+F0Gj`TP_PIQ21f{JB?xR@ z1mvpj78MZH{J_2w!s>2O0a*kQ0I`tDts9W-0XxA5wzkD0$I75zjm`s)6%Y#?E1+3r zP^=8(YIJUJtQ7GhvI)pl-7P903esc-vASDSKvUHa0T2r*R$lla)g~XJkz-}huSREq z#|nrAjup_HI4D*Iay2?LI99gsAz}sOs_qsQ5Cv(Wfmq!wDxisWhyaL%6e|UgJpwQE z!;m9n(62`S!^gk?X+wip;0OUt=7S;xJc~a?WeRHH1)9GnqVNJu+;>k=0jVZfcujy5 zULXoqc>U%BP3r#UMMMaAD!xSp<TyxK1!8r#fY%&A1i)58RCKn07l%WoJ0a`CyCH%Q z#Zcow^Y9P>5DO_fZ$LH|>;%srbVne^Dc-g05zw{l5E?vD9{^e$L1-=e0XfjzJoxIu zBTyzN4xoBTTg(0h9;YA{I8H&v!_6aiEj!3zpw-wi1jchf6;c5tPC*nbPCtX=6nQOs z1Ee7havUTQK&<W-6_7;`0T8RZMFk|@*`fkf4-y2;1VD6y1R;t+tnL<-7Vsn)sD1;n zkmB?LbbkUkPPZfFQ`lN|<3Pe|+2sQv(>T2E1R-nLAu)|>Eqg#1c#(Q9?|zVSQ0RdM zf*TJdfY-cV_vk#=d8qT?3r&bJ#9DT6;}p7<9h3-=ya8Lw4iSd0Wq%e5c33yeCEyec zT}e*dTJ|MqCV;~WY656@7L<S>(}f(MWhvb~DiBfdq3+=M;G|GQM)6<;&%r_U@4&g1 z{a+B+-Mw4D(F>m5QjlX{_{1;Jq5@$ELSi0ay#vVl&f`1fL6dv$LXg+8H+*Gf01e-R z-Pw3-M==9~NB15TP$c1<@bdV|%CKX_R&fT$&O<)EvWDECI(CoB3qBAHoA840rl>sN zgHL$f05ic8UZugm|G#{iO6-IeXowl)QcxH|7oDR{G(ac3u7Gkg>V($?un=UT0VEBc z4*;15>WzWYS2xNMd5nn$kjapVhBJK7wSXWa9Z}Y@gQUO)gGRbvK79e6Kmm>X;+jB# zPI!UFWI_G{4;|x~@HzqZ1j+=;5wH-%Um$6)^&s;=WBX8lAsLI|FOcgX{yG5j7syCd ze}SaH27|_mUoL(L_7`YM375a16JDU9O_0C9Bd~ZTy!L=Sf#R<nU?GUVK+<6ALFSRX zmL1eY0Uv1#G7{BaAStlHpdr_nF|WY>0!=C5@)vZ%3p6+g@)vjv6VHU#2Cyek{Iv!w z1o0P08f-ntJd)S4uYkoD$VgOwfuz6&g9a^MO1%dAs{_vjWe%uw3K?w!`3pQ0xJLyf zikiPbW^}fwECG80#a|1+LJ)s}q`}sM$Lywn$L!FI#Yl%BlOgGF4$NO5BT@YYk^&nH z8vA>B8R9R{5Eria@`3mZG$aLb0C+@gj|xZ>)n6bpI$Km`fIWfYuPI<5h`&J6VCzBV zk-V0D0?c0^BT@YYk^&nH8ZCR-^9CGWpkW?d{?dW?3p9QM@)vkiX^#p>6xClKGdf#T zdcdAQ@mB{}2;wi0G}wBOc_go8Z-My>WF)G;KvH0XK_gc$JE*>vy#eeA6o1u#g&_U{ zNrSBi535W8@975x7kJDEvP2#u9fC%FAnC9I<}Z+usQv;;fei)?0KIgi`dao9uqROb zRR9)(_zNTrwjMMr0h%oYH=t3L$Yb~mG=Ku}R}RcyAR|%z1(E_A3>wsU`3vH&7c#i_ z9-IL+Ss@*9P{4vm3HGRfL{ZZr$c)Ywl?<>aQ2dnw7J~Q-Bn`G6+?}5S?#`nbi{USj z$q;`f!2AU=64hTIDX_tyVS$%B-h=bk2|WH<1MwHA0}FBhxSzd81tf~<FOV6XEh;f! zPoVfK0xSga7f2dxJ;*$g*RqGe`~@-+)n6bfu)&~?^~<skV1I%7kGRSW(DL$bNPiFH zFK~AivgjPuUm!C&TT}wTo<Q-J4_FA|FOW3YdT_sz)V1s$Fn@uJMD-U)3T!Z_fBI6N z=(X&iJ`c!Wpk_Y4wd^inPoVhA0W1XZ7f2dxJ;=Q7Dd4^pnz0z^5V4ls2Ieo2k*NLx zNr4Rpb;szjmOTU1+-CrHk#nF-P?rF_V5~=lw6*LOpb!F29fDZkE;7h?xOr2+<~1Y6 zY(Y(CB8ELc4g)n-2`xmyv6kH&+(rJn2r=vda#eSW3W$O%k_NH5TU0<6K?Fc7q~0OQ zTJ}rsi2fLj*0O`v*@1>G&~_Mrm%H_-Tmj7{oa^Q>0F~?@HtISMv`zR1@K^z{z_9`{ z9uzBJ^QM5!Ly8qpgP(|40WI0X?f}$<D4<vYtw8CXg0c`r9~>*@NU;KP6-he`P}Z{B zxFN?1P1dr5RtZ951+=aY!~}&RcvW4G$`(*eKw|~e^FbS-LyHv+c&va};8+0}4>yn8 zwd^2=QE()?IyhD;7b5Zs$W`zi2B5X<PyvudkX6$l7E)e8S<Al61vyq|vX&jxMF&O2 zamf055EB%N;5C6gDpQbR1=MfDA1ey*SOKxXu>vw4ZXUU7*+IR1(7-LoF{qgpHLrjU z%AWvgxld66QLsTid2p=kUVw-dkgMQJ=|Hgp6#!WTi4_nFDOOO{vI{sPVg+q2`&WDL zz!An;c8zGzn9ml-3U}Bj(FrGHb5Pc@uZ5d~x|Urb7Ge%q6lP8Xia982*;C=>fVwcC zhyV{lv0`1zZh>qLbS?W98?c9ZSvUXX0*_jO^*0_rAOsqOItCf;`tJx<1RLh-Za4v! zZBYTW6A+gEkc2oMEDCe{VTj&d)*!G2Jt`0j4jM>-EI9Ac3AJOk<M00-y{sPpx!?oX z$6Hh)pq1|N7L^zTGXcR&K`=qpB`A4!^M3vZ@(aXy-2#ReJA1(6eBBVL^`ry;)ME}E zTU6vg38yt`J}BY5X6R-;4A$2J_EvWwhv5ZKlN&PP3#tNJ55P3af;B2aHNIv5L8xmP z85kH0FM0HC0lOV3aKJ%!{6(`3*q;d?t3WM8IdJ2GJb!Y74CyB9PZ_Xt%jd!Tc^euP zpoqfjPie45JE%sGFJChd@TY+=C|-}hm~9RAX9dVAO8uz}GK2_!N`aj_WiHI0pZ|c; z0TJ;j3Dy`5)ku^-Gob$5Yz6k`1dvsf`qLU@2oe6206TZ%9GE}3!TN~sr#M(+1ymzZ z{#*d{=V?o@KUe&wLjDW}8A60V#lX%zHyh?pWw1UX{3#07I2EdqD1Y97`tz{`*q;Y} zQNf?tAVY}orwG`&uV=yhX${s#gg=GB8aF{T66H_OqD_y^<1c=jgZ+8qCl&nJ3^IfW ze+q$}%K>$6H)}9h9})f(1ZzA$6B0l~`O`xLQVt12{Q2Pr75q6HWC#)d6aYI{73$n> z)@-moBK*k@*7#-y3I1$=`cvBsxv{|jPR)>-;W%U}3sf~8hs;!hn4p>oRQ`K(Gjwxw z2e5p<q<FFUA)`abxz>}Qx{(j;lr*qYkkxvyw4UUjdZ=TH3NKhi2v|io#E#Au6;Le< z+BGQ0z|eYtf677rZ3jDBz&%^gDtgeg^c3)HDdz?LsUR5+J_d%49u;=5VM=I*LHj(Q zIn_?cUTSy`2(%O*Dh=vtLDolrSjQpzpFn;*4%z7oVnX$G_kj1Lf4``BqWJ-1$D!5( z9aB`;z;^S1qYJuayY&G7RM19mR<IN!ObXPv?`#1d)&yE*2HH4)@FS>W2wK?$X`q6d z{V+c=K>YZhmw|!5^*qdvP{Y7;O%R8If)CQ%00~081Y&{06Fk8T@-%1)>39ox{X58G z#~~}<K}=8pgS7XkfYhM+@gLakoiLAp6u|uW7c8|7CIy-!>TCh`dO>R$Q2hv<Zv#_P zz)S97e*D1;j>qp{!)nkB1J7eX9NGz4f=qa6@^Q#YSdhn#x2S*y_CZXjzV06IqzI}X zzk%&e0~asQmCi6feg#WK!=ym-51{oLpr~q50d+@_(kiH@+T8-~utMi3V1E1n@#A~2 zVVY=$fye$K4h5a20!rxw&nZ3*IXD;OvEz^<b3sh#iqh^L@TtbAetZYETNqrkbwiFl zhWYU=Sc(HC1sb#OY*B&EB!Va;KZ5#t-7P9$3No$_^WzJMAD@E_y9V<k)G+Y4GQ^>v zi@PCy1PMaYDu@MNMFvW%pyA@<kQGfJj~$1sWdbpwtIxW7z=PDNetZVD`vA-%AVXk& zd<vG@3X=kj2Y0rBd$HXR3dxVqxkfNG1-ys~=EnyRKi&r$){JHtbd?ThL>PS$56DYU zY0%Ogh^IlU<B;VdAdek~EF}Rkp^JaId%#CUqWbY3*zR0#ORT#EtN`Z6yI`p#m=tIX zwX;P9G`|PxfT8Bc5=aULQ;>01m>+LI{CFK~m;st$1)yRA;?T|(l@bUKBna^mh}8`d zhX{aFKsq%bDNuC)?!~e0fL1>1^uZm(URK}tkQO&sy7Ax-@Q!G3ClAywda=zIsgmk$ zs0atu(U4jSWGJXU>gIs<*5CpjES){zDg%^7WEmJhCLM24sX+AnYM@L+Ed-K;F3ag` zQ31&xZ&3lc9TYFeAzlM9yID8C<6`K9$aS->f^b0I?S|OU-2>KUcmmNC1ew;|0}eH? zFlaCoI$YY_10E#hJi$NpP-lzE1yCGJQ8^EegP@JDS{-ycHfXu@3r7AapepbLNLi1{ zagef>*Wlzx6R(Ewa3j3x4dGDBs|P@Sn4+>D<cHSs4Y<6z1Ej1+Wjjb&ODOHUTFH&% z)dC2IT3+1%^1~FB^&mgA&RLJkt1CdtdQ_H!l(p;!7o;?a)n!~rUY!f!P|K?eKz^8_ zG9ToJ)`RPCd36RzS&zzekg^s?y+{+Up5{dI>LCb+T3($1^1~FBevltppRL8^)eexd z9+h^GvX;r<W)4lf`hf$<tIr`EYI(H*<cBFL^&mgAa^v)B1xQ(sN;ybb%U^J-l_p*l zh4>CsI(M`3KseO$Y5~X(Q&jRnerPpVgDX}uK+1Yl(m~2v(rM>aBX*=%)r4@U<<$g` zAEv0pgZ$7Mx*C^PBS6Y}RKh{ZS}slpDWgfO`m-T<)eXX-mRAEnewd=-5As86)hdu5 zKw|>v^{NL*S&xc4NLh<D?Yx@9isaQ~2!~o;bpZKcii$nR53Tc8;_|8mNLi1HIY?Q{ z(rF-NG>O$t79_7WKseO$ssYFkQ&jXperP?i0^|p5v8n-5)}x{hQr5yxJFl)|M)K-X z2!~o;RRH;6ii$kQ53Mhk<MOHmNLi1HI7nGb<y4R|n#Ag9CM2&Of^ewiRRNG6rl|0P z{LspW)2kdHWj!kFAZ0C&r_ju+FBp-$dLP1}mRA`-ewd;H+HJt!YP<~O2W$!W2M=VV zgolBFzr~k!US);&4pOiFVF3G^T3-DC^1~FB_aHyCMl8kU)fXUTJu1&Z%38Kh1}URS z0#<_f4&qfw2!~o;eE{;q6qWlRKeW~^0r>%2tlj`A>ruH5Qr4nKJFnXRN9wPdK{(X% z>IIM=rl_0;`Jr{uVq9K50aDhZavY?rrF#-c8BJm}<{y$*gCQJhdG!Fu4^ve3gZ$8X z9K6g1TdeK?DeF<$4pP?gbt28YTKN~rs|64awY<6k<cBFL>p^~KeFI**g3YTdK+1Yl zmV=bF#M92J)BYfNwHLynmRA>m{4hmjKFANPf;hc81Ej1+WjaV%%gG5ei`C7)k-WMJ z!l9N|CxHAgMWr9)hgLK2+81oG+5uA5qtXsi)?!RMuU`0t<kjO44z;}60P@2Wm3ojL zTBG5MF3_9%6(D6jD&-($Epz*67OQW6B6;-*ghMT_7J&RPMI|5Pht>x8x(jr#W`LCS zsHB6GwXoC9t6UJ@LF!dT2!~o;O#t~}ib_1l53Nh#OE1v98Ua$)qY@5M)>7C<vshLC zffTE95DvAx8UXUc6cvAvA6id=$KtW&RS%G|9u;?xvX<MuH1n#<cO<V`Lpap(ssqRm zQ&j9herSCU9^l31RSS@^9u;$tvKCj`c{TAHl2;=j9BO&h0OW@$D*7Nlw2I*Lss>0| zkBT}-S<Ct!n#F3}S0t~NLO9g&sshLlQ&i+ZerUA>k7Z+vRSA%?9u;wrvKC3&d3E*| zB(F|_aH!=~0gxZ2sPKdQ&>9CG;Kk-u4v?}Q6?Txamga7n#p;gFNM2nJ;ZVz~3?M&D zQTfjeT9(iZ9`(fL)gRoD{whdW%iAuRdG+ciB(I)<aH!?g4<J8GQF#yYL+c9gP#`w1 zz5prfQF#th))G!TuYUT7<kgoD4z;}c0OW@$D)&KtXgv!aAH(L=8z5ypD%U~ES`K#7 zELQm;zJoL&*dQEgdG!Lw4^vdmgZ$9?2|PZA&8sIs%6e3egOs&s)6T0pACO{I8N#8K zR}X;vFhylQ$PcaJIK8?9q^w6}J4ji})DD`(s^@zouR1_D)bi>EkRPU~tOxm_)doC1 zhAmcCfRy#9EC(rT`QJ`6uckrP1V9pSEQCWXuPy-jVT#IpkRMtT!GlKFygCD<tVd-! zNLfoJ?Y!Fj7AaP%ARKCWbppr_Q&jpverRn24;o?fY6nPJk4ig8S<B@%n#JmZH%MNc z4&hMCs|_GOOi`%^`Jr_+cq9dzS1UludQ{3m%35q`=heNhk-WME!l9N|3qXFDqLL5t zL+b_b@B%ikW`LCSsHB6GwJdL?S*+fAh2+(X5DvAxngH^{6qR_8A6mbH``p;P8Ua$) zqY@5M)*?tduYP}t<kfc&4z;`*0P@2W6@QQ)TBUG$)dQrgN5vhatfjhzX0a*)@g1ZD z=7w;n<y8leAEv0-gZ$8H4<25?7ONH@Wj!k9AZ0C2n`!1%%NIzoY5?I-%c}+;KTJ{4 z2l=5j1>EPx=2Z=lvK|$6kg^tk+IcnXIg(d>AslLXRRQFODJt?HKeTp&JEGXUDgjc~ zqaqGc*0QsSX0clQ49Tlm5DvAxDgg4s6cv7uA6nOeJEGXU$^lZ=qrwhS)}l;1uTFT1 z<kfZvhgx1`0Qq5x%6~3UfAuoBcZSWYKe!<MRgkil-bR|m>e?qrUR?s=P|K?yKz^8_ z@*d=e)*s+53pTI504eKHc@9$6^1Xp(UOn{~$*Tt;9BO&>0mu(iRPKZP&?<}5t2aQ( zdQ`51l(i(%&a2NKA$j#4ghMT_UI6)FipqJAA6lKjy)$gFdIF@ZN98z3S<C5qn#C#$ z#CMQ-_4h-tzp3Tb10X+4QP~gjLu)#?%Yx0TJ3z{MRJMbZwV2Y*tBMfcLA)vf;ZVz~ z8$f=TqOu<3ht?i&J06=?SAdlDs4NF5Ynfk1vskrz0FFqAS4|-tYI$`5$PZIg=7apu zx)I#C#pcx+AZ0x&(?QBwIBDnA==(@s4T5l}<<$uwKTJ{S2l=7(8n|(b&8r<CWj!kG zAZ0DZwKR*>hI>d}Er)QZ<<$m|AEv0(gZ$9?8{Fc<=G6+2vL2Okkg}G$H8k_;+`C9# zodV%d%c}(-KTJ`{2l=5@0jF0pK+1Yl(m~2v+-c|4U3ZYYx)H*mmRA!%ewd;X5As8+ zE4amnEmk8y%6e47LCRV-R?{q2uir-U>NyC9T3!tR`C*ERKgbWQS>P5QHm`bsl=Y~% zgOs&M)6T13ZXtQ~HH1SguR4JIFh#{4<cHRNa5D&-S1mxwdQ{9o%34~hXcnu25Z^&6 z8x9DET3$5(`C*ERKFANPTfl7tY+ls>DeF;D2PtcLUr95s>fJ<&RaFRwT3%HE`C*ER zJjf5NH^CJqHm^#6l=Y~HgOs&I($1^iH;}yQ1mRH2s{$ZDOi|$n`Jwe6xWdHdRSuA{ z9u;<wvX;XYG>g@Y>quUWhj6InRR)kBrl|bq1oc;yaeDO!C#1g$Qr4nNJFoU$L-J}1 zghMT_egOGlipqPCA6h-Y6(+V=eF0L|qw*Z2tYvyR&0=-cRV1%2gm9?k)dwIyOi{TH z@<VGbxE8_Y)f*sXJu25h%32t~UVY5~+S3Z&8jEM|D`@K~NF8`HEO_rLXu~P$0$Wgd z3);Slypt8OUlq2M6|(6Sy1Dl_<S;*|4$yv85VM<g`(|)3fzCGSW?cv2fMmO&`(b;) zX8?jXxk8Ux00$yulPg#lbl?K&(F*XruOR<VQ8^Ftf9o`yo6Jstl=Y|_2PtdO$5K&I z$*U0;k-X{;;ZVz~2S9$9qOu?4ht}OVH<|4KDeF<$4pP=4NIS3AUO@6{34}u}uWkVO zVT#InkRMtf;M`=k0;H@*WjRP$%V#VV6_sLj)p;bZE`)HX<<$isKTJ`X5As7RD^9P@ z04eKHnGRCca+P*oJ%0|#tH&T5YI$`6$PZIg`aynZ)xx=-t^=g3N2ML4tYtgxy!z!V zl2>0tIMniL1IQ0kRO&%~X!XarpRNL=tVg9Bq^xBo?Yt@p@g1ZD7JzW5<<$a^AEv0} zgZ$81f^$Dz21r?tN;*hcOEvAhYIX)GR&^m9YI!vQ<cBFL@gP66&cwONECQsgM<pDj ztR<FqUJX8t<W(;Shgx0@0Qq5xia*E?t^06pGV=f_>rrtBDQmH(omUG^A$c_&!l9N| z9YB7VqGAv7L+fLlo6Iag%6e4HLCRVbY3J46lSp1|fpDnhRRfS8rl{zH{LspQ)2kXF zWj!kDAZ0DgwDanw6G&cN3E@!7s|p}LOi_^s`Jq)8=YBc~kg^^Xagef>r&xMLRBA$; zKaS+pV-OCtyea_l!xR;MkRMuuaPFt$04eKHVFxK|IZivTzBz{E)yEJHwY<sz^1~FB z{~VycZaL2VbU!#CeO-{UmX);gDksEukb0E?!l9N|KY;u&Mddxn53O@>ZZdlTQr4sL z9HgwJn|5ARJBk#mvJeiny!rs-hbb!eL4IgGh;x(K4Un=PmFpm7ExEMws`C*fuUbJk z)bi>DkRPU~oCo=#^%>4hW+y<(dQ^^sl(qQN&Z`NBk-QoX;ZVz~2S9$9qOu?4hgNQ! zUflsw)}yi=q^!k|c3!PLgyhu{2!~o;-2n2#6qWTLKeQU)+)uXxq^w6}IY?QHFzviL z>mZU>Cqg*X^6CPRAEv0x2l=5j6z6`r86agnD$_yATE2qErD!v@bO6b#>mVFzd36HF z4^ve7L4Ihh!nvQW1Ej1+r5&WK<vQ)WdSyS7S5HGY)beTr$PZIg>Op>JosV;qSp`U0 zk4ia6S<6n^dG+HyB(J`JaH!?g0+1i3sN{qE(0T;tCbJBXvL2Omkg}H9wDT$-#CMP; z1S^C?Ew3hk{4hl&9^{ACmpC_>MSzs`sDy)*wbatitJ-^!VpR#kp_W$zKz^8_;t%pe zD<4j;dVrMmsJMfawZzlTs~&rhylM~OP|K?hAU{k|u?P8~)fne~It!4p9u;$tvKB|$ zc{O!6l2>CO9BO&h0OW@$D*7Nlv_|0EPp1J=)}x{hQr4nOJFhnFLh@=QghMT_DuDbj zMMWOuht^u0`{^V=%6e49LCRWKY3J4XJCVFP4Z@+8R|P<Rn4-cD@<Zz)oSV!zK+1Yl z*g?u#o|j?H;!&w<zGnxLS2sgA)bc6=$PZIg{<DMntH*I}GW)>}>92y6wVb4#S8r}d z^6CW$hgx3!0P@2WmG>Y&w7$W)$?OG4S&zzdkg}H5wDan>ZAe~y3*k`9s}Dean4)qY z<cC&4oL;>FQr4q#9i*(Kmv&wihWHNBgy4d3sO8lQAU{k|IS=wfs~OJybSFT{dQ^^s zl(pp3&Z`Dnkz!RH!l9N|4}knIMP)z853SKS_tWhFDeF<$4pP<<NIS3kZb9;@3xq>0 zuWkVOVT#InkRMtbaPFsD0aDhZvK*wW#h7+p&4R26fF$5V2!~o;T>$dK6qWfPKeR5z zxyft>NLi1{bda(ZQQCR6eG^iw)<HPb^6CVTAEv1EgZ$8X3g;%X4v?}Qm3EM_mhYuB zt5=t7MDprv2!~o;Z2<XUib_4m53TQeL4LrtI;H}otVg9Bq^#v8?Yw$$1Cm#FKseO$ zY5~X(Q&jRnerOfJ>D3I7vL2Omkg}HDwDan{^+;a53gJ-8s|g@KOi_sk`JvSk=YF~f zkg^_?aFDW=xwP}@?{!FC{RH7q%c}t(KTJ{a2l=5j4(EP450J7R6?c%bmU`NGRRZEW zND0gj;ZVz~4j?~FQLzX4p|u(3emV<~vK|$4kg}FU+IiJ>EmEwSKseO$ssYFkQ&jXp zerR2RbCa0{NLi1HI!IZIGwr+@wFb$nfe;S0ys7~5!xR;HkRMvl;@o5=0aDhZA`Vj4 zqDnijmaj(gY953`Ew2iI{4hm@ALNJDPdGQ3ae$QdsIY^SwXoC9t5a4Xd9@qDp_W$} zKz^8_@}CXVUlqsc)gNq-{whdW%gYi_^-Gh{{f#S;yt)Fyp_W%afc!8;<vqv`tu{FK z)4c#G>rr_QQr2>sc3wTV0?DgKAslLX^#RBaQ&jGQ{Lq?+b3fe;kg^_?>mX$<YiZ}z z*UOQ-`Ut|ImRB!;{4ho3Jjf5NZ8-PSod7B8Q8^A$*3wTquW~?q2dP*8F9Z9VT3$T> z^1~FB{UATIuEx2^YzIhLkIHtCvX(;Hc~uqSJBU|hARKCWbpyx`Q&iT2{Lp#<=O(ii zAZ0x&%R$Oof@$Yfr={SCgm~2w!l9N|7l8aQMP)w753OHuZZewzQr4p~9i*(qly+W? zUxMV-FbIcQUY!8)!xWW%kRMv5aC)@^q^w7!9i*&9oOWJqS&Zb>Y6yo~UTpyRVTwvU z$PcadIQP?4fRy#9l!KJD{4Azfy}ED_l2>OyIMniL0mu(iRPsT7XidSnpDqKWtVbms zq^#vO?Yz2gA(B_OLO9g&Y68d)Q&i$XerWB)xt}fqq^w6J9HgveFYUZ~djXPHFF`oe z@@fFc4^ve9L4IgmhjWve2S{0uiaSVI%Y52-^~Zc9ufB(HsO41$kRPU~*n|AgdKu>? zGYgQi9u;$tvX(~Lc~unRJ4j{21L081s|FxHOi|GX`Jwd(&P`?-AZ0x&>L6t;$+YvT z(LAJB)r4@U<y8fcAEv0tgZ$7ci_@zTAZ0x&;vi)$uC(*2|6C-mx<NS9@~QyH4^ve5 zL4Ig;0`CCBHaN-wQr4rw4pP>lPCKvW%t7*MGK51duQGuAFh%7*E2zJk4qmB_&8t6H zA^la5vKCI-d3EA!B(HWrIMnj$2aq48sJsXHp|uCRco3UcUx1YLs5}QLYk6HnvnIs4 zSx8=83gJ-8s}Dean4)qY<cHRc;6)DDym|wqtViWKNLkBSuvcF*fbNfFWu1+3Kdgof z1A|8=_<q<03=9k}rtSUpe}}|wafZ(09^E}E;H|Qa2Mw4R89X}A?OFj+vU%sP|Av=5 zIuW<Vf>p7CjcPu?0g|~P%YfKw%NoGM$be*;Kmo|k;~t&oc7m>reWA1$Y#``vU3mru z57rK-a)=>@9-YT`g5CCO530HYP<3GI8xI~pGxju^@*k+mPoOEEv**`;k6zZL`&k)W z4Nv;?LU!OHIeSMj$WL2TK-+>nx~D+G1{8EtR8Fvhd~^t;7{olzq5|69`(o#wU;kYV zPkQw30dM04?I{Mkl~n@d6lhQ#kYxbfv<<4nK$?!XfG@BGF+u7<vL4+6E}iFGI!`+8 z0NuR%ViCxt-Fv_edGU2SI8b`Gpjp9T33Af$7gM3~tTr?8M*Q5}zy9wyfFAJ`EJzW* z3u@FB@P)jX(L6zbfx!dGR|xkreBu{i_{1;RqM{%N3d9yj;Gra$9Y{&$6Td*iiUJ0R z76~LRAc+ky2@W}s3IT|rVADa%UO=`&LU{%_)gFf=vc0>&;RH`)7eJETJt`1u5s7Rn zL>)9dKk*B+sDK;*HXam52;(`xVFNWj4z3NfPaCWa;yl3?6_5x*n**{o^<CgZmw-rg zpgZY7=?LtF#)Gg#2gwU4iS7U*(Sew-MCY;#p6Cof*$M9B1dxxR(X#-O=s*fUDeZWR ziU}wyGC(2+B#WNtbU`ld-lGC?$cu)p;K1zNq5?7j;Wt>KQ-I2|exHUn(P`}b^?ygf zF0|6-1tU_TbA=ey*`fl;3Xr4&N(UG6LD5fin(|=X@gL+pc%gP8ADlzr#n9{>Fi(M! z5jYA#sTCaaAQ6N&KY$GCgvNXdL>oMqH5ed;9eTpD*#VB~qif*h3nV$g-2;nih(A%H zdIut^K}=XwNA7?}HEJ4b067pfszC~%Q4KmW0K^1&2wPM;fn3_X2OQuprf!CX3djVI z7I4aBg+;aL=3oC2q6{veFl_<nD(v@tgVaKThN0VorTHOaC*&e;WO0t~7n>h4c25D{ z@XdMAfq&{T2mWo>I;VgOo^B|$2Q2;ldh0Kcg3cb5EvyU-4jo%mHiK%t)+Gg?3LaYP zou7g?9WK~LFdgpQ1P+JJ78OX4A=2Rr!s&1ZQrU))4i})ML*Z>;n|dL|EYa!k4kV*s zq(g|^hyrfQR&YABT}3DzLi~x64p$)3A&3b}hZnaJkq#RmMGhzxffC>G78T@l2$DrF za(01S+Pw!H;4gSL!a@aP0w}ehro*)xAo8ptlkrCN)-431`Vz#b&K4C&*de0&M;^f< z2jUTk7L2I=kO#^hh?bAW7Ko!sjOve@!P+pQ8e%shs!xLQW-n{d3PMp0@h3`DFF-^! zhzX18XPb$L>I%G34U$EV>hmC%cJBcP_zR`=VDI&AL901oMb4ph5P8<fiFl*>)Fy&a z{TyOcXNw9X>>%+5s$CQa=W2*YAX+e@S^_Os+d|3@QgbyML>op_L+nOG^}UVYT%Ep* zP*g+wi4xT_5K#?c!lL^3Mk1m*0dG`;WYMGg3CN}0d%ywy!h9_xDse^i^)(QA)_MJS zqx${^f>HekVpL~~3MA|x@dk=&55iFm@d!i<MpQeXMRgFQ{2(=|r6Jldq8eg1BC0>H z2S;_y5<*c8@h3`DPe4R9hzW~ofel1Nbp+n12FapF^-qvXyZ3+t{DseINL1pA>Nl$( z@~n@0@kaHRbp)eY5MotliwY#{An^u@>ITA54e<y>3r18|pha~$r2HT?stq98Frpe_ zHzKMz)`6pX;vzy(4e=*RRCgev8pMP}wdy(|qS^s(RD)#EqnZ!o((XOr0DqCV5)zfT zqM8vd4{BF`;um0DpT-60?12OjmHP!{aD55s!TpA0;9k~g?I;PPLjj}+JcI%2!@Rf* zQx}G+?f{y))oYMjt|y#9rnIO)q5wuSbaQkEuzbJN3TcFPY*A?kH!fQ;K#fbdLlK9G zfwbdp_=04+Jy^O$H>YzkKpM84Jt_^*c56M@Xx%)R(O}gaojoc#atsXJyw&Mk44pkH z8XyitjDJ0Z+t~wd7$coU1{&&tG%Db3WW8Vr@*%X>vJR3(U?~vQ0|-4FMxZX!7D%YT zdOSs|L8)>N*iKO7{YnAF2Q0@Rj{<;v05S|hgGK>3KJg3ofJXs7@kc^>?)wx#qe{NZ zU}*-D1ol9N2pW%97%?z_698zyp@5Zv;l(FNNr7;?0LYb{usDM`1k#g6bNjYcNRi&% za047BXga$aCV-PliwY>E6O2ue2SEzE16bfungfl}Y;cs8=3qqW7if<FtPvE)7i1Y2 zx_eYW;><lNARckC`T*3&hsDf|mBje&1y~COzDt4nE*b2*P1)4(9gZ{dTEN}BZeE#Y zE(X}qb}^vg%_%C;VEcW+_Crt1>ugck018RgmrdY7*a;vGM2xvb1;p!w9L0w;&IZXW zklr{f@koGE05r2Su0RP^1+W|>(GW}>-J;wehl9(H&K{KzXwU_NoxU~;YtVJ`UIw`y zk_^a66aiotLS27&`LF-bZt#vaP)>!9IQ%dLl?aHEbLR42|97m|3L1_vJkWU%KFHa4 z$l@JH<+WW0Kv`925jd-2WH<>>5egl30HteC#(;FvG+u*>ksfe<^5_QFZ%iPQG?xGR zZ+HM{xa$Deh=Uv+ojocR;4A`nYy#MfDNtb}kIwU*hdK|wcnT^vkd1iZ4jP4o7@>e_ z#0;nr5Mj6xi$F$PLb!H85Xc0`K(++f1X!xL05+gU1tJRe2P?=Q31}v8gd$8}Krw;i z4J0HWqF@u)KqkmROn^2d5N<gUhA`;|Xso`wMFm2GN>fOk2^porJr3#svJ+NBY+VYA z<AWZ(qV8_23?AKEpk?hb$Pm;XaB=SgEnhu5A37d)<N)>A9gl;?pBa35dHLN~8NeyU zvGb5muPj3bD0%Er@c_3uzWa1u^XZ-f<xNp>U}a$N>AVDvQ!w+SZ|BVyHcNi}f4OGc z-~Zh$;0kW$PEbAY{b%z5#?Aws$2<-`WA6OW*`o3Rl7k`Ha|^hK*u4iV+WFtH^M?=P zv2Ht;Zb*gL*`i{?%D~{#d1B`GpN^d;9W^g@_NW+ug-%|6-aQ2@&H1Zy3V5`<dkT20 z9TWhd9x&9{=Ho1wCcAW==$xXW!^*%g6J#04NXPF#9W^hybV3XUNr4Rp&Gfu9jrkAq z*Be;|23-C+1MwGRG-C_6joiIQ1tf}UJIIXA78MP!Cs6#Q0v3W;50VC34>GTN3V3V< z<S$Sk0BS6Tzd$BK{G|Z%7syCde}SaH27^XxUVeu7>jWNut%3Lpx%TbeqXH5|^%uyD z&K4CJuqRObB>@(K_zNTrwjN|&_Y@TyP~FuHsnnsyV)zSWGQ?jZFn@uJMD-U)3T!aQ z#Fram!SS^MkH2~#{(=m6ZvhuW-Fs9(qNx4?nbFyzA^`RTiobZkLJ)s}q`}sM%mbxM zsJ}pk9@JP2e}PPf_=^MPFOZR_{sKvX4F(P9zs!&O|NrItg#Z6vUP}D`|K--C|Nmdk zNdEu-Wp&E`|1aZG|NnpKnD+nwOO^Eh|Btt*G{`eBfU@|@b*TK~Eh;TAsmba8kp_G% zgh4sK1w1W)y#fc7WuQp|k8W6FS`jq5>(Fto^(1JtmK|IZHZj0zLYP_)me!N}QxA1a zQDI|cU??qo4VonbrEXA3gfx2C4RLli<fvTE6P=I=mu`rYyCFyAf`vgTxEnI;*m?kB zG%LtxL(mNBOE-v@LFEi62wqQwdI!Wg4w;k#F<+Mb2PYMfOSmD1A#4QO&;t&}?kV6P zYyR|K@nZAG|B4`Yfu$jOpw5MsKp3iC=Kcpq2q@KqZDoYG4#q#;0?r9wsb^`(5mEq+ z5YQ~b@fPs>3^c$%2?E3fMF>b1SA?*jMF>nSB0`u!p$3T%4N#Yh0X&1L17&u%sDPZ= z-2=899P%Jl-4G{t_kgFGz``IMpweHSfdLjFOdz8n5i&Ck90Z^Y0`ey~LSP)|gcV2} z79k)zxLZ^}W`c@Kc?Jfk(cL`|_dp5{l%NJFMyLWA4$X=fs$dbK0Lum;5ZA%@$6HiX zU{aPS5wZdrAv+*_Y6)m49dA*Qfigi60+PiQA&h7d0#l2K5C%}FK_Wx|YUuG66%i=2 zyF~@$%<di)kmcZz2dV0YIJvtA>=3XpNJlqBBP>GxvoJ7}LL%f%DmX$whJXSd93e0c z)H@(?ScHJ=;D#6mDi0wM0=A(C;+`qsAVUdiurx#u)VbYLz|O-^1&a_4Sg0?DxDLiY z-lD<-lj=!D&LIz=5dxauINqYd01c(%Eh;QfCMZHcvbZAT9}8$r4Wh_`sYOJ{Ur?w) zBIJi0DAR#+$R8-PyF~@$%<dkr<=~J9sp^I}xw{AK5U?;vM|X<~h=N7PACS?I2(gEF z8RP>{z=I<M#({bVBo2!ZkR99*!#Z13Ks>0?-8~TZOaTWON>GDrMyLWA-aQ5EJPcK^ z2>BoftwVmLfRhW1584F-le(XR93c`SkU9j^20PxO@&X!4$6Hk1K$)Nj0m<Tukl$z# z0#l2KkYAusgG9&!sG-MORGvVY-7P90XLk31EeD4@NL6=>$`{CJ3&<g0VUUh)h(=h1 z`~(>diI7PUFN1sl3V3jYz&KFvfW%=D0<wb}VpwO33Wx_ay1NJBo+)7aQGy!D0Z`|5 zPXUJ$hALQu+<=9;FT`~){_z%-J1{9Dln4ocMhIxG;CPG51!yQ8Z&A4dWr89EB#SFT zexOANOf4cpzJo#y5+Ns`h8}NGIRj;Ox2S-e+1&%S931i>RoxILclUrD0u~18=!R&7 zMaVah(U1svnhZ{ZARmAN9vmSs4%9m!aae?a?BIqN*4d&0;z5n>?t!>x3OLA6f*Q#I zQ0I0}0Xq*v6)Zvyz(Rci#C0(K@fMXMFsasL<Q&ogjSx_4{y5~C0Z^fGyhR0cGXaPR ziV%=2t_b;x79lXThzR)t3N=WCfJWdzh9V6pcDJa2oY~!@0=gXm9P%Jl-4G{t_kbM& z76xBX02#D_MaXB6(U1tSfOr{X2q@se5dz~ty#o@5MF_|aZir!sdlkSo^g!G*1sr53 zK@FCM=z%)7dkWZj7^=V#0vi2cP0vLc80m-yl}O-$5oS>DykQ!6#G{wBy8uPq0W@_H z(|-MbIdkrR(E7Mu);v_j=!R;;3^hYlhi)h{$WRxUp_-_Q(G9&e73xw>RCVZvuABPn z|I7Dtpe}urkK!$KLmOd+9zj)yZfGRP&{;4;m!m31H&h2^Xg;bsbVFG{hPuKGO+r<S zZs_$XQ15f0szWz){ghw-Uw)Vk^*%4EVst~BV1{1JLkT8yL!&^3&W0J9fT|eXP+gdz zGs5s1$_g^n4Q6OEenW3ehI*eFRUNuZH%$KZ|K-P7Q15TUpZJ?$hOR?Zhi+&z$j~`3 zLlaRIqkCTuX6Qjwb?An&fedwr85)AB7~RmDlc3%Y@WUIX8z=qx|MJsJsQ0($peRN+ zv;}6UII897E{y>hIu~YW5`IJVVTK+;RfldUJIGKEn4!F=iqTzqYa-P9xv1*U4c#>H z*Z-HFXF$EbBO9;xTVaMu;5RfDWavDYp~?6SHGml!jj9gar5qqbJz<9Op(;lA{_P1+ z@3Wz*LpOBugkS$(ewhySzGXOG@3+AW{p*dQ4&Bf=kfHNohCV^f)95ZWgc-U5zoDEU zL%m>z_TxA7PCwN9!T1f`(*NuK%dgX*-oKTJ;w^NSw!;h+LbV*-`|%({7r+c{#c!w) z%+S4|D3+re$^|mi8)m2henao}LA{@W-_Wgnzy81cHWljq+ZiY>K=*zJ%ur$ch9-av zT?jMuSO8u_jbVoRp<0gaeQuDUJ}^TI@f&)t7wY|==_r<?8@jFc*Z-H_r$D_ggWu3j zn4wGX8=43*bP>$Z<NhcvKo3(Bn4$jo4dnqD>I*Zp2*08Cd!XL`m4;$Dy7#yD{QCd$ z$7HDYW$_!@1v7LhenXQ$hAxH~dIGiXLHE8X%+LV*hVp_8^@AB&jNi})-B9oUPQ~l} z9o@hFzx+7~>U}x<hIYdYU54M#WRRgtV1}ObMR5VT_sw922I4oA4`ir6%+M12hCb|q zdjAi2B|;B$I_n^M?C<RQ_5bCsiBRv$<2SSiX6SPKhNgfFT?#Ywln-9-o5KtZ!fz-) z$j|_op{4i@ebfo{{@-L2%hA2RtMk|Ym%k@Ky{~}Z&|a9KEAShd3NmyV%+S-Qbq{*% zTfhtr#&4(q$k0HTp{L?eyoGM);|{3z%kYQk?v7voU;gQbdcPFEp?xqz74aLI1~POx z%uogVhFZc5J%iu-f*?bKV1}NK!|VMg?NINR<2Q6q`>+2m|Mo$>Uxwe%ewd+3_zg`5 z8M*>y=+Z>IF13Ogy3q+m9eO$y0vQ?%Gc*9dp-<bO-jBg==-#$p|6l&=g?j&T0*VXJ zlhg#5q0*>j1iDKzK!&b_8Cr+mP-~c>$53+~x}m}#LqlMOYT`HaSu520h4>BK*ZS-K z%l|!4?_a^6PA9?)mBDXlCdklLFhj%fN0bfB(9I4gVUO;85s;ywFhiN}8~VHj>iszU zhVF0q_5URU%+RO!6aOTbp{=N4kM7bekfE!)p<y}!zoE7;Lk;j7Dhe_*3}&b`enVe0 zL%n|+HA2x{dZ78&|Cfv~L#v`tA^<({Plg$~E*3={x}n)1L)Uacy|0SjP&=5Rk@yW2 z0~s0)GxS0viVM(P`mzb?eHQ$N9&Gyc|0NU5&}#gKPJtP^J_f~dbnoYY3|-p^^?nno zVsw|<!whXgjZkz$#X*KfzznT*L2&`Pp|2XD-Y@k)QHO5mp~heTUoyiC)xdA)RG6U( z_zlek8M>|mJiHECv<Mn6e!0XCm0$0V%8v>_<(meg@&$rW`LEIV$AVGi7lxqnYeG@^ z;bEwJqi|F{Zv-m;1seZwB&z(pC{%uBG%7zN29>WLi^}JUL*+k3;~$7em7kq}$}dYq z<p(CA@^v7i>+twshs^lE`H#@}dm$5uaQPXKNo_d47_#aB&iBhewNEn>mCure%D<1s z-<6FjKQ#xHUyzH+_s&D*tL3Be84FPPchLCT3sL1K6`}HTi&6O=C8&JmQdIswH2%#p zRQWCCsQms4RDM<^D&MsVm9J2Z%KweVzgB}Pzp)mT-&2RmPp?PiJ2jy4WgAiXKhXG> zn^5J~HKX!7TcE{XG-{cekpQ1RVFAsbIMhN*Dq~c23((X_z|<9^s=I+u#|bj;Lk-lt zU{rM;iEw+t>Q2Da*;}BLkOFAx7S#Ou|MKoWsAu{jP!ywkrWj^u8mc;U&v?VsEkjj@ z?ipp6x;9jG=$`pq4fS-9Ig0zxJ#)GG*Z-FSFwZ2Sj&GuSW*N*-v~f^$&(y)x-9$AH z-7{e@bvsejp?gLTrf#VjUeB<BJmb6<8Z#!S!*J-Hxmg7bAs$q9=$_dKSBL6IbkB6c z)V)C+{Y3XnB23*4Q@ozBtorr;W!WC6XQqdsC`R`T56sYfRCVZ{c~S}Wv^}aL(LJ*V zrcNGJ9lB?xz|?W$_e@UZum3Ms?uL5i5^9K}d&VAS=qglo=$;XWsVhQNhwhp86;MwH zp{hgo%yF1HI}?<^M$a?zD}Mcdd1n{YGrXvVqI;$YX6RG=G2;bOw-i+!x@VMN>RM6N zp?l_6In>jI#&|t*sr=Xfm;5l#c%T}J?wO@9Llscfp~p-uOx=xO6wA>)6ADwe163Wm zXLMoemKdR!haNMmAkR4MgvLxWs-ftfxlslUp=eZf=$_dCQ^$>}4&5^yFm<nkP#lTw znFN@+>xL-m&^=>O_Ur%4(j8FG>_asa-80-UL#LstL-)+%QmCixP}QM(W;aZo9I85W z&rF7?<HGNm?9yNVU#{2=^~}#e6rZDe#tvraMO1a@o)Lqo+lZ<T-81h>pq>syRfq1G zV=#5L1}N@BkC}NTzy80xy$$La9aKZnJyQrXlm%5Cx@SCL>fWHvv!HuM5vHyMRUNu# zeilPLU7(NGGZ%}0{eQ^^^GrOdq3E7j0yEScRUNu#YGCTrQPrV)CIqH#JL>ETx@UA? z>K5za^$ZKhGmcxKF*6C(P;}2+FM@_p7OFaQ&#Z^3OF~tL?wNL&x>u-kCFq`shpD@! zi`O&eMZf;PEZG9}%u!TB(LKWjGjuMhI&{xGDuj9(O&z*tcEQxiqMC>9nMp8pocKMH zRru@w%jKJ)p80_~REq8yTbQ92P}QM(Mii#*2C6!A&%7;wdO84A9lB?Z!qnO5;EkEN z1;75kytN7H8EsTU(LGZDGn5%s9lB>cVCsZX)uDSv0j91Qb-)$fGe7d7p3c|C>zNDr zzy81Eg?T0p)lhWLEQT3shN=$TGu1G4eyHluJrfL5w+(eN2;DQ<Fm;Qx@Op+B<Qa#J z(3qKsYACvAuH`{PC=*p3x@XqG)ODh&L-$M@Ox;T_6i1?aCJv_VswRp$^m@iD@7MpA z#T%fWIf7~^x@S0HhR#7%hwhn&xlm7|nTPI~oiKGWsOF)2W+F@-2Y%0F=KlKsa@l&Q zXTE!)_#E9cHZViaqpCyqj0jBKe^hnoo_Ui4^|U{#I&{w*fvL0BKye>>%*@I8_5bC~ zbx_Y}p&E+rnS7X`OsMM6J>w2jXN9T`-81qqbxo+FuIQfmo(=VMo;qI7oX`IC|0NI1 zGqI?KqI+f$%urKQb?Ba{f~i9rZ$|e_5KP@xcN9mWdqxYUZlM~AI`o)f0(r)MEi`5( zpc;zqnX6gQ5XwMRhwhoRFm)SH)uDT)6{hZm8;T>*JrfI4cSRLN9lB>svwr=5S+oY~ znZu}tqI-q|X6S5Gb?BaXkO}qlV^nqMp4kCYCylBO-7^zl>e%snCL{CL|CdWwLp}4& z6~*W1p0S1*dJa_`x@Ux8>f}%ziSC)#8BkCAp_+&8nZq!3Rw^j&Lywu+8NdF&ys--E z8BJ6}(LIv~Gn5fk9lB@SVCo`K)uDSv4yLXVb$SNfGvCsop3YUq>zQ-uzy81EhIu9i z)lhWLEQA?qf~pSPGnFuPJ*eu?Jrf91x5XL7k?5Y$gsEGggrW{TW*9-9v0Dj^nSNA5 z(LHk|4H`n}sOr!?vj(Q_II22y&$PhQJx5(&fbN+Xn7Ye~cs*m1_Ur%4!WB@@96~h| z-81YkLua9?L-)-6RH&zap{hgo%yyVMDO7dnp6Q3FW5e&6^weMfUoKe=^~_gC6rZDe z#tLTWSyXlCo)LnnGevbIx@TUcKt1h?Y96|04#Ct}DxkOzJ(<l)`St(h^<_}cXrLO3 z?wMSep$w?%&^_Y{Q<s6N4&5`dFm(;6D;dx|^EDaj=^S~yo;jQR>;FqGm}jC<4Mq3N z0+^x3sOr!?Qvp*q8&w^;X98gAHrt~(65TTzFm?0gP}HHv3<JnBwo9Qg(}!v(x@RsY zK|?4FRUNu#R>Ra?Lsf_FnP!-}XLcx#ME6WIOx-0}6m{sHF;4pR|7F1vsAmqM8j9{2 zHkhF^QPrV)=3XMy)13G{vkj(B64g9(&-B66vEuhkTH>$&FBdO{dghBQiqFwKV+k|# z45~VG&j`ZQ*`PWSJ!W1eKt1h)Y96|04#Lz~$e_3nJ!WPm{QCd$+9IfD)KLvZ_e>7V z(Em0lhN64M1*WbX)jV|1$iURqqnd~AnJ@8BPiIS`*o*F&Gx5LvzvP5@CJNP1bkEF( z8ES;84&5{5Fm<a?)uDUFAEs`THHstAJ);g&H%|&h9lB@!$Nl>M(q<tvW_nQ#Mfc35 zIA{o^qN+pp%qp0=yQu2WJ<|kJ_tXl-k?5X@f~mVGiJ}hOGe#iK<S&4F<^Zap=$>JP z89D=19lB@k#zH+UfZsD)Vd^AM%|rK0FH9W^e$S-F{`&uN(R`?9K3k&r9NjY(FhftH zszdjT08E`Tsw2^3=0yzD)844&p?l^4Or5y|iu=%GW=71f|1Yo3gL*~{)lhWLWWx;o zhq|}`-80TGb!DjLp?gLermhavJao@|j)r<VOB}CfPDlUx|B?gdnMhPa(LFN{W~d>m zI&{yJ!PKopRfp~wKbX3WsEZZQJ);IwH&+a=XZ}U~`v1}zwh6We)lhWLT#SN-PztI# zbkD4Wsk?)!4&5`2Fm+E*7b~E9CK9IZf+$|k7=k>L2iwxRAJtHF&#=G@osOyw-7|M0 zp`PZ)@0l$yb>gVzp?jtWrj8lEXHp`6{eQU-wn6n1>Y@R3&zQpuJ%y?c-81|!bxx>` zM30&05l~Nip_+&8nf)+zW+HfFW_rZ0|1Yn=wwtP=8j9|jESRBxQ5P4Wd&UW-t`yZg zbk9h^)YYPzhwhnA;ZRR!3gh+6sqkO_U$VnI6M<?dx@YFX3^hPihwhnDn7S3H>d-yo z3sbiNb+H1vXH;S8<_O{S%-^tI|6f|ccEWa}8j9|j3t`X@N=8+O?wJ)Zb+=K~p?jtQ zrtUH7Vg+>1M8MRY7sTrs1CVEOVf$M5p&E+r8D^NF(@@o+d**g1)YE+UJ+m36P7KvN zbkB6d)G^`rOmgV2|1TH7cBy_uT{M9188eunCsEa*dxj6D&Jop-=rQvw1nOx|RP)e1 zvk#`uQ~+<xObhw-|K(-ao>LW6L(x5x2{ZH$>f!=)&p5)=m7to3?iop#x*AmT&^_}p z80zT^e!QMJ8T{-2OE#Ei!ch%H_skraq57!m&^=QEQ@0#d9lB?HVCvSRE>=MIj0#NM zY(Bi6`4jZ(|4U2QcGxadL(x5RJ_s5@NvP`3J+mC9?iQ*#bkEeo)ICC7tbp#BaG1Jt zym&pM5AsY7Y;)^gR724{!vr&QDylkk&)f=xdYTu%XEwpqiK3c^?wKx_I!64SNecY+ z|K)txR@D!viw4j=V+u3$1gbi8&+x+3IiNZcJ!YN;Kt1h&Y96|0_QKSe@ZgP^sR6(K zzq|z7c&dzQD7t4dV21uiU0i_f83&lUVpQ|cJtF~ASB+{Ox@SK4Lp`0&jn^|L{D1v_ z$qMsK7^<P@o|z3ZR1Z}hx@U@E>XxCZL-&j~Ox-%v#R}-2QHH6T#f8^1zx{swe`x{R z6WfVuD7t6P`9VV{5mg<!XO_X#-9%M~?wLB6x`(KX70^8s22*#I6R&6VK%U8l?Qq?L zYACvA7-5D^K~;zDnVY^)PxIjS%tn|x5mfWgJ<|zO$AI56iN3%7znll#ulgQ!(Ez$< zOkjo{M^%UJ86KEAdsIiF$IKHSsHfdg%|rLh9+)~~4!kil#pl=mmlt8XPnA#&MfXfP z%+Oz`iwn>_V-HhTglZnTXT)LZs!+{C_sn~5sHfA|@p|UC_pkpiSzw+CMKu)NGqYfZ z>Y}Pc_e>E?-BMI_=$`R{sauP>SOMKLN-%XZ+3<Sim)EcVFU?^aV>?g{Mfc2EFK7rQ zpsGXn%u<-T8>s5gJyQ!)_W*UV0=j2HVd~DX;`NL!$TL|zsQYOW(Du7oqwRMSL)-86 z5siNaZU5VHwEb^QX#3w{(e}GppzU`PM%(Z94vl{jZU5U6wEb`OX#3xy(Du8TqV0DR zK-=&38jXJpZU5UswEb^2X#3y7(e}F;q3w6$MceQ80*!wdZU5UmwEb_DX#3wn(Du9O zqwRO&Lfh~56peoXZU5VBwEb^oX#3v+(e}IPpzU{KN89iA2#voNZU5U0wEb_zX#3s# z(Du7&qV0EMLEG<kAC12YZU5U;wEb@dX#3r~(e}Hkq3w5LMBDFn2aUfSZU5UOwEb_n zX#3qf(Du72qwRP5hsM9zf|`G~w4(C++feyg?Wla$4(L$xf7I0+;Hz{&SIZuQ+<eR6 zM)1xfS*KtBK^M(}PD}*dlma;<7<O?%1k%;4kke{m_ZZ^1MgVdt%MJ%fAqu-n26D2> zCw_s(3$UB;K$oK;UElzAc{Akp1;{C^5Ir7^2f^2GcAkgd<?@1sf#HRkE6fJa!Q0@= z4nZb@FWm%*fX=n-0G*A_igM}Zdl#@a=oJMXtguTrAy$H~RRCWZeB1>TDy#vP@C%ec z=XN8x7joml7Vw3Y@cS-6AwNas0Sjm|A^1{X5EFKR(i0c>1xgkmhr!)|eCa0Wywl^5 zbFe`hqoK!jgP0%>fn?DyP&x~8Y4;wmLtZGr!yVNM*bR6G?0@}#soaZnL@43{AJEMk zxNju^$%1bnGW^epxPj;n3*_d^+u&0|Iay(6v4d4}z;7V>`Hz!<YBvz+IHN=%!UK@Y zf?z2Z>kUL7kP<uS2BL~ToJiMAlX(NtX<M*^;8$>9zk%oiDAg7q*^fJ{!RfZUp#kM~ zBFNPRyFiDWzNmymGwA$yluJS&k-i0TT?*{tjz5klm(U=airWdGumndpn+(#0Q)i&j zeHt9yrp&PD2CD`|H)z9mH}5%V@P$*LyNC!~LIX<GQ18O-P68PQJwhLp?x9EMgP7fj z8(%?+knc@80(IG8u*<eFfn0`qvkxfmf^{)=LhkeFCgXM=luMHqJD>zCjw_)kzBFkM z)N8xJUi-!f@){`Ez@C8xI!FMX>4*t<93c!n{+9Q-B;wMfEi4QS9aB^`gYBOPwjVWU zyIHqLQt#3vAA6KwCGpavYaoZiE=^hk4Z77}r+<WB6@e0T-MsTauBXzaNqu&|{=Ymi z4P0G=s?`6G`xroH3xk+1Wq;$8`tS!V1**M3N}&lK#C%!s7c7O%K;wU4DQvnXLZq<i zGJ!Y?o7B&VU<0v9ZJCTys(cDq3Y#;oLZq-6STPk*6?J#?ykP)U6QEn`K<OD=F0eL$ zFCl7Cc>uk{2tqS}nkqMBz*nDv)OVgb_)GraD{01y2Y<32e8>!~ZLp_ukl|3hpiA*O z&vo+{bWZ_aCe(TT;D7mp@1-3(FE}#(aBO_=fPsO*Me~qj2k1heB`gdKE*&Skr>KBf zmtR4z1A?131$@a~^C6bbYuzFS-Fs9(PIK)1;dt<eyyL+a(vF?KTp15JHa>!wdflPp zC)g0s)j!xB(EQ+m<M$gbod-Iv^@gxL>6`+-=&c(<wO-<%f3TxRWicpu_os7!N(RuW z)LS5z6hZDQYkt5Fy}7KrMFnILL;%DB6^Y<LZam0f4QjKT-w8go`jj;y2X=QHfy4^v z(jSmV!5Ub1Kx5?qG*%!qI97H*Vg;lgORQXh+-3o4O@WGZr2AMvhC}s&6v1KzY!2R7 znFEg%5DOfjAmicYO;G`vhZHLyBZ-I=@U1ITR6wfHk_Be0fQ#HI;OqBbu`(MRD=XO% zu>x{cH{_NXNF+c7Ko&s+KrEzKS%DlYCRWI?@&^(tpeu_&9)-lp2WYG;fW``h2FJ<_ zNUVU=V~Le5kXQk=AE2=Uy4?uG1Q`z13sMA&6|gyYV`U0FRzNIptbmM%n>R%TWFAti zfQ%#}RzMExo}vO$jW<@FcTR!a=m()-u`(GPE3erQu>x`x^y-=B2lbth#y9lR8HfPL zN~Bl`uz}<i@cnD^ERbWx<Sn=a16{ZT@+c%$G~R;Lx2QBgV+BHkW2FKTD<Jh)Vr2>> zRzTOwfT99=cMXUMG90QGqzD!(U~}-sN)J3%KrC>qfQ*NmH$??x9#X7;j3gpfKz9Un zPf-D>CKxNA%M`n(fbUd<#Y#6gR&<eK1>~x3$TdWeNPr4}EP@DtSV*z*136YW%n`BT z!5RUMfa8#^>04uPYaMjQFEl<Nv6K)Ex?pdMN&-j})^<K%hHTCRWOJ6n&GC=}>4fz= zB4R<jEh=D9m^l?F=A1w_Cl+pw1By8X;Sh7cqA+s|kj?4d!BN7%@M4X@um3y2moJ_- zJPC?Oh<_kAFCK&3yg0)E=4r6A;9buE@U@AM8w<e}!DZk#FM=Hf?ihn_UR-C2cJty7 zun~}sJE#SU6eX~m7a_v%YZJ54jZp9a-Bk!N0(6fVk`b_*7a_uMBQ!upAl<wu5DYQ_ z;t$9rjj-r|-Mk191^WYh^WrlTaEQSD>wt9gBIK4vm<h0(7a^ix6Tmrt3B&|=w-2VC z0Tgl2+bkisHMXcgXdFW(;4uqO>j)8f4&Z_udJm_y3G&U0XRokeyLk~5uXt}>oO*=? z`R2u$%%DPPk4gi0umX1TB7`?ZrGf>1^I`#*3BGypkkPOIFO6LPf^H}TwQO+RP>6Q( zBDkrA=jO#6P?>~!^I`^A=p^{&MUXW3VmeUU5_<0$=!QZhV=->L1Gx@z<6R01^yWp7 zk&Y-gFM_1N27}r{FAskMU(W`r?Q!`FbjKpJ{suV!+*sLz`{u<2uqRM%@{0irLHq@h z23rqq1t4x-L^2k`Um({({1pN77syCde}SaH27_7?FB`vu{ROHoartWv<c2~}MF{d2 zxVp!4^I{0t6Da-)01H9<1(F6^4>Aww=0zl9G5iH`9mHQgFn@uJMD-U)3T!Z_K7MKc z1MDwQjf2ZypgR_!bqL5`;0hDZ&5IsjPoVhA1uO*d7f2dxJ-8;C0=|t7%~%Y7fm{di zmjldSAR|%z1(E_A464yy{{2C8mk4s7EBszpP?reQJA&P5N@|w~s+Y7bkqsz>z+EB` z3*4Xp<x@~m1~zYix<uCC28FyGqL~MBRW~VJA`9fk_jWx*Lx4b+2vjbCybqzl%{)-= zsJjQ!lfaA>Q19e8q+0-L+o5%dpn5@yV9h*GDS+0>LhGoSz+(l(0>=udlmR&lY~BEM ziHyOql4y&F6_Bf-MJl8R1Qh@kT@V2f3#mcy06A6^b&+EQZ<h#Es)D=^p~0~N>K%3W zKzb6Gu>$Iy;2w#G>IEr+#R{kl!yhX;@K^z{z_9`<BSFprn>RpRB5iQ2EVe<!3dmI? zb%{EV8{gg9$gzUAO9ZMDK;DPY;8+3mj=FmwJqgTM0rgIB-%bkE3sMA&6;KI`KUP%W zu>xX&V+B;ggPa96Z-BZ)%HUXeYK@2$kgK588KegU6#!Km5CISiDX$pVg4%fJcY>R% zUo{c2g4QLvr3P*bcebd2sz{K>Azg?W&@K^J6xIb<i((E+muM2)9B?NJ-nO0q?Gk}S zVdkWwn1j+K@`9UV0q%XkTGlH-E$i+rDqvBVIkL#+K)XaUmBAkBWo>u@n%{v>$Vh;% zT|2gu9ds7x6AjQ5AEZX`=<QJfl{`MZknTTxLbQ7d^iGIF5#S5e4{>;OPEi4Mm9P&j zc0<PAnx7p2O$vh4d2|c8baOd2KeTt@Jl6@4?%o0!i9=K6#ez@<YLmhZvq#ql5&)?H zSptr$#zPt*pe_)^eV_osUZZqx0s9l`K9D+e_kn_;dkgp;aCBA3?gJIeaKk{(LD2^i z0I5K7-;Y3q`yd@cc+fHgAf!MYJ?zCUDB|TA7@D8`0GSF>2X{I<Bxu3K))w#u$LOlS zPKPQ3H6P)Iv3G8P-0}<42ND3O09gWYr_eqD1_p-CEh;jgk^*$?Ex6A#MMVI_?>yzW z3v^bkM`w=;2Uy^uBX}6qr+W@qg-@r9%5fJJ4$#aUNDQRNr!z)H;JAy51So5Ibh@Z0 z9CuOC0C6@jyqK*9N|>;zb9mzYAkDzAD}jN5VJANWC||dzFo32MWx=Xp$(Xn8At!@J z?-Xz>d-R&JgBBC@?ok1CFX7$8#sdky3=E#l2RM8{Q;2d5pc}G5?IURO1C+8rOwfh( zAYsSmhX+8KLCM*-o58hPz_Iy}y({NMm(CvWP%}s&NE3#_02U;bAQd2$P$TWJ=mv{{ z)Pbx6C2Y^e0~y{3w}KKZl9YufLJAaDNKy-25K^GDf+XeOhmZmd3t-PFAeVyDv}5zb zA0W*jm2l6qLp={t08$7_>lg~bo`<OfsQ{@2>2Pd*$PV&6hz$}0$-%@xY>;&zH~V%o zfD#PIXTIIi9^G>wC11C+<4#bD^yu88@`IUy!KL#Ys8IR<W}b2cmu{fc_yR0&(x)4u z3>4Q7m>C#+J3lz?1dZkRbnj6CY4Yi`0Vhz<*uPKr5*3glpUxCW0)?d7B`P4pK!V_e z3fA2jqhfH}Ma2T7!lN@r#o@S%iU)+_q7rc2MI{27o=+>|PR|9%>G=jT1H%hnuxeO( zCRi*(#%&<Q9w>bdwqhAFG6iuTDD`4bZ19p4Ty22V!OJ#iwE;>iv?!JzAeFtKga#`1 zKpD@Y@z4gpp;0Vf0i|=$)xO{%ttl!eKz!t4`2bh|saOW7Krfa-itrZ8EQ+|3aRYKP z-T_L+Z3-aOuw=~p{x(XnT=5^o#VD3Nd=MoQ?!*i#GN5JX02a#uUI@2>5-h0D0wo;J z#si@7TzKsw%fNsn<>88?3snj<kPOoWDr}K-frhDJQlMd2?3oA@E}(1zD~LfVi7K(- z3MneFL2ibY*pR{-Tw+7=lr*Ri28EJuw;7`F-T+RQ;KF+an0d-^CkME0?@?I*7B~qi zqe03*h4&0_;r+vLC#aAG71SV2KAkE^h4&g2kRqSX9Hhd#GX~PSfasb7HW`%A!G$-d zBu6Q{eL71Zg*Qk!sAZCH+(jh=T9Px$ff6<LmdOO<EHD9-1)60+s$p4xU`bv99)X9H zl(-W=yiE))DM9McOG;4IphW}Q13bq7aUUouu_wZTE6F8rH?S4_hek=>14{JWJt`m? z)TC(u@sUgN3a|iDNe)thUXp_pff6{*2DX(nC}Cnx#-P=o@CJ4PC>igO0;z^2V_wy3 zprTuJ-%k()DZxRbz@Vbfqw!dS4=ALMae&%ppu~y2IpP8xh;(dzZ~&wkq|&3?%%z(T z)B$wmJmCUevH?;EY7S#4^aFPlp(;TtKq^5g-?90DJr><yF_1ctbx^l<cp=;hO3+AB z5#Sj)s5ih%455n(yUl#Mr+}NGzTHBOJ7CSw3~;<01I1Yan0d%?2WXlN)C`RP3mgO$ z=O8&p@NfjET?>+c#T~dD>Yk$lG6+;2f#VKT1o?D^Ac`+gc?2%Dpyg4aBq(aIN8|?N z@+bflk)I_%s$mgHusqr@$jhUKL0%pO;4Y6MhDdqj14_ExJt`m?l(ro}eB|=T0xW=3 z9)VQA(lj{vf;w%?pvhD`9sX5fpoED%86QAS#s;8dtOHgJOUArYFM<jpQN6Dq3Zp#Q zF@(ya1Gvkh2_%+B8sK;Vmq!X<CQ^AM0Tuw4M<6*wc?6Px#T~3X0vSYndGt^OcSK%5 zjz|GeL?(k(!y=MkdGunCmq$AWd3n@<yF8jOM9L!`P}1%0Q327Q@`wS%M=p<kFoA}H zkjf*F3Rs$kl}8{&c-u!(Lb#JLXyq!ree{8ef#Jm*L6B-#GUjDH3o3|2=Y0TC80FE2 zAygjyz+E1lAhA4p0ge}NdGr9xL@JMNfCa$i5l9YE9)ToaaR)1pKn4+C9t8@3q6T}# z@&UO#x&VsE%lshKu!tmB9w`j+36T$jygWL9yF5BEM9QNxprqT~qXMEq<<S8UAGti* z0Tw_ik3cG5X&P1@ffV5_kGgn42@`uVW?)2`5ZM4q#ynuvuw=|zdID4siSoSxQ5fZs zhA*NI1nPwi=Kh+2H^QxG{WS(pgcM}N34ecW1vp;7<<SB#6RA9!0Tuw4M<6*wc?6Px z#T~3X0vSYnd32B)cSH&xN8|)hL^^|2!y=Mkc{E{=mq!VMe1g^>2$Y5(<6Dq41fQU_ zK$;`~jcpFr3ECb|(glqlF@YvXr>Hc5_{il^1y}&7JOZhJrD<4s1X6^zJo?3fI~gk= zC*uN8GOhuuh9zU(r-wlW5qyF+?Ky}GDVIP)B%rYw&&C5YhR_7<0^Ad{1-K_@GjLDP zatxyh+6-`t1CQ}1fSE`Wv=Lwd@B}SL8EA|@fC+7a7NiM%f)=C*G#85)B<o(H0x}FF zNX7)M78~yLY=E4eJwWMs4J$}BEIkt}mN^D_w{-zhu?MPE2ivgz3Z$|Ztyr!YA``SO zpmg5dqXMEq%|r_jAGugI01F@$%ODl##WF|{-eNhK8I&-wHxnI@ld%RU89!wLsfHzE zUcY^yau{ALUk5Lv!6=pmhR_791nvpi7w(8zSWucms(1u&PtYE4M(6@fb|UGLK#~H@ zree=TLvDgr0h}(ug|`Hli8Mhg02TmG(1MhK3U3Z@;f*vw3(|x>K?_oZe}WcdGAN;g zXF9+QZRFK8cqeER7(j^{dlm>l&H@aeEb!?6&;K61uq*&6$vt{SFW&=Y1xVUQniIMJ zZofk3gz&5xgP4agV@21gtsCG%9pYBpg*s@?46<MVG7Se(i7^caQUFp2T9^g%6kH+7 zG#p3;NF_)IY#I*428n^>U}7LPQn;?b(su*30zDd!Rk(wi<WO%wr{O^DZx6^c94PCe zOvC+P1P%XzyLBJHOr&nz3$OsVTL+SZEdiUO0@8$1)^>&<tsdx}q5{$hpN0d?yrECS zz4{AEN7z$c0&=Q*z{tSxA_uG*l1gCfZasQMWA9*1bu)%gs>>KcsqO*pRJVb|RCfcM zvcReC0+@-E>P~<Kz^M)-he&lGO?XosNGIM@SMnQosw+TFbq7GH?%OYrYF74J$f*o| z#1#0@KIrLFpkW};B6<kTxeGMM-FeLsG)lz)n$O+=@self0m#q@XuUj0%%hV9yb_0t zfq`KI!;7n63$bU424v@L068xlteQ39CRXQxubV(~9;Apk2tJk&Dg`N)dcf239^IUv zrF;-iz*jJ@0lB@qMFm8IT4D=8{LVv=1<N4M%>WCW1bYtD9|n02BnI;wcv8Q+MFpf0 zR1AQ-AW$Da{s{_V>^`1=>|+q;MJiY|Yu*j4J_etT2=x}k5Ae7GAJ_<$BJATHkcYZk zR6sPy$Dp8hLH2P4NI@s6k3nMSJ_cz-_wmOcxP1%?A^1cIC_TO?2CHU$vl--L-rVaT zO7zk$5CyGlJCAvGp789v=-GM7v-7e?=jpwm#0OeSlEKKp(D@GJ0Z@V3`D!mGbe&iP zNa7`Ec^OCvs1*XL=Y2ar>;*-iPiGH!O|E0-OVCO(kQA&l32In?7LkFL?1K7@;Ftsp z!d6^BIM7wTAP#7t54_43!~v}X0l5W~N5QLoA%b&MKrR9`U%(5;K!Tu9D>&|=0t!`7 z&e;7Occ_8JW8k3%YP7$w1*wK_C9y~VjqJ35cVK|hCO8+cP5|%gXn`ht7|qbl(fp7R zx<nnM7?N5Tx;<E0Px4Pa)G<ZHo{@opzcqprw8;i~-VSKtJ4i9A$)Kthd!rVl79{J@ zZ2;Oe0;=%Ahjf9LSie~R?dSh)NXx1jd@2n{9@G^A?PLH8Kw1N^EiQ1MfNT2}6-aH* z(9Lo1B{Re`piK@SJ7F>)&p7f=J>b&OqGAX3&NA>pFvv&8^|Fdz1tl}yA6Gz>XyQf? z1=))Nb2M!0i%)M4c((vJ4W0%qI)~&xX^+l5DxlE-pU(5}WT^p4ec)sX8uvm<mJ%R| zm(Zn(og(0Z5tJ4{sRm*Qw2=W8ge_47bGqlKfQ$ttA#e*AoQ}J<sDNw$HGaV<5F)ro z1!Rv;XANY@B1k(Zjbf%e(9-q|3@;qN;!b&>qx#?}4>TD4;_#QB|3M)QNeK*SDGw4V z7-<Y942l*=8si5?iXr$M7_4awW-=ssBBkDoFCkG5N;4pbKolUSUp}zepTOs4pzRxl zxcUS%XFyVD3*=<DZn&#qi3uW&Y%(v{<Q*`RK|5kla|X<0P&&lDBM&4C%^9HGYDhU_ z>Su7y0Et3!28h+&1Kw5*5rFJwgXIjEeW0EvC@>&2a?a3zo~j6w0Yw2UXYhc%!v^z? zjy%XS3=AH<tgM$nc>%JI#iLjB8hAMkWS0~uYk)!slr=!E17!`6B|g1VpjqUy2dJ3d zqXL?R^69(|&lf*H8-~F70yNEvlrLU@Bwm7wY0yNvZ|4bE7J=*$0;OP376D0u3RZZb z3`*9Bk-hFYP#d9zGblHJaw^DLkV>R1vPA{t0#Lp|Dx~+QfZPGfH{h%S*52u&0xqOM zdzm&cyb$|@JF9$PL7H>B0oqG9<0D8ltKeEtSn@J~DbdXrKs-p*2HQe)1$3NNcZ&*$ z2GwRKKz!ut7_{EWrSl|cYyl+axD(Xk00jw1!UtNCz&at|-~lPv!0;j!>;&ws4+nN6 z2k!tm`1yyQ|6j^8k$RK`cuPB;qb#<7y@PUu0B9YeODE(A0gyC!r$1<=Cel$BNXB9u zA%Hl_VhscIC<~C0s7DBZq`(G)mUzD8J_tS<0klmV*U<=QM_GV3h2uHOVg;tZmVkvI z{sKvZtp}A<-H4+skc`Ff7sz!kohLe{sDPH-&IFAOfs91;7f1?hFsPP%c@p9;&_-)q z{z5y-0=%sn&rue0z@9*fuNhz=h`&J6VCzAp0MbzwNXBCL3*<V8zox+A3uGj!zd%x8 zgF)r$%l1Ry_yTQJ#^o=xqbwRg=SJZ<$^x_)-v#wZl^!I2fuzCKgG#k-#8DPV#$xyj z<T{AII$-_+8HwsIkQCTpP}%*`^)Tcp3q-vIsn5WPbjCNRB)Fvms(Ro}9B`nxe}~8; zDpVxR`=N(qAWS~^k`W>gPAR{k@`!p3A_+Fx;wQvpL_Gnw8B{O4eE#$Q{|N}-(fr24 zqq9cE!K1T8#loYzM#W+ucmr|s3sC!@1|+sWqXg70tx?ec$!mDD{^y@^pu<H)y@c~M zgGaZEipKv3pp*Gn*;jxzB>SjXcr+gDFa_y3@6mbeg~p4Y|H1Olmm}mGl;H9lF!|$1 z@)i<s`4`Wj`j;cgGa%$oz~tMJ<R2j9SHR@ck>m{&;pTV1<lT|v7a-&_VDjon@&X9= zd%)z`k>qb6^lQN6pD#oB-vgna117&7NglGB8x(%vEsrmRUqb_75t1SUeYhtMKZD9A zA;~Y`fy*z(CJ))O4zlD$GfZ9yN&f^+xc+2p@*1LWd1sjXqooK>g8CjFpmU>-y->y` zKS3O>pBW~92uZ($I!qpXKK2W~SKyj>K2{~W6hMo<Ky9BF&t8Jnm19@u09UsUqRt<? zI`DR6uzAxU>eR8T1MiOmtIK-{jfB5T5P|SO4b>B#Fhv!h#XH@Q?D9ezRgnrzQ81FC z4QPt~!!nK#XxbfS68K<cP@F=->=sPX+d~L9DHx+#w-u)7&2fYx4i&f}dr-4t0!-0y zBt;Hrin3vf79lCBKvU!fQ&fwjXakxeMVO)pBt<XK6#aqboe~uT{_q2z_yt{53_wMa zg$L^maOQv>Gj;{4jx~8P!h->5$!k4KksXqv9h&e^0LO6`Opzp#q695eMX4}FUlt*( zn}Mdt0jB6YlA;S}ilkwRRv{_kKuZYUV40^CNl^!y?=Hd=B_Js}poi-4H84fiNQ!=- zDQbr)5<^n7LKoGfB$%R)3lTw3fTqY6rsxckq6i&SlO$k@mLn-rKvVSjDJ1J*NeCyv zN}&niG;Vbhkku`Qs$&&GvQ$GE=CT*T4<Yukep-O=UIIei7$!gK2tu9#Q51l4lpV~H zQY1wdXo@6ZiaL;Nnt`zC<O8TnkmVIXXNy2e-jy)<3?%&%5b~Wcc@HFc(2)v|k{eQr zOnCyeL>WoZ34|doFhiJ;<RcLBDlqxS^AW*OU;+<L7MT2DB>5Ex`6u_G_Af?~mq4^J zj=<!#k&40yL@5Uj?(>hKhQuKm5`ZwI9%hIglKcU<JR~H#V1`H{DOv&Qor8lLq9_%n z=*v8WcOM|UBMP&r2B}cq0dErQ1Upv&W=J@aq7P__em{cxDGSLa14O;Q`W{r?3rRi$ zA>R#?*FuuN0hb348oihXvqTk1k%u%q_S|5Gup-ItfXhR|BoJoEfw_n<$$*^V<I#Bx z+!ubK15-2`Nzno{MeHy|Wk`x{pecF;%YcDMiUjOnZiZO57p6!DNs$Mdq8Ttn>`00l z&=eKJ6g`@Q@ZAnHMSd_vdyy1903G}TNjsq4{tHc*q8Uhv0wUlJ2RF}IV2X;76m_5} zx(~}#en^Ubpefn~Q>2NcC?gWpx~VWlEJ%uOpeZVVDY`!!5d<Dls3v*C6zxJ%v;$3% z8cfktBt;Tv4rhcZDnL@izy-7J#m3vvlpld4e*)2>od}aRK$0&&=r4rHOCZTxAmjsK z@*id)y!!wlZwQmWfh4~IAukA%-+&|^zzui*$6HYM&p?uwK*(Q&$rm8WcOc|9!sG*x z<PRX^C&J_nkmP?L<O{LMUjQE<3~F7z2!zQCAnE4-b$G#v`Gp}&{=-Z}_<;MY5P3nE z`~@WW3WWZTH=+LDfF!>GA%77jKLJVp1wwu!Og;cfUI8ioVe%G8@-H~x;a>=oH$aj{ z>J|pV<OPuAk-CM3F!>KN5dKH%77D`TFCfVybqhb<`1v1njmybtoD85TSccAn9^E0J z&h;S&P=~x5)M0Nt24X{`kALD9;GI2<lfkE#)dW0)R-+=}(Rm%*Di+|^0Be^3b@Vwr zI<NV3`>1eubn>Y9be?=6diUplkLDvDhoNm}kf9!($6wUm{rUg(GsJMg1k~{Y!vi1( z8caj@ZwD`EQ3vR>V;>a*u*Di4$6ZuFi-;J&TnP{tv<L{o<?uMpq5>Ki_UQHjwcI(o z1wkx}&WQ?_K}SSG1p`^2qAE~P&=5mIB1i=!6gVz}PU3J;QSj(I-+8F>;EUwjP#+;V ze!_$a9*u8616H8v9?&=uX!a2_tOFWz2h*$q-~`~J5^=mm1=O(v4W%D%QE36upfK%r zQHk&X%`tQburxnp>^A*1jg!IfWAh_M&WqnKwSq3^IPTC<qoU2<ss+AH1Qenm9bFzQ z4G$SxPV%>kgT<ldgNCO;(}y7QkGrVUfaq?B)!jW{YrkJqJkk7svExwdfsPOrE&kT` z;Hy5mA&zQ2z(4h1hmVRTf9n&7xQj|fr;AERw~I<h>jC~L2l=-h>~v8H=my~sl^V_q z{8K>!Djhy5%KWWIkToTAyQtK3x~QadyQm~IKVa;1Q2`01K$t0p2Yh-#B0ee!py_u| zfOU3VxXi%N?W2-{5V-=5qbVvNd5^|J41%C&+5+Vs+X0g8ybg|}yemKddo&&a#mM28 z-yj!yfC3#HC=maFn6Dp$LI}iqDF?dR13KFZ3NEl9=YPnU7s$fyjxWp%44pnIK8QF4 z9q$C1r*#0ug@Z>o1BheM?W5v@aW1`&ipg;o70|&=498tmN<gsz(gad$(h0swBSggq z-;s+xDl(u;G(uElF2CvwQ7M4v4pA|IA3I;8;zRJv`4E+oZcK|%Z)SMd8KPp)9in2; z3ce}BM@5>yA2i7PnxWf8r2rCT1>G(xB@ku_=%^Ere~!DT<bY_9s%{^Z64dl1#ox*Z zPM;v1u*4<F-})1rPL8{%fJQ|?VUq$iqoUhKC4=(>G^x~d`>5oAd7w0u0y5xGM~I39 zf9qMW0k0WeZu|THf47T@5BAYu7Zn|l!#qHxTX&6$PUnBe&L2LE$GYuYz!B!6B7!pZ z>!Tt7nScmU5#js=3i0j`l@hpiw2@vP6(7(rZ-@%dOptK`$OF6~Dm-8VN?z^(r9>AM z(3%5=m!QN23OZ0q0|gz3e);7e*bfQZE-EQdpQm*Ds8n=^sMIun`mcDg`Qv{@P?&&2 z0Te>rAu2hjGA}><`~Tmg`Ar3A{Ivj7EN6IhyQpM%uyTNMOs5O@(6I<mFduhOi2>0d zc~JW8=75zxC6ln0KI;6f;ow+==|Ge|E?{xc31%RlV2RL(ZXcBx)I_1i-zpE**6pGa z1B%mw9X=|mu#yZ^Sb$0Z&J+Ao4}p&R>j+Vi=WjKF=}72wQL*WEQL#ZPjx4%eR4lqf zR8nBYkwk}&ia38O6HF60>42;e<8QTu2`0eo7UgdR%@e(5@aT0>0aXnFojzbX1f26b zT~ss-4}ioqx&@mbF@jhbof8|bfJ!1C6^-sd7KCUER5Zh*@!*CmkctwO43EZR8CM`x ziUzDoIdC3arBob#c?^<3K#M^@Ss?}#lCNKa!V<)K`5Gb(T0jDlP5}#E1I0GjwY>W$ zaDqxGk8a+b5S9<9K+<^W`Tzg_m#0DMAnD)){C+~{sFne^=IQiN2>`__nCHRL>7x?T z?V}O^ngsAs3F!7w34t&}UT*pK|39e64)Ew@^_l>hu&hz>*a;dZ1)ZvQs1uZVx_wjx zUM#%;8ZZq}@$l#jQPBXohU4Y#fB*l3>iY~(`b+?&&j`@?f5bk}DNZkvK{~o?K$YSC z7og?{Xq^H`J^(&H;18Q02!PHHJnx4z6e2tt4{{{K8(JI}APt=jy(kTx2#>}?2S6rw zm#9Q|G#&%7cYuPj6Wq{g>IFA+OhJ`0WC8=y(6N9vbigwj2GE9%fk&s0iUz2qqYbKZ znvY~02G2<NVs}y5d5DYTNOIAK9uyZTz?xnXP!}nH!cYL}A_0(#I6y9%dHLu6mzn>e zcU~Uu!x~0U&Vi<5Ky43JP&k0vW)DI74F97{=S*-6cMJ>mXnZq)6%@RCR64-)7L^7N z-Mt4)?*lEbda(#>B1FWadw)hLM8g8m@t@s$RKPT3rl&>4oP~j*ga<U)(*l<G{{X@U zo8Il9;Q`5)Jt}6PbA6#jJ*fT#S$nMEKq&(QBaG|O%PRtovktJ8jYmLEjdqN4j6V!& zOm6N*N(58D?mgB7GJpZpzyO)&(Rj!K<k)VguNsemxJW6Zpc@<ypw<AWou>iv5x5oH zqM`tDAE+TbMFk?fMMVNEea)x42g>Y$9&CE@#s7;x|G$iaH7>v*=%C@z?cf0SFF(k< z7NP(D|Dy#+w}ZoR2ha+625?;g_dKfrINd{n@py|$1*pr<06wF%2Fe7f1&4k&Xx<Q% zm3ve`a>(Kw-!Fn1pHsjRoS;VMF$ex_*E*+w4FuhM2sP|@i%JQU*$t8G-UHV3{kr0> z=0}VU9oJefK@WFz=-8sd4)RcIB`;{rSa%CpB`j{)z*2cIsRCB;{bV51Aq79=$kvW2 zD*u^5>&_C86@o4v0;z|%rn5!G2EwyoWncg`Pg+z!EYQ6~Z~>4C(9#>I>p}MeL7AY# zyFuD}z@{MAR8v&`f$g>sfVv-K2+aL|!BV;~DFcWRCaeq$F!zJb8||2)@*S*D9$8^$ zi;4tDeTxc6eP@e`41@;~gt#BX>V}9z1VAc|x2Om}9eun-MFh(1h8orbHU-uF-@tZX z5`?-RWC+atU%^txVNxK2yL-Tf!rcFX8GM)Fd$7Wt$O=1KRBo^^Fmyv4(b=K`y4$oH zA_#FVR2n1>5dg8ED!O~X>c3xX1=a8!Q&ir8ZKxIlx$-pws3hG2PI#aUtkuB@>e@k) zHYm-4^DL`I5~zHE4#K;ggLLf?y8g6-ioF(ae)oVVhTbv*$qJAR<IxSeWrpJuzhI9_ z0Sg1eC;rG5C`0E&XAjs7$04Z}(p-WWI0M=C6K6qXDO9SvVF6eUW&xz+d-3HInhSZ8 z+M)h}S`2f6AjDdPPj`U2rLY6MW6q+P!>R-`2bA>@x)Q*yfQFA6hORqp$l(K4OwI7Q zfNcAUGbrJ611yIYK37hn`HR=7jmYr%fE+$H$ab+F0F54WLaZWOID!_yc8gZEb24ax zOTf+^@Ik(yV(d9H14F4Tq)dh+AZS_IE$WA?9&{QoNd0|~dfwNdqoP640%~R8C`2LY zx*Jj?qvqXv;9Rj%1e7b#%FerBsd+FdEM+I?c;JpHD%ZgZCm<`NTiJOHY<Gq@)cwe1 z=T)#&EKCYic6PR?fNog>tv+XAV1PI6TU0tA1r3;*0xmBhZTuFM3!w6;N98=&Fl{u$ z7$7Aow0vcO@IZo);t0g*Zczb=Lj*vq?iLlWcn??{Q9hjm+mI>^@(Ch~k(0VaT9Hy0 z=ww|`YB>%{E!b1nixwpHpi_52>i2`xQ<}Q=f&KJd9^@yq)U_8Z^#&$|C3S&L?d_PN zvK_4O9<oBZrLJvYySFJp-H)8Qwt}Tr!=%VeU7(X>J9<>sgAJ=hGYp(e;I%hW+JPh{ zs5D3%A^>9HN?q%~HY`#D`Q$YNq>zDBa^$42O-)EC26X5xD8(!Xr5NlftPNQ`=pbE? z`uQOBs41))t)zn0-Sfb%chCm89#mg@H6WGSkg6P%fWVi|L#jH#78Q^PA|n-mXQ-id zRn;-1+JdzLECngSK^mZi?<al%NTUE^q5!1U<_|yliT}_EhBOcUx)YrTAx&LS`HNJC zcSEYzZb(xXI>LGk<mb6yk925(Jc1kvBsl>zWbRSV$&kjccY=R9=)T!GAY<Eez{Wy? z1LPu5NGa4qLkc7S@*E`6FMy}wp`np;^e3n*(93EKUMtiCY0xwtgxtOk8W-;Y_n<&~ zupnW>`H5eE5pqi&s3+15*8&b@=vJT^P$>wF&;q(<4b&H$dKkSrUR?)uYy_m81Z%Dc zw5WhIfm==xskjs1_7tk879cO4nSKO0idTT8h>T)T_&U^q!}lQn^n?7{E+YCUpiT{_ znTDgLg?3lAfIA`IuPJ_Ne#F>uvGr0%kIHON9JShMf}$R+ESv?FGKNWk5-yQ76}Tq@ z9vp8`nF6V)CW8%QL^BN9RRO6dx+jC)XMyF$NnjhS!Kv*v!^@=~z!yz}Tn%c2yqxk0 zg%9n`fu$-x{r?YI!=JzkY6lCjR@Fcwyn`7m(4zukyzoBw^FQeBG*HSp-l7r!?SmX| zQ3*jXBM{6OC=-;vL3+A9SU}sXK-Dqt<At1{E3AFMJ>eD=h%`_46crDU^cEG61m~%4 z-opzy892{zegf@J=$xX`0y@aAqeZ0|>{rnKI9RC(F@d97w09w-5S)Ijvqz<dnSlY6 z!K*>)+pEEYe+cJrbc@DARZjw`E`h2p2B~iHM^(+yEvf}o3^qCksyG{@xLpN9@!tgy zcYsY!fhtZ0DQ<!cfP-8PZYDZF!wIx81<LG(1aEf_IA~GZhDjhZTDOD8z`G$~59`+@ zf~7!<+`$HeQWrE=fa*vP6LcXxD1>`dK(;ELK&`Vu8?L~eSVUq3X#^P$+64wWmbf$r z<nEViA*l<L2Ea+k6g1vw0Gd&OxEv%1O&B2NOHt6c#<|ybUY~k>1GGPkl>xLBgyCfv zL<`6<Aa&3n05M-${|B%326=)T(#uCU7UZh#9&laK{PBPH6!6Fd(wI0zJ#-KNw66>_ z764Z00%9SC)!_<3*5Ef0tO7c^00};b)fgs%6u!I#8n|sy0X0CtzPb7yoc&;Y=okc8 zYS;V!;QdpOIv@i)Ee^>N$6Hi1pef>bi;51E2}{7>5nRyNjp)uQNLrf;O2skIv=$Aj z$e|TKD0hIAdw?ezMSGFehd|W_gVaMRevqXgryXxmQGi-{yhTL?%It=?3UqxUD+2>+ z;tT>AQvDh<JOFYoDCK}^!a$I4AvjTjMmIny@pub(%mTy&UDgFjlpx!{i4vr$8`8+? z?g87(2}-M=K@8~72*_wqq6`2TZ3s@3H{OB67-R^@^{*#F-4EhG-49~EEQ6#hkR99* zS0Dyuz&7-N;|&tMpk4$@$^xr^XzT6)hYv&{D0r|b1X<IK*F><27Ray=UK2qI!C479 z8U*%@HN@ATK^zbtIywYmzEpUJoU%H=z2z2_2`u12LJnwj9&b_MfigiU3nU9lDv&O5 zd<9a<0v+!RDowpXK@Lq>Fy+vcWsI!e1)8#)LFyqX3uGzCX~!YmEl}(qhjhh2%<dKy zkOI)jtr#iG30$_NgUi`&h?7A{0F=5M!BU{96L7)<wZMt2s6lOWSeMcUQc+uj4TDU$ zL!0fLEh;Y{JyDSQ&K8w75FSVn(#8j|x*_5a0g#I0Eh?bRk|2*AZ&7&y+Azid9ykN5 z?g5*EntQCkcDFJ?8zUe?V1Bd&OI3lTjzdP<paz3R*Fel}$OvI~57<_4E`pB4fkq3v zd%!LL=OU1fZpgqL$aqjL0v*uJ-)aUn{x!o(zc=8V1PVz|Y`t6tDa~MfXq17ay1|o7 zEs)kJD=dwHE=WG!qVfak>EkUbf1pfI8Ux89(in3YQW`UXrWs>Unt`S<m~v<uyIzW< zUI(gP8>AkR#z2;WoOZlL1+)VX6gJ0ORK7s%?}oUly9ewd)bQ5=83HN2K+XjP4k&$T zf`lQZ7bxnW27+P-#Dpf2?jEph-~a}xf{rkB_ke8%3xjk(QwhjuP`HE6%`SzMUT6P; z!yM#fkn6#v7mNdSKS&%_dV%cVh9o&e`UKn10~yJf0v^dgDUra^5Is=mc25C24@1?< zTu8+XO2A-SJ0Pxu@u3MCER_qIg@+_bZb+)?gz%tgth)z1bPXvbL1_`iHl)M|O}Y?; zp!|YOAvjIrH4&@=>H<h+z+oat;Y)$P|G{gcS_?swntN1EfIB&YEh?Zx&p+`C^ne*J z{I>o4Z+H^a`~}_l?a|F@Tg=S>n&|=8+R*7RkH+Hxxu9v^Dd3yI_kn`PqjQf6=*0BS z@1Tj&DJq~N%{xzdbRKjBjifLfXHh9*0BLCfs{+rL9(Pd@2msCGxTr|LBthChlHkp) zplv4`7+##(3Yl9xRlv!x3uIU4MVN~`8xMk}vOpU`K@RkUOmu-2Id)zKb)}{jfTy;! z7(j>ap9l9EIY4EM#zCLXdp@1FK&M<lPQ||X!g2f0|1ak}0nID!2ifDX&ykaX0la$j z8%WCa1vu%#`x+^)QTWJx4bRu8eGR?>XkP<#+BP`2L5vsow@|OI@qa3DeU1B5QTiHR zKnGWKw5WV$0?k2T?Q5)@3Mq-e9fCJZkiN!ika}!=jcTZBaF5^#RP|$!YAk&XH>hH; z(RZMVZ-W$L>1#+r6@yK_0#$q&q?p3K#wCy$*!vn6!BRBqYg}MrV1V{DYF~m=(`a8~ ziVAUkjir$6h^?>D^#Zv?2pePsbq|Q`Yxw3t(mc4Y0Xonc)FM0$P7GVYjWbXM4>Ax` z!9#0oS!6v&py~54SWhEZ5452Us!pK|br2I@N8=D!cQ9CYH>7U_s#8JL^+B){=)4wi za}d-WfcGIl%Zgf5_Ar5GH+O>-TEWZ!DeQzcq9DDUPRJxDv}FZp*@4>G(8*7TfCwwD z<}_3vbZQl~sj&-ecMZ6~1vL)le$a_1{H=vxDV*(OP~>*^fSb$UwgsqZhUhSX8uVac zP=giP5&;<xYI1-MXyR|x1sngG;U)8PaPES2`Cb-6ntGtX02TEwccJp3(F2y6kJ9vm zEk^+L2#!N~GoVOB>gRz{21pi^GC<4DzF$%Vtvzt)IM;d-l!~^4!=wp3vVpAD1AY$8 zHc+VmX^Da20ct)d20+YiNOu*q{DPH%0o(!xsp^JACg?(1*x&|82U4hmf@LenXh=&; z58`D|Zwus4a7zrvfqDld4r_^l?BIr^6h!g?+km$-2UY>m26Zu{nF8uxqBMM<3PIN3 z?{I=uKof8exI2l%M36#QKN8g80{dnnq=g6LL%W4wsWM0l50u8ZA-%OuNdFC*w4mKv z&<=U@;T5C=2u-ftQ^5UA6fc5Qy)68TR5o?PC(|LV|2--o`o;3qpe7G!8Ufr)gO2`# z+B32l;GtpA&^u&k7}O0w>UZGi4NL*|QM$K4dIKm!!&|_~@iI6$c0)QapgaUBVm5=N zKnHJtix^Ndy|YCn2huGBQAm@R84yu01!--=dYo%O#ZZsRYOrC`VD>-_12s;&A>9^= z7g?+V+i)IS(7k4OIqfMp%Y!TeN3Rv6tq<cPcQ)jqOO`;5NRRFY*aSYL4ZH{3%6%cc z3K}Pn*#P*ofB<i28aPf6!}Xv}%W+5t1e9TM^pK}Oy4zbIJ!F(PSqaLekRBSSGXskc z(DC}Eka7VOZcwv9T?-Ji8`2Skb}zu?B1jc9bP4o~mxFAClnZkq<pQh^1TGg~9OMoW zbbJY92d)kgD6Fydy+BSzbelkicTWL#+)=U%NENt;W_SQ}GUNu(luqvyl^tMui^>5I z4egSA;umONkp~*a7i>|1%+d(J7=kS*N<A8ngBMgZALsDs+@lfzH3dT3f8rNl2lo;@ zU^x%M5Nv_i3$@Rq@i=(Z3e*q>s38y<VTc9H5C}uCMFs4MZrgXMh;9|=a2rs<^K3i- z>Q*^|yDuQ8fo@oE>AViwasj#lp%Z+jVh0lgL+2sTg?S)pQ1=CNNSaUQP4EqSpreF9 z2i7}w9)Neb=BR)~KnDSFAa}Wn!2Pi~Dj=gllHe{EXr=3M7Zn4LB<K`5kZqvTf-H`^ zs5n3+Js^^Mz~||MP7MMdB?OY(!0<wL1t^yYu*xRm?ySuLkD_=s9tQQ@z`lj_)m|oH z^wmH|=7Sc4cHZ-V^wl6Iqu+cnb>+|hFF#yE>Z|#~`f6UQe*S;??>9K}!~1Gt4^a5X zeYM~BQTu91NzlF;=&*HgUk$`~VZ5AreYM~Y;`(aF9VmUZ7-(ND8eGs}?W_HTF4h5e zs6wD^&|r{yY<;!!P}Sfbl@C<4H%K*>zS=CPVzALJP{qz5#aQ}kxlqMmlWm}itwD+@ z?5kOU%)s7Pvjj`60oO2~J1L;Uy`ZD-piGM9g)BftBxGJl{~kCsjrP@u?W={~1qT?Y zIS;Cfk>`bM?jp5^;H!N>T`MB`YTW@W=*??$a4PTuw*o-TYmiBxrZ}Q`4I2ODZw1{{ z4{p|g`UKEf9@x5215lG?ii$qiDEJ^bNFk!>3!3<$Vb4hqZ1-Jo{{^WH4{DZyIyL;Q zpg{}pR1>JZ3abC1!_^=rVyX$$&ILCGK&puAIq86H?gQKWn&GAT9dOivJP(Sxm!}}j z0~jAVV+WR6kJ3|u4U&WULfCu0py&a~f}#g95pyjLsoM=Ym><+(QU_%`=pZ>vIkd~Y z3Ryj9&m>5_JV-rckQ~;(!`@Rv^rS$uTBto&IglZcCKyN()&!FU2}7D-pzZ}Iu%S~? zASR+K59&xEcg;Ylg+SL#24pm(2?iY`2N?niSa1^z#v!q528t(0{|Mv+l=hVfXf~4c zt{EN^K|z8T4gk3rVl_r*52O&IYi5AEYbHvUt{G^M9F!(OtuYTAT{E6}pau?l*Ni{> zBy>R(m3n2;;7o|MS0)9PqO?~g0xIHqRD{8X(Xv-21h(N6xIBK%@bcevaB%|a8Gw@Z zOA32swR51+fzm63L<bc+WP+f)2`LSbJ7l13*)-~q@q=uHlm?3+B`&C&1PU^6X#nF4 z<__70A=DvDjUb{!2D&B#+93m7lK|<Efv!)0bjU!`pbi=2h6F^140O){YKIIY0_t;N z?T~?t21#P=kb!K&*&%~i3_boB+9B(i1<D`-tUaNmcgP|_F*;<RgZfcAWEU7gGix_r zu*~`S|7HA1qz+jqtV6aFB*pya|9{AVW00k+FArS-srBgH0$$$)S}yuA1lsWe_1fU& zFe`XjCv1C&?d+fbUpiieX$7sp1ZiCtg1p-UtOL5+1JeA1EHpyi4Ff4JB4LX=1zW&- zVGy>WEK)r_>*xQM5m&(_7szp-Z20p0H55K_mvYNB<TfpA{U@eVAWM89PC*(_@(2MB zC?N*<K+6S>w}6)mf|?o7rDaeiXto5zL|s;hy8aV1C{_w7zd&maVXc{Sj0_CW@(Z+_ z3uFq;-V(SK2~yP!*^@?K72R2o(U9`X5E4+Z)o<YP3&ufS3I`of0@;CYDI9U@;6Ufj zqL0#o*3*Fpln~L3)<^|)P@tgzS`h_eg4DuVosdSVVF*$q6?8ELsL^>G<X`NKR90m5 zpz9|<>OnKirI1D{$Wl;>!rtFOwB<oALT#jirr!BmKQlvD(ts4eq84=EKYuIeAO~EX z9MA?(&=v>qS=hH=W`J6EbnE171KYg`d=58SCkHgk#^1UeCI#xp5IOb?o)v;FO9tJh z0ovjKwx<-$Fwg)Zbd6PKi^>tm05(VvGUEkebwk7<0!S*ld%)@u8)(*nZ4l-L#RMqD zfSZu;bwZ$p>%?x)x*dp=x>hhkX4{t|r7n<RpdJ&n3$z|tJ?NSVQ0kfwQV&U8pdu2Q zx<Ex2C`m($Di9NW(*xq<lqo9nz<!G10r?4S(fC}jR3J<WWN>#6czG(U3k|v^q+^Q8 zbg)8aWQB<Jtt2f7Cw*({G_c*${80CUaz8A0Oa)5`z@$JqkjS-kpgabf*#M2eb@Zt8 zgAKdK2ek)k7-(V!+TremOshcGWI+-WR2sAZ3nBnwK~=z4ZNV13_knHb6b9J<UIWT< z5nT6xGAk%<UrPN!;Ujm-|NllE-fZ@T*0P{0Ou)mNAjXT3Ns!@9(EJmr%ickHAj{rC z{q1hvl49`iCaB*G&I_QN<-yZE1-y*3dkbWt>#1(ukYbeK%^J|%D;+H=patywt)RdK z`4wRTN4Kb4F(h+<#~@3fnWGpKI!MEt5a)1oi+(7As0I&0=0H_vgH$68Z-P~Gbc^nW zDh3-3I)MU|kCH)(k%l*6iu<67!6t*2WP%h&gA}6=Z%ROi9--ZKXr2R&9AaJe9tAQ3 z`|xHYSPDM83F<<FOrzHD1L$lH=u#BpU*OaPawIr)k+|#~<Yllv=(2Yh9~uN;DQn2$ zWRNFtO)7&DH)Qx=(2ZO`m%W23C9rRze}V%H#z&r1c7Pr)j#?pr(h>GW53uA8D&Zl` zjYHm$R198<5&})dpd}%&{kkB-K+2&N!Zc*{K2Y`EhzbET5(siN_Jym6b)KNvYSiWi zXi$~E)fwECht7$^3RF*U;)IW>f=jm^@N6@zLI543(=kN_q#Jh97qqzRgsdQjPCj=+ z77=$t1R-T3sJGh<5r+tXmQCVZ9}HFvSqO~kekZUa;bW>GLtyTA1WUokR6%t=G;@J! zeGn6|Y8y1;32qI8R6!dM&>jC^VUP}J!vSPGXxRnm?jrtH_?YUp@8I+T3MEiXz{XTz zeCT{WSPFGa6}I{WG&7BTsvneoK(e3&0ZBhyo=EA(2AUqMLFob7T!krzrk^-u^(IjD z#vt{O1_f;B<~U@%I4Eq8Cc6={&|g^4w@rW!ID+;<K$4)q0gY!Hg3N}Dse+;oY9J_f zKul;N>FxnfmxIStL8_psr5m!q931W-9ne$)G8z=_pv#3&7aoJ==0O1q?uEcOQ1^qx zVar`Wc5p+I93p*!ZRi27rGS)7ph_EMOcksGq7CX|h(ge)5jKS&Yr6582vz~f4ERk1 zDTIxwf|4lMH_$Ov82>nA<uX_bI;ILr#N3c(ZYN}>9GZ6VEM!4S;oUuugEFRoPrN|! zB1jc@;W1?Xeg$Zfymty@3cPm<`g}Tg10{4m9WogYo190?r(@3SgBJrpC*?tl0A>_G z7Xd(~?FCv?APo4_JLLQxkkSb-rI5*afff}AL$E~!?9^`C2sa`Ig+RAcK?j9EcTzzH zg+LckK?a3D(x5>h&>d39v-BK{3=F7)LLd>)xDVDrA&}7^NvwlHAlq;b3PCJJ9TY0> zfD8(iJCi;r<m`+wC<NN%hB7Dwx_QW_^X7~9oj?D-^x6&@tpW9S89er-!Ulzwbp8DQ z(&Q_+90YYAz)5oBXB0lPp8}Se_8GMqyT=LIj0Ih21a8KH7%zI;A<bCOSPQAmSdbn_ zGZr-7(#^Xz1Kf-S4VZvRRfsgG84H$1?B49nKxxLl0bQ-q(W3I20q2V9@C-<i3~p<J zPN4)fA0LC%BQ;|o_HlHJYC=_m8=H3+AU(p{Ak|3CSg>l2ZqYC4NJfM1RRS3enn&PA zYR1A8ABQRin|uap@@cTil<nR;1u_GBGxj7{3f_zbjrM?wd7R@;;1VCCinwtn(7Xt= z8GGs@I5m;mjD-zRjhx+^pCH>^K^<67o<p9czy1MvL=!e@21-ZRN3CEP2GI=p?Ep!b z;ASl75LHmZJPb|@ps}9U49HrbO_9^cdiFrm=Wehba#vLE0_z4fpTXl|psod|u>fj^ z>;y~UTT#7*0lcDmGgu+|ifT~nAG!#)6S8v;+GK2gz}N}h@&^%z2!O_^u=fF=`mk;g z+yu7!EqHbw+V+IGe<N7x5m*Xm;~o^b-H^Rg;6@Xu%?TZ*=<WgUr2-3s8aB`-7RY!| zTMBf3B!BBXu<@@MUIsw6`ht3Cpu|GA71iLaz}xJQ5)-H_0VSr@42Uy~U?aBBgw%zs z9(3j_Nd01vdPtiVsf!Bgl0pk4&;&V%iSPWeMIb{UBkQ19Zct!>($YeZFr-ZjN*5qg zpuIv66S43BWE;4I1F3?h9_UJXa6Et#2~sqJ;sJCE8njKj7cyfGG6WO{;5IFc105Ft ziNo5oAUn7rNf0$b;$Lu$<Ockk+@K0U*5Ef0tOA-P@NRMgDTK9YVg1&Z3J_nz_|SDP zV2`pw)(L<TH8*6?trNNt62il?gc~WvL-R*Bmc4}_RWBL-{{IhY(}LRE9=(v2yr8Kp zP~XS{+8p(0JdWI^h4j+*fERdsbR*idC<nZNm!2XU0&1wj+q7sCMS_qH7|cG9Qh1vd zv!x7nYPW5VH4$xE(D~BPHZADTZ%CUKbUY-aO$(9+wP`^oPb1p2E-Dqs4cZu$3Q&U; zYikx{C`b}(YZhb=&ekl%O4Qcu%34TkcBKXBt=UowaBEftzCX}Kr2wfZ>!XqZYR9VA z|NQ^5X$?|4HWSv4y#tcUdIdjJ)T8+g%K5L56G*|wv_Z}%25or+9da82DhNQQ(!$Rt zMqSBP0y+{{3_R8XR|Q+c7NR1@-^vb_1D{gvqY?rcW^qxG=m-HF3#<*7>~v8v>2^^u zfv?qfQ8DNS;SiM&$UwG>ia>{t3O|1<=x$o@Vc4K^(7}hK^YOO|!%c#eZ9Xcz{H>re z2zr(<=%`=~k8aLx!A{U|xZOUWTkkl#16evlRKUmdDnJevR)8E-AJI9{;3{Y*sE>+5 zH{_K1h|Y;7P$AGkw;5(2X~+?_5?3Kd3d2vS&n*WZDV%ZmxQhzt{%VGozd@@bpq%3_ zDxd)}hL_JkE73q_2ZLN^f#Nza56N{eW5J96!SUn)iYM^Iv5Shu%Y7h2z{l6KHXuo8 zfKR>f=&e!l07Z<2N4Efo*6`_O*a<3xI?r|bsBnNz%jS4dSAld)umb23@t5yFrvxL; z*vERFwFf`w>_-<B(9!=W$A^O~@<6ny)|<ntK_3+phmH~zV^GZiR|T#8dXSarfRt&M za=iv6LfDDRD2WnwJT>y*8TcH1(6zbX^Z`F>T9dz(1)e@Y2Pwmnp-M*x=&*eFA=m+( zE-EhFE-Efa$<U$OMa7{TDH+OifX-xx9oG*zjvt&1rTAO#gO~M!QadaeO7geP1q*_X zw2tWJ-DL(oBs!v-cMF6S1KLS!0ZDxp$j4egfTlJJlw+-*Kt(~vT33J$o$ZDkYkdNm z1}$L6TE8p-r@;zD8vKVX4ZZ{=pI#T0h;CkSh=U@!c?BUXh|^vs{Qv*|<-LFZ|G(q| zCBk0TZ>FH_*}TueRE<gm_=>*HYn>q~A|9Qmx_wkcJUb77l9tE|<uXXpiU6HJZ2|Hs z$4ddU!;Oi#zo7w|)<AdvR)ESR&@BNV8kC9>5NXZX6e+E_fD(~2EUkf6LDQN7vN9Wx zGHYmB17G+KYUG0ocn{VFP!M;5?v225eE{hG2k?FE-J+*WknBZG<6u=#dsiSULrLQW zpiBumkRIeI(1G+Iy4ytsbPj(3_~iWz&@B$2ll{TGoNgDD98e+yox`ui-wK)w0!>6g z@1FqaM!MeubnrOn2!GVfqRHP{1j`p-vp@&4Yw)+`LIhE+t3b;#9mq;>-b*nBeA5N2 z{Dx+nAedIr<r^R$fNYiGZw1|J5AN-NYEPKBB!BBKc=oC2=B+jcXP=60-cksw29%~e zAlb(Qntgh}mr`_2oNx_PMuSd}haL<Mlb8aQfbcvT4@RVb)WNT^sJI3x&OKmRX<p$^ z_<a_jkmPnz$?0@a0Yyj-*6RVlk<;y?QUJQN0BN+*MJ4BDG$<EBf)*6zFQ=mNp^*lb zs)FWCkiU)K{)Vt1{(i{}%A2L2ytx{zm^I1>oHxC}RE<gnp1j#!1j(BfpuFh;3P+BY zn<3@CNAnvC(E0ENptH>(_rvbv0$mpA4ARpLzB6|J2hefdoi!>7p!4As;OE1O!_J3S zfSe}|KI9&Ln7EIM7=J6M4-4u_yQpX!Yw#!oA0zIfqT$iY%fkSwQ#!y#Hy^P8Ut9xK z!|*~3RHT6}ruAS=07Y4+i;4s&|4V@LKX{cr_@<U)pbRa_-`WLEIfe%ePdav<1YNSD z;n8>q9NEo>I6$6OfC++cOzkcKdBw~Fa*K)M4t~(F4=gI+bMd*0KnDQ6)BzQMpmvD| z=y-I*k>NfnBK$2NNr<luVA8O|!UaL+z(d{9E4t1Av<|F9MZ=@<7}(~{?;f4kKv$=r zS~{)p=l|E;pjz0Y@eSw-aF5PCDxeN7XtNdQ{%TM;1*TacCsl#UsTT0v)X@A7>O_K= zpilyrLzr8AOH|bPTYbU(Ww<It3r>x{)e$V$4KWu_RtMPy%jyapKA?u7B8t`)6;O-1 zyF~@0va>}6bfI)NL=ZC80F?%bLj*vq<1OIa4D!YC78TI-%^)UJUw04K6x3o(j=vRj zZUwk30hs_ROJw<5KfoLWD&28b_EW&t!2ANe!UA*%0@z5X)(X%OxeN>poh~XhpmG}I zVo-7IqEZ84)}Y@w15(xPqf&$FS~31s(7A`;7E2AxsiOR?phF75f(3Zm#w9RELfgim z)AHb&5<qvo5Pj_rC>?cnIb26v`vVbixeh8Er>KB<9*u`)fR04#-U8(w(*VgrGR%we z9B?rSN~njALy`-)7&{2*34>bWApUVk0st{zE`x3}1{==m0KVKA)Szrp0rlQM5q-Qx z1$3i1hzUxbkY*(~t0V7MFHzwut%T$~unI&1<}J+w?>_;hEs!C{A?XgpgeK|k9<XKL zfeer;Xaeo-0b2|f2Bo5Ih(=HhfvQ{{P_yDS!^>ER=RnQ{`RMhO;}ExlI8e8Pm@g|K zO9?<0a6?S$gd}~ax!pbBeA_(*oI8-}42WWcDv(XxQ^0P+Pz7E#2+c5HTZJI510`7y zADV$c%$MK)K*mZTLC*mVdJF9B2T;&kAWB)(pyw{lf&@KS1tRFVO5?%Jca-*8HzYfQ z&O>DY9ns$j$}^CB4ZRo(+`Iv0DR6E8g*9iX9;j*aay4ZA5U70s^1|!IP{)EeNcHCH zZct9+hTM5o0d6(*fXk3>NXAAAHb~yBfx4y}(q2K8f87Df#~>?S=7a2aQGqqpKr4F~ zUPhsW;{@=vqAn^L=uJ6L2x{O8L5|WmNC<*eAVQG6GyoieD7`DtJxZXfhrr<k&f}n# z9hjHW?V<wi^?*W+tyC2pY9bI<gReb$y$R$lkY_+mOc4Eg0jMK|cHt3by9I1A$X3h? zk6zE60J={GqrJclYA*<9fzFtPv==JMKy^Dg?S<*!iX0q-#~LnRX)l0{MrtpB)IjdA zM>OH_G!;Ori@~OQuxdaX778G~0wTf?4GR&_;s9{S`r&L12=ljqBq5QC(HbyDX$>sU zK(q!nfV&&mTLT@Lpw<AaHPb6vK%~Ba%%A^Xf?NY?NI)^R_8q9{^&&X~5nl}DREe*M za?JJ}*l48q0;z$=7qqK4SmW!18X~@4;EAsr>8SB_fJl7{(y_!>;~U6^i#;l!y%nJL zA7~o|XiybI@8bpKwdHA`xZ9%w67lHX-vN%kJu0AulOTm)8g}&$=s;cA)juE!NK?H9 zVmhd83##WpQv`nCB}bsGAfUwD0yg|u!vjd1Lbx8iyr8DCM`s7v%Elugryd5iO+hY! zC<f1)fw-`ydy5LFrwvjBp&7uJpCKOv?hCdVw5AwgGeS4W(Z^d<KpQ(ib@}lYl`Ej) z4Agx9-LuFDYP3Kvhv@79%Yp0y=>q3z*hM=aO`PCsCZHGXfDAm|q5@hl4>k7;)ZFeV zVB5O)fK5ZYXa_VO2b!&M2Rk|s*2M)G4+}{*uoP&mCb$_1vZ1?21!Ov;Z{4B-T7T6s zMFrFm=5Gy!nE_JR*`fkkB;MTuR^Qp8vW1ZWbgnB%5Y*a)OM}EA0w7j5RJ;eQ9<dJG z4(t@rIS`<7>@~y7|Il_AIB|iZAJMhxQLzQ7ZUHHQL<F)TSO@1J^yI$NilFZ29u?3I z9Pl&&Xl)aC;RA^AVoDnLK=aNPa3u<g5lET<-;v$D1tQvc9CA_i_jIu0Ue-2cP>$;X zr#+9xg9_jx8hlyplH{NNU)n*AOaX-xD5_q9jzWS)A&7q*5@;ai%N9^4xf_~nSr33O z-G-z@&^kSk5>TJ7Mdc2H2}=6Vl<)*93UW6r)q>B_25pz<=2dnFuf#Y4PJ0kjL1_)V zrWmYZiwZ~%bd)xOJ7h721OL?Hol{hF!0XrcsDQ@9`CI3JV;&OLaBDcaMUT5d#+bnC zF+hi0gA$xFD013cz*<n;#nCM~4XOdWa6$%b#}*Z7kcK8m>O$7Q(JdMWRS&j61gai1 zvd-VGj$r{ux2PUeJ=lEEuq((x++g#6f@^F@>N?({0@}p^4a7arKm=Vv1WLp`;J`-i z6HQU!0-1qWm;s6nST5oOOMxa+!OeP527nq2O7I|NHzfCV_ke8$Pg{aiLGw;`j|#{I z;ATBYM>ixdfs6-DTY{E1K^JE12eq48z$qEz<d?|ni$Td7BnVom#t1z=8|_$ake5M9 zU<)%~{NpWP2Y{uZ>x)6o<A%5ck<r19>j4);ki~hRk^-q|3Q-SrKGd@ig&_Z7QwXxA z8?T9A74R|x<YF8qf)qk081|@uwsU~Cx1E=TE_MQ~v;yaO5aY#yB*<bXP_r47;2=33 zmiIu-QjjP}7P71fG_(j3fyjW$@Xj8U9%!b5DC6m#q5@LZy#*}6c?v~657Hs^f1t~p zeuGyXIDq#L9dA(qjh2Ga%JCKz@Z<smsJeg@O5GethG}zj_Nai?_=Cj2b|cGLbMQ|( z)bNOrf7_|<EnsEVTfj!cgg-U^WZ<8AsQDiQD5E=Y{DLU%>;c=_4KZ4~2doEbQ8z@c z6Cw!et%6btvim%couKUjav^9N3*3b$^41<GZUiYL)r}xM2seUw7;XfWSfJp9T&w{L zFGxgx02i{*B3%WP7U1RY53p1VxP?M$`3tMb!R0Tg((dN%cLkTfpgI>67;qIlu$p@d zxaJ0xzd5cb<?k2pqMbb|pTVgFlp;VS7m6Vq-J-^>NaZhR{T!&c1FxTJ2UY)&0vm2C zN4F>wR0FttL|H)BBndB1VH!BPMNhdPS%9*Ht{r3zss$X~qO+jt!RDi^qHEfVRQ{ru zw6Og;m%zTA3RMY;J5~`HTs5s#%+LQXFF{s>gCh7pq|%+j$iM()f>H*Q2}%zj=F8WA z|Nnnk15pYqGhgPQ@{!BXK*-eu7hj)xeHIk_&?E$IWkYIIXvGdnZP1Dx#005@Rh5`S zoLf{bg1ssQ&i=@1VO{MhDi^>iK&S5FYMp^r9(GJo0WUmk{RJCH1hqOkAr&07hUtV9 z@ZAtWNMmdVsHTI6Lj*u&G*kt&MnbgCAj=ZNSU~%zK#oNX1W<Y*-0;N~2#__6psiu3 zDG3n>pk;~ttxBvQo4X;6>uyNn8P-GvEs5)xq5@eFCxWaH5eT3RNO2$>0>{T8@McJI z0|7kgMvvA%>Y_h$c4+v40s_>q0QI9FEBbU`QlMmm*7`?TwkL<I5D~PXHUPyz3t60( z%K>sFsGI|}{~-sFfm{WuZeIR^w0&TFXifu5-G`2MK~m)oXx0SPjmKM5K+RlGemvd+ zZt8-VpvVKsf||nEQYB<PAmX4=m|8@t1kHGWMrd%hBSFsW?g3j4Ztg*I8z`_qTVNT% z<BX8p*A1aSu>xxDtp_cDgY5s#gLoO_1CT$#tJGi|sCPi(uvKaxJGdc+A)*JItMRt5 zz$zfxpe}~Aus|IHlr|ewA;=p1Z8oq9NLhp5M36%8Dm74BO<4rePlL4G1R<mK;5Hj* znEu6^2uPa^(guU|m%vpyc;QKl3TPu9C~6_J#Xit6=%90S8D0oPK)P*^b|mOH&~L)f zh9PJz4EP2z2qW$wxQhsCOnP+lE)a#R`2r`bZs;%u<PdkC?iTR1?Vz3H3?7|(zytQ3 z-#f24?gHH;06I?)G_da4c>#K!Ao%1#@M@_T6_mAIpd;Ktk|5<ioiQrlwOt^$!_E@~ zO@o5Z5d;ZtV0iH<{OA9dcOYp4)XV^<fd`PShcG^L@Bu7!^cQ4U9qbEMSoQ$bO@wPM zSa}6XxS+5F=>lgP*k}bv0%f!Ul=87>yY4CAu0!`8@Q4B0Xa#5%4b*t)1|@&UvQUuG zpj-het3dOncrzTx<Iu#0oZ&#_9f1tj39=EA;g}$S2+L!XWH^v}Ao&R71Z?>ToSh&= z1VkZ$42Q==P(DIb-5}@VFcG8>p5ZnLKr$St6$DQ8pjixXh66EPREI(`9HjKamf>Jo z5kezpxcMRI8Lm};m<(qwgg3*1oC3{oH7XUzxy?nT06DA0s8oQm5|(@xqf!8pA(+W} zLw^2$Sqj;n3QE%8oOJC6cw+>Nk6Z`s{(&cxfno$y@jwf05ECUcVVOM4FRg(ziC{Sn zR{4ae<U!{TL6HeE3fg@KF%bg|AS;nG0w^=#%?KeXxzPE;aELEKCV+emo<D?fpgsVJ z!{!e`&KSbg&Kh2nT;QUT@QGi*M<wA!br1#VKM*7R^AeN(?fHpM{}HI^KL9oTM}Sf} z*7P3$k|CV_1AqR1S^N#YJQp;d76Dp+698I&;{jS<<FStqG{%3}4>ZOPUevi?paL>K zV*!%4fUmz1hONJ`fDG!ps04tQqd-b`9~B|~RwZcf(?!MOSVKkybbiJIvHk{Zbn}r2 z@cJ958u0v#hX?BqX^^$xRXr{$9v-X@q#%3^(2N<{1g0Q=t0vekP&*SY&(Z0lqSEc6 zqSAS=`DH!-{6nn=9QbD(bKv;Ya;eitg@?cO8@N*nQqp>We?Dk|7&m`EXzST)2Ezl| zAe}BMBA~^s4xl4{L7DNmi;4?~23ca@(QN=)g?R8GOXsPb0-$ia9SB<M<f7sLs^2BR zmz#HkU4MfIH1t!VBH___d?#oc<3$4~G7f_WieW*A7!CJP;o@%rNrK7?Bx%?<_zIA| zUdS412T&In<Sr21?W5w*`0)RK28K=_6^Cvg6&E<q1?;Am>7c&v;nxR2zHWX2T2~_S zQWCmU(xdUs2`*4D?@>7brnji<0MXrh!1O+_!<YMj(gsAtqkI1haPrur5&=~RreX6x z`#BgGVDmp92}n|DftU`O0Dz>H9+iC@p#6cM`|CkIZUI|&tl<MB%|N(_`5&;AjYmLE z1<(J0+z3$&p8o-HJy>^efIQNo5&(7=jK-Y**$cKAbO|!TW)D`#LeLhlua38<YyhoF zgN)g4figjbJ;+O-mJ_T+1(HJ+=YX}SKoTe|Dv*K4TU1s+4LjbVvIffRo&vV5dyfi8 z6IzQ3<S9^#YCG7`pTK>0(AX>&_zvx<pjOp3u+(#y6v&3|9<b@K&h-Wk@W!q6V1+l4 z6?V3$%-{mg_<&r~*`hKB!UG9H1{6T7ZiqNU07(UCDGL{9n>%deXC2rEQ*h@RIdBd5 zAW;JeK1d*gf)UbhgH3^NQCSPt--n?;09-V{^ucJ%s9girFZ2e|0|iA4C~0(e>|tgA z-9#z@O&K6T4_43x(4f1X&>RI4^5A4(0NvNX#lX;c>fkT=gRi6+FCP5Kdhj80XOD^q z7x*#|P>g~~hT|>ZhyyV}HbV7+6m_2K<}v7=q5`tF^ZLR6@(15bJ9b`hWc=aS_}~F( z1X=TtW5>nL9+edw3=A$EC%dPBS(jgRLed1>yeVKyn-8&cUh5Vy=-vZ1(y{Z0<G~;D zjt5^zJ9hqZWjy5A_y}U^b%&0hU_(GD3cCZEA3SjUe#52nK<BmI5VnV%Q&fCFQ4gV7 zFM$fj9+l;w@a+He4iuiCIX^B221p_R`5l@JAp&5FAlat72OJ)Vai?V<Q(BAOgG>RH z*Dqg!4&~`aDijdqV2{dDkm?qY5=aUHmrJ0Mquap&RvMm$8UT*S?gnu3=w|Tf=H0^1 z$>3pl!UMb&0o1<nXg$F{1=J&70yY-1YZ0;5p#`MA8(Qc!9`gXFGwjPG7J7kJYJy?} zV(X3rAjQ3+j_jNahTnFA4jg>(*YoH9&K5{WLa5dQj{H*&xOB9rECxH^5x4>}ykvO5 zvGbruFE2By19pJS1g+XUw^N>hfdO^draYPp8fJhdEW!Ss!-mV>i@?@`7Mg*oILM0C zUeO$odW63xfD8gzi)FFsN>7l#U%G=TAW-)NoQE1fA=T}X(s~jSx(mU!+y$){2F;Qp zLa71dBP4|jzzWSktBb#*nh0_OlEV3*ilqIeCuB?)RH%WX^QAOoKncc&7NcM(2ING+ z3J#l2NG))@MP&iBumvp=gfc;ngwz$Vf&_W_*%p;~Ag8t>29RKCVQu&+DswsD2MB_S zNl*y@TABwnzZ+88gBIX%L2s%7sp^K5{M|h&OSqr|NYEk`M1iVDP;#9EG8)pK?T7Sd zK|TQa6WpJLaiHD-iNgkvKz49L3T#B_4l=sC2VDC=I+dW}9;H7ERsqolbumOC$dT9- zf~>)BB3K2qe(3>601gvD3c>wZP>et_7gEwb$c!s#&juwCC*)*36{ME9WPQmEoU9|D z2NXfAMN5RUKsMZbJ#PoYCUJ(&<DgsE!3rA>2HXeLXy<l;Ryn?S<_zvAKvNss7pyNp zD~_P!S`OfR18vx_N`SP3?kon28iLx0Y@p_3j60|~$+~qbGlQ$)NuORwiHc-z0jTlS zy#?CHnF4M$d32ul=$xW50o?vM1X2uQ9%lh<>tlFP>HhP-tKmt|-QS>GgK*u0dkhR7 zP}f;Nsx*)SXetIJ5D*ij9wh70E#T65&ZYCDBdDv$@FEH1((XNAhrHP81P*}SE#MRe z(gF@9RsnEvcKk)C6Q~6V^E|k??u6CiFdCK#5v_rKa0V2HPp*K?L@w?3G2%)ZeITtZ zGr(FArF|brJtBF4$}kTcrQT*2Q1XELq`LuJ+Cv?UzkS&4!O|_N&dA9CY5#ThsB~~J zfNv;o2f3;hbc-pZY6G=Ax<T`-;HsDRJp*{2(F9Zv_kb%A<{lLgud@f-LPTq5K!O8Y z4H<p|ZNmjs%8+`f)EQh48GuY`Q2|kidZ-QLh!)WOX`tQ;v>w_5wg8lLz@|1H1QpvJ zo#(OCLNlFFLWcDND3L=01`%F6z`eI_SmX*Ae%lGYO7gZ7*kxc3qt}qFV3!4eXTV@J zBxnHwD33HAyaDPCc5eYU&^@}%K#l`t8PqEBj}ypokkAGDgjE8Z1R?IgA8()rDS7RB z^cH;UF9&|`K@Sbkh_46vtQB-DC8+%a4<*(NBHP7vVEx(Pka^AU^6?kQb*9_?a4>-K zbq5#p*q;Ip5JR9x1;lt!?+Clj6jJ!GuKULYzRnaJGSDs_(siaFRnURCJt`R-3=FXA zOcOwCtk;=>RDpV#7-JM5KZ3M@B;msp8yH^vcKG@KWgKeF56Xu~HGe$Fvn}<1{{MgJ z2pJy-C2UY%7n%V;Oz=z+$P$QO(3=@?U^7Dh{{R0{0=fhZst}ZnkSvP@D?ABO*a=-~ zmhc-9s&E@H%5v~nYXB^~L5vst4zTcs6qu}Te+h=SN4Jni_ZAh921vmQnuYKH^#(eR zgH~fYfP(%UsCc&ku^^EEnwx-5NPrv&QVt4dtdRiH29g9v0w@cDCL}<!6(F4;(?OER z6BCj4KmWgsL=H6Qrl=MbPyzvK1LeqW%mUjS6qv1`8P?YfpvfSyUx<(dhnEH{yg-Z> z_w8We1u6(Vx>@D^;0-U3DtP)-00js1x?Kqn8xl0kpgud|x?PYekO#2_4M-bE5*#$p zX_;udpZ{OZhE7F-lMK8B0mTu>_YfM>_u^pR*YZNTIUtp&`a#YoTmnJ5#HcM%F|f(( z;3HN+=7LOulvb@L`KN-Ki=to^U%_@mJ8Gco?jhwrsQU@uc-#W+NrH|W21!l<chw-9 zeOgomK;r~GD*Rx>4x<?cYH~ume4Q=ewkv3#3%o}Nl?FE#!6&|eSWp$vwl1Pa$OpFJ zIM_qTg*9?rLO7^+wWK&1x&^umSPV~begYl&?a*=E;oI?g@S>=WJu19lYkq>QK?=7n z50-BS>-oWhCp=&!x4}xFHi8<{&@ja4%5sC19|0=|nFxtt5}kcm9KW-<z?Ni4f)WzQ z5@>WIJDU@%#0#v12xoJEl^cVVgG?mL*)bCMoy`umWTgfkXS0Eobc2-;;cQm0@)EFe zvYowD48OBkz?MiD<8d}KSjjIVkP;%C%>-8d7_6LZXU`*$NEpGE9C87<31kVDM8W`8 zvIMMz2xtFi2aU+~f|Y|zBrB0z7r`Ie|G<_Q`r~o-U$7Ekuo5Di{Rgc4j~~oLvYcJP zfZy4_!IsR9gjs?mw10t>)Pj`|;q0Ga<!NB$WQTUAFn(wM09(S9g2&n4!AjmFgOm{A z>~CP@SHa53cJ?D7{LcOgwxlEv<R*|MSVH>?SV<UI2@%fz3|4LrRt_?etVD8I5WllO zfh~Dlfydb&!Ag#Tl@Q_V4`Ai%z{<&XHiImFXTJwq;@gbJ+3&zg^ubDqaQ0iUatW|< zvYmaDKq7eqwq#E)9%sJ>E13sYLWHwlft9y|m6Pr4S<?7J`z6>CwHbJv{Q|6n1FVDy zXFmrk|2Q3HB3WrWo<Q1u2DW7CBA6vuvgA{+l5(&TBAopMtULj%ob1pxA<zPR47TLj zMm)}b1Xi*Gtb_<>KLjgZ2v$zEvs)$cCz1zXOI-KharS+%5_PZ=BAk5>tXu%BoNQ-1 z@#A;)U9crD&*E|R9k7zqU?oI2`!-nl7O-;A&<TG0(&-aq{SG7xfDYOK&E{!<yQ{F# zM_6NB0V)ci8M-+@O9rO5sK}#<3mkmJ(g~Tv<KH#~L%M*4^Ao7Q0a^~s&cM()MdboJ zXtZyS%6WDMhSC7g@HA+u2QiTK0W>1jJw*lLEF<Xj9O#rqP-p4@zjh0Fb`Nw&%t6%A zt$VsZ|G!)eIoB05CIafIyyX1^Ud{yLL&r$KQlCB|2M26M1k{ViJ`)W}7$8|t-x6Ct z^c*-g5Hlh$wTKy!vmif14t@l62tfnL&?yQK6Va;z4K#zdN`X{CdzJ7>Yee@F)Q5!4 zh@1f#4Ve*H3JC&`As~N(XGCBe<QXC8l}aExxFKEEPRL9U=qLtI_ZKp*+70Oiqs(%E zY(}U88QwhwJg1JK3Vb#KDCEG2t{Z9Qg8wxKj>*W=><kPgC^Hw2UvV&i27<tbG#&%Z zL*N>kme2!@alSkTJ(Cu!qq_m518H3A6v&2~ujjoqf`k>8<nj9Pju%@%(;9{cIuCku zL+2725B+!rn%cOw>i}p%B3lzYvfqgq`~lm?x&bumi!^xv4*=E!Ann~f;2|xKZt&Cw zcoj*b-p~IK3lDnqiZY*JM)ogcT4N7*gbB1L7i5WN=R?Qij-XR=7#u<OOEEC`^zxoQ z&CCEc#j*2{Pp_;c1IVp=R1UC%=<hzA*L=FCKzUPCcCdqPXS?J98b1d!Px^M=e8B<A zMeCsV?t(1p?)dT&%ld#9FF|IuK=Ub#W&o8apfZEt`T$U(0i}9qiUcu1p#;@S+WLSs z?6CC#AQpIPA7nh-JaX3ufM$811rXW-1=RHckFl>0SPd!=`s2XcH9-4(AoD-aiO=Q- z?48glP{@=gL;z$Z(nJG804S8t?_>g{wpbm+JW+Rt&MR=N@IVV>P*i!aDu9w#XNw90 zR1`vkW90{A)domCmQ@>HIKitnK!pJ)Dv*jLP$)w6f)v44ZGg?eyJ}+zJXSy~aIAog zhnqJ=1!Nx5stu5lM6B8X7d}%|K&tV^3TV{^Xnv@B3V50bwrXQBI95OxWr1dRKnJse zTm>DAgDls83V<wv2!L2fvGOAj94jy8s3Av43M4|_aDtY$!RpfpXoNg~ib7~`gxr8c z2uMAa2)P1@5YX%|G(tdg&>$u#0HJz8ieM1}HV1En%z;M;hy{)iknwQyrl^3-Ly8cP zkwioY$YI@6R6wfnM#!_yDd5?*ZU_a7klEk}c@CM4yab96kgK{ObK}hq>`~|GAp#&P zks?GR1RNndLH8-WFw;bil{t`DIRg!UNH&=Ojg<pXQ3wr=l^u{+0jb9lD_bD3(g0n= z06P8#$^?ZXR4+&oELOng;Ek0j@K^z{z_9`{9&X+g6_9yIu>vxZh*)U>RpC=qK&lDG z$_9|9r>KA^SgcG2$BHIWtW<yuY*7I@4iX6<R(FdE$RdaUhy^+Z0<<u!MFpxJB-q)a zQUlQq5`-uQu|PM+ftq#TNCv4uiqnWNNSuPEF<&I9BjVJfd&hwa28I{+6o3AIss8o< ze`w=yCU|!D`_JYBjGYHMk9iz?#@zV<bX_6DW=M^&MFm{L>`@T_Ir6__=MNvoW8HQx zu==V+r3VxrohN2~|LNFy(oqw%{;UHmbn^0Z&{0P03=EvVI;Vi=@}aFBP}2m-*yiIb zofsy&be`y(qSC_7z%UbJ8OTV-?>`+iFS>L>3<gPo4F**KFVFl0`wLXa;quo9P^3Xx zY#;}K%ji8SAW>A?L1uKes5F2*f#R<kun@$0kTlqOaN#!vJcWT~EQY^8CPVyH0rMBg zNK}7;q`(G)3elHczrg+il@+-BbphfpP$2+v0Jx~zqXH5|^%uyD&K8vtuqRObRR9)( z_zNTrwjN|2=malt&A3Gc$yf}3flP+@D+lH;kddhV0!e`l29-rGoqvP<1<Gx>{Iv$+ zFHo)l`3qcF>`?)UqWTMDMrVsk2G|oQ{z?H0LHq@h23rp@4|IeU)L%%(V)zSWGQ?jA zFn@uJMD-U)3T!Ya<Gy4BO*9{VhN~0x`tgnx;Ke^^t>lVlpv((xCA-SOTFH>fL0H|0 z+)9RY_h2#(Ann~f(8eXAl^m`7^FPEwP%Ali3)WUL$P&D*WYaCo3}91GTgee9tz-yq zib?>uX$)>9dw`kXR`MfI+0$_e(ShvlV0j8|kAbEW!DTlnK3IP|0fj>gxX=NKf=UUG zZg52dYMQ}H9h|FZL4_8m&PQt{L-mr@N_GLI9`Gt!5DQ$<fQ$z@3vAv1wUV8|6%A-D z2&_E@aurFfWCuS`C_`Jx=8A}_qPxQe5-XsR3gl5p^>6SLq`pN3RAhogAv8EvK<zWo z-5Q|U0W(%W4OZOCC82sjieS}0$X>MC7j12`4LnvrEO4xVN??$)z~&85E7=+xD~G`Q zp&@-2kgG^)B`<IRh4T3qWzxtIQUZw(P!S9ABP2pHpb-KpltH2p8XO^@rWxoWH%_dJ z%|Q)T(9w_3Mi|oiQjjrFy&y%f2m#rPKSE645dva?BLq}+z|Es|EBO)jR<bcTLO78k z1YGdLTFK1ltz?h@sAht;qd^S-NIM85*a>O1LECE04?v2c#(_$GhyaL%)E?t-2S+EQ zD|$&5IZoF=;uKW9fPx7UrwgEQ3aXI6qTseRI8GOUYQi2!(-bq4fttIZ3y~3VS_5T* zjDhL}DT2i*$X@($3OWD)vVj1^0>>$+`3X0V+%`7IVckTuu|Zu?P~#f36poXD0oKOW z2FEEcctZyylYv|Xx^@}dC<fgO1Qh^T1nG)`SV*yA;R%kF7lIPV5%L5QA#=b%4y#me zKqF)VR1`vkBcuZoAt3cwIz26rPEP?e7C<+zL7AWcgz5z;f<*|}9K4+#6?i5CvA_`m zG9GT;6cvzpNSz*#kwkQQN<e9RiV8?IT8cm&u>nO$1IW`;R6rCgLX^P~5`r{h19DY& ziwcN>gg=M{x@`;|Lm(@WA|%5b5+My@$Pps*4BR-VfCfDzhX{aLIiN$?prQp}8XO@R zAh-3XfYf7&kQ7LSWI$u#c#BF7lnDwzs9umFScHJh!5bkm@CX61z!3s69&X+g6_9yI z5dt!jhzJ2Wta}Q?Bd88QjS$e-Kmy3qQ&d0{EJCEg5i%RRDGQQAK&}FvO8^dk(AWS} z0AvxQV+mp*MM#1#I6@#}15%QRSb_B;rwRZ3|MKoLaQhw9dc)Oz2lW`C%@R=i9o%NZ z(~lGZg(zx2QUELjX}^P{!Od)NYX>rVj%F-I`yJ#uNc)`!)_w;WiQ0Y#Nr4Rp_aj$* z1N#fq4#DLwP>&H>v4b1{Zgt@4M{<BYfzmHw0SiI=1(F6^4{k3Y`jJS+V)zT>I*7j* zVEzIbiRv$q6xd*JKQiMx*k7RP9GAa9Jw|Bl2l5xVF@UEZ`G<{x!38zGet?A_{sKvZ ztp_y*kou8G#$xyj<T{AIzOX_2{U9Sz{RNT&8w{$LUn>3p`wLX1;qn)##|W(>K>h+( zh<N&uAHbeKiLW<cA&9>~(qQYsHO&<8I1QSy82$pe4&tvDFn@uJMD-U)3T!Z_#(F6W z8P5O}%((nj1Iqp_;G!1f0C2ssM+GE`+CK)F(b=N%1ndbEe?0&TLHq@h23rp<u&1a% zis>yXNXBCL3uH3HUw2^s0vU<wFOU@2V33I~uR;6;Dh+Y@D+b~(P&ozi7r1!dqXH5| z^%uyD&K8v$U{9d<>k3#1;xCXi*m{t8-BZ9NKbo-^{sNf{@z({Izd%N!`U@llHW*ZX zz65Qndf9XxPrsk=?3gbftly8?yGQQ#LpsE;CJJnJ3?ho?_a_S@&yGFafVJNbvIK9x zf9(dWvtuXNKotaRb_~LsqH=%@K0CGp%mnxQUkU#F|8l}1<bFTi*)dQh0jfhGG`MO9 zbvDWE_e1rP*6-f}N<H9yKZpgc+Cg;=$XQ_X2B_b^nT>&gpR(Dp4aog|dqG6iPGEKn zR2PA~523-a0_t&++wX_!C9U7T1|BOQ7C2TwH73YeVDkp3-@h6hD-_L+J%G$vzNq6y zju5=FW1vbD<Z}oOju23{k=%YiR4-}${w44T0kOal0;=jk&H|f9>wZ6|1q|AYhBiC4 z7#txK&5j8Kg9^CwkQT56A9AeVogD+UEI{6e(BN1B^%+42=wTm?0d>kjmqS21BWSZ@ zP`w~Uuzm@sA%WIULmM8O1CJFD3mhw;feMhbz~+(La|aD>5Yux9#R`_5`)qKm4B+gT zLntIp!Lws7Jcu}j^=b~V{rvxu^%l4_3F<fCYMr3<YQQxDo?guqP<)`ak|%(LAgvRS zG`JA~s$r03$B>N0Xq|vu2Wg%3z*;9DBT-u?AStlH;9d>svt!^g6;H3G1MCTuR#yvH z2;wi0G}wAj84bEE1~j_gy#+Rl1nNRz_zTgiX@L0)WF)G;KvH0X!Mz&NXUD)r6P{j8 z4cHSX{;B{ALHq@h23rp*>X3RhNXBCL3(>17f%yw$B&xqaQecC@y&BSI$H18zPp_r` z><JWq<$#4C{sKvZtp^nrNV8)|#$xyjR2V_hVFt`!AR|%z1(E_A3@Sh%vtz<%@wAdN zHe*>smhl3Vd7-mo>ddfKGNjcDYYQW{k|E7Un2Z5PJLn!_a3Vysl5IJD{@($%5Hvfs ze=gQmGRP9Vt>pH(%nV>tP-n*yP+G|l-V~JxaMKt(I~D+Df?LT)KxI$XcH~y_9>@R~ zD6fOcZpZ-G2Iv47sB{2{LTGSB18SO)+e(J&C9Re014=#MRx*eMu4q7I7|2;*^9HDu z><zAH1i3(qh9LuBAXh<$p&(1fpaP(R5h4I$Aq{{9ID=9fbaqUV4N+BecYJ}w3aF$4 zc@z>WFQBmkDl$Q$5E>jSp!ONLtz@WP(pt$b@K^z{z_9`<fkDm!n>RqMWM^=!1al%{ z1>`E|AR1(x7%BiN)*%8Q7E-MIfW*p+2nOT`(RmIYCk7R<AU{GPMBzCo0$Wr-g)&$a zF-{C>nvvT|hUz7)m23l#5D*I-A)u-Q<Sejxv~DGX2JW%6lC8lJ0y>ZcHi-;!6?F6u z68=yDP<;du0I`rF#KRR7%I9Ak|M%lR$UC60@9s!}L<p#EfC+lAMnEG3RGWZAAv8FL zfQOd|O>KcHCFra^s2Tw=LB>G!lGZ>rfkz041&$Dq@o@8K-9QFSS7K=(8-pVRv>gN% zA>i>v*zz%)6OPa+$<7vh%f~>vp~is*7a`M?AQn<|et<+LWV%wB5jm61fy60jv=0<a zposQhodAtf$RtdQ3WNs7DR{)L2QsOIIb8{ws5%ZAwgg2a+UyuqFGvxr(*v>>f2T(W z9;YA{I8H&Mm>|c3%^RTEF>P?1K1G_Y1i6Z&*)atVNG41AjhxA@Kq3S*ObPNMBtlL= zBP0M^+O?=aXmEr;50L|@CwX=Zsuwhy1&a`{IjECUXuVJsc!Yph;0OT?zk(bKHg5`z zXU9OL9%x#u8+CR}85|*?Gy=<HAXmX>$3XMPPyx`GE~L~0v5>M!fEOe}F8)N05S|y{ zYRCl|^pFT)05v;1TU0Edq7WJ!AqJo!?j9A8dMpv51DUQ&Knx3{K$)Nbgz5z;f<*|} z9J~=C1CJ083mhRJ<KgB_Q308UG+hZYl8EU_ki)vCKs<u#0L<x14Ung&sDLQ=bR{@K zijn4zL9Xg<Q2|kq*+~$qyF~?L5kvsQLW&R%A8>>~25YkaAYuj9v48&U$N!gFr%3JC zgB!7UI`$%<5Jm0S3xI_n9V(DCxZeYAZ6c;Ck&MOYP=OlzkPa0OtV0Dd6177Gk^&nH zYPG(Uge>O;HAry{Sb+N4(3Tcx-UHkW#M80o0DA(ZqsRglg7^z04YnTKUPDY*A{mR} zFOcgX{$han3uGj!zd%x8gF)@Sm)9Zw0=4UK`3r5j65N`?)3N`<iaA{g7J~Q-Bn`G6 z-0YbGKDiVWTu8=Z_zUDZh`+wD!lo-(85mIg1(E_A3~D~ToB)|#1~rdx`3pK-32BtT z=ifl0sMB8{Gdf#TK7c)e5?^n?LJ)s}q`}sM%tPwfgWFD!@d6BgflP+@>jlhTAR|%z z1(E_A3~G_R^n^?=gQ{v={sK)*LhC+Iz=A7geAAU+PoVhg0ays)uP3a~W#!;16)|0j zWGsfiK(2%M>kiCcAR|%z1(E{$3p`!v3YlI8)p)r41)7+IRv{pNf$O(Dc&01Co<Q-} z6|fM*UpHX>0#`(c=}IJHG5iH`9mHQ3VEzIbiRv$q6xd&&3hm`Th`&IkJT8B!fQoBK zNeuEAxZ2sH0un_{hafXLTU5?~J%Qq{6JQ~Tzd+Jp>%ryu6mWTtW-NxkKqf={bp+-w zkddhV0!e`l2Gt8MxBvYA|7GTGJOfOGr(utM`0?NH5@=%{>M#=W025^71vbD0n}&sm zA_kc5eEos6Nil9R)&VAvC3pvz)Fxw{hTXvms`y~jun^u9l?|-$Y1kEDCU}4;`OA<0 zFFBVY4=~}Kh6UA9ptb>o1~*wiqZ;H6FhTW_Ho&w5lzPAeOduAx$pWfNLCyl3H$VeS zi&-h2hE?zf#T;~iX~$<olZC)EEU1nJc^^W9V+AzCLGAz(R4-`*OmpC|0%Cz<1=JD% zISXvw01YtB2FD6T)36fIO^V;&B1Z_`X;@I>0OWHB4UQ1d&<42!Oi;a~4KPiCM+k@o zju2222IMTTd9)s20!{c~8DN?Wju48bVLgziVJCh-jupJqu%M<5$omi)94nx~338`l zp?XOhW$J;)3Wx=c6;J~V<Sejxv>s&w#R`^DrfzVo4B#|u1@biP-gk&Nh4t1fU;g<2 zvT6^hy)|%+fTy?C0*VjR-dY1#2+}$MNrM{^pc)2g8Wzb|jMfRFw^jpdoq&u)ZJmIm zzy^bRYot%Zg3DAqy|oIkCs0~lC14?lzd+Jp>p^8S(ljiRu^9eB^wtVs{sI|^>MxKK z*kEvPjr3_)aM6UPx0VC;1d6{hz(NpzfuzCKgNiz&X;>s<G5m$-t);;H1u_!VUmz*4 z!E?A67|7V92+rJ)ZWU@P8PuohY*9%7djiE@F<>Exzd+Jp>p_JDQg02(SPXxGOop_Q zBVhgl8HwsIkQCTpaBmH~N%7YPJgsC6Y)5%)03TriorYcT6xK?Hw0dD}VdPdar1=Pw zsQ_v3?ook=B3jAYUj6vL18gB^8aAR8YbzOK3Eoz+LMt-^*c8-h*Z`DPGK4op#RJ?l z22aB}fSKS{vMs3Wc{~%jmAnQrum{TPpt2h>u(tp@um>s~K%x*DT+x7<X5_Y#p?XPc zCEI{f54e>KVu33fP#Fet7T7#mw~|5A8Q|l$QLp;223ItZNCSJ|5~u~d3mh{40CFbu z_5z3isECA4)FKYn0SO{b@BtYDQ4C^1Pt<`3fC?g{VL=Tyq&9ZQ3q(cJ-SGqxr=W5U z6ikpfy#b9=P{{}u1rOSS;}q1oBiP0U6-3bK3{cSlVuFl;>Lsm>4Z8alvil0e0>>%H zc({4wwy{AD>n8so5Mywh#v<)40lA8#Il~C(bjIXI$Ppp~IWGrPK!f}Ui4Xx$Y0=rD z0xHr$q7WJ!A)tmG!8SIi1O>$c+M+tBUeemwI`B*eVu2$BWIV`OVDrdrV}lA~Vh#cU zO=n<fV{3yWWC_xd6ChVXX9OX$7f=C^MGyfH3n`m)K&La99wJ9b2qZ#4H4Dg(kO=XB zMhK`b0*OLsaD;#d-3U$Mf+irL5dxZe1TjIzK=qQ=yjFon2#5ua5Rmb3^T=&pgB(V| zDo15-guDhHtPfdy0&*2}?+Ik~0xAHq2qFMtAw@_7@^r?W$B0;gHIOCl{rLYfd?Tq1 zWN>AGr-3X33Q^PsvIJNN(l7x@gWC__QX4Uyfn+R3!vs`mLK-F_u!af9NYsW2ND6E) zsGxs&5wbf3R5arnmV{1cfQlZ__#wD}#nV6*0DA(Z!Nmg>g7^z04YnR+9#TUR$yf}3 zfm{di7YEE=AR|%z1(E_A3@UVAo+bJe4^V~$`3qdG;AtSUfIWfYF9xs>#9tt3u=ODG zkQ$Om#$xyjRQ^Kz^@jyIodGfu)n6bfu)&~$<YgPtr+9$UJ;-0+?2e~_{DTE!n&t~w z2;wi0G}wBOdEJQJS4hTU_zP5wLHzXr<}Z+usQv;;fei-b$Cvh?Q#>Xt!_z<}Jd9j_ z3)Vn}G<adnVB`ieq~!>cfej-=L=g?-srQkGk;QAVHjqJ<;B6q^ufaNu{DKA4P=XC3 zLwHkE9<acNk#B&R;0Ce`sO&k^hulEMJB$n}9YAF=ga%g?p!OBH4P>ZZ(i+HDK&c1Z zKnAhERRyRF133$99<3Y5IL^Ae%tG-nas=`)a^YP>RY71F8C14`ybqzlu>xvb5p3du zn%tmT8||z+s9w^VxM$$80%Cz<1!O$PSzz<XZQ_D@0TeWGPlIEHqG99!=rHnz>&Ov; zcNiH|D1&?sp}`RXYFrU);)3cdXoP@j84wd>3{)>^P23~!2m!Ic5dtzEZXUT!T#&<v zY2tzgEU`3k4}&9wqG99)<Y8p5Tgb73cNiH|rGUH-p~0~NT2e*sFfvpxY3<xS@K^z{ zz_9|V&_T`un@4Ut7gTvu(9Ycrj+Fr%M&5utj9hjD5vQ<L@~2Bb{=d{;Mrtb=TqEFV zC2s-62Wl&M16T;sIsr+88xf!y25A@>$ykim38Iy}2G%+O8Hw6D0ZD-k2Dg$)A4Ue3 zsd!q+E5M#WX-zHx3qkw^k_KB3Dx;Bxk&%qW@E4+$3@T2+XZV4PMD-U)3T!Z_+$Q6! zJ8)5lr<FVh><JWq%>WBQ`~{K*TMsJgkXrvp#$xyj(Mp~Ii!YFosQv;;fei)~e`E|J zgEKdtR`LX}Cs6#=0~Uh#3nUG;9#mK$wf>Qe#qbxRmD~aI7syCde}SaH27?L^$Zj6R zIe1#h;8ES<XlLD_3?oOLhqaO+tzKAL7`c@UX+FYaV8h4|QA8^_{|fRjvS2>eRx-#E zyshM$d02;$8&F!w5Z)A(3UJdHJd9ibW`bME-#}&0oMz-!GTvciQ0V|F(IGUrq5(C{ z$ZaJ<^^(>~&H<$!a4Q+a0#`JkG7RJ_uz9p@CF2-I&IVUB12~MFfIN)MdkIm|5Ew=V zm5iXkgV5kO1-0%7wy{A45vU!9b}$=MFKKP;6nLD1Sl~DX84q$6*gSIE*q}0vf;M(C zI8G@VMxFp2M)o;_93gmzkwHZ|$mb9m93h~F9l<s>s04*Z2&l{hF+s*a^^(@cj)6xA zhy{)iknwQy$ZcbT97aqV8^<tmG&n*i8b&?<9Y)@L3OPdX4kLr=B9PA^G&n-QYaGcO zMuzGot$7^+j}Q<G93h}_C6Keg=8@aH28|(6(7X-?M+im3$UBgSkwecSVg=SfzIgn{ z|Cc<oNo^p5D+4?YWFJt7qBf8{z(SCQ2}l~;egIW2NDX8pV=)>ghz7C?tYHE&618Ch zk^&nHZXlCBj0`Ri@idSfz@9*9aM^%`ApQbLgRKV@ut*I_Bx5oBg=ipK!2AU=64hTI zDX_tyLYItTWN<l!r-5t&_5_N*48TGVe}SaI)`Q9^q=qDtu^9eBG>~;*{sI|^>MxKK z*kDlEMaD2PID6u0AZvg<f#NR}un@#wAZf7mpzMw`jErO~hQAOEWCfVNKt`ha3nT?L z7*qs6hLN{V#M3|~JdA8{1lB-?G<adnVB`ieq~!>cfej-=L=g>Szmv$r$QRPEHjqJ< z;B6q!NW(geEP>KMhVZ7S2!LC~;07`Wm<etmUj>yt)fLDMWW2-3pwa<UCPQd&RRL;O zk=sCq>Lsm#%mPY1;07{?1+FSUWf;g=VDo6*K*lkQ%nYt7D7rFb0`f4j)^S8tL0}je zRJMV<523-a0%}|lY~q5(5J1f+v|(hZUecPlf0$tx*??H!SOFOiau(P;a+|oI;Rp(v zxWAbh82I}^gB!4Yh9Fl#H(^2M;h_Q`iy#6Z7ScR$2Xq)Y^B{7B;2lN=70Mu=Luhb> zfErf>o4BAl3mPGyS_Z@f83WZzS`+sRJVHP$aD;%2hnq)k6Bpz#Vw$)(hLJymBZQ)1 z<Q>Sv$jnEOV+HRpGN?)cc^^W9V+FJkl-yxts9w_Axo_aH0%Cz<1yrGfoCP+I+;%Rg z@}{7j`x+c812~NQ0(lr&{}3WhVXfrVdw%?X`J|uJRx-Foz|%^80*VjRR`LU|5Ttbi zk_I;-Ks5|fD;ddHjMfRFm3)U8I(ZK=618;#k^&nHZY7gGj0`SQ@wAd}fIWfI>be3J zg7^z04YnR!_#yU=A{mR}FGMT(0?c0^BT@YYk^&nHDn!W`Mg|vkcv{J4z@9+y*9ou? z#9tt3u=Sv#4ypBzWGsfi5Uu1RFn@uJMD-U)3T!Z__#<N&8JxNCw2}{iJ%Qq{Jzyb- zzd+Jp>p_JDQtKbdSPXw5TFE<L{sI|^>MxKK*kDir0vSg3Xvfn^COnKRv=i1!hO~NN zZDHhAGNkzklYtE*Lqrj+WQ~2u!^o#1u(pyxmf&qAPl~`gjJ$yvd9ekAH$`OyGkmed z0x%QYN?s2tdqQ)OTgiBbkwK*cs6>a*;ED#+G$Xf_4Ao0oD|rqm^?+N+AQrfy0hM7O zXMxS5bt@UiF!F40MKgfI$TN_Kk&o?0R5S#JkwGORDDWUOI8H&WJA!R&P(cK0$Ds`) zL-mr@#-0L?QxFRrry%1&&H|f9ZW|j^rcuzwo(zstiiVL-K!=f8w;@Le-eF`=kq+`X zga$_ls9{I2jSVV6p%DTq^FU0HF;KmvwXu8P5dva?BLrkT+&psI*dT`y)5gXzjNA>5 z5Q>J8e?W(kOST|K2;O02P+bJ_IfMpB2zaMDnZw9Xy`(j-Ti_7_Vu2$BG_C}47T7#; zo7bQ*Bnq0>&EN>3Xc+ke@-Q;rc0{be8pvH6fBb)Wu!YnHGPp9p(?G5Pg(zwRxdJQ% zX_$bd!R-f7<$}~eMlu$oVS;ENm%th(AR|#5CLk%W!Qcim>BGq20ufIGxd7}5lm=H0 zSP0@TkTlqOPyvh7kVG;T!(WI7at6#_AR|%z1(E_A3@UWV7)Az{Q+OK4DPT{a_$vV{ z1o0P08f-nNoI+|yA{mR}FGK@52Ieo2k*NLxNr4Rpm0e^EBZIRio(6IR*b^xJ3IPj2 z`~{K*TMx?aNW;iT#$xyj(LfG>`3qzus=q)|V1q$L0Av{XUJafGGT~w5k85EKWJrS- z)(l2&AVXS?Fd5h|GDH;7KxW^JJdE5KfVF`PvIK7fIWPe0FtP_q0~x}bqT&E<6@!P7 zEx=501Gx`W_Lw9gH<0lTBZEo@P?-#&!BqvQT}5sK8LF4G2C@k#^?)15AQrf)0F_}N zXMxS5bpsj4FtRbYs-S2X`2_MX^5YGNs)E2UGN^0=c^^W9V+GW>BG|+QjUj-VQE0=+ zP`#uzadqIa0%Cz<1!O$PSzz<XZQ_E4BPeL%YJ+2iqG99%&|zey)yNTocNiH|D1&?s zp}`RXYFrU);)3cdXoP@j84wd>3{)>^O<Watgn(G!2mu)nH;>#VF34fTG;whZBP)X= zgrZ^O56HvFd)6Yy3f^I4P?ZAmK7<CxiUjC@CPM98P>l<U3bbvIP`#wJb7kPM0%Cz< z1!O$PSzz<XZRdh2ZwlJE(%@Jbz+q$s<eRr&AmubzD>-G^kN+=cR+8FE2G<C9TFD}y z_&{wX3xI_ntrL(mxDf%WVUSwMNXBBcP7tkR9$4!HWF%_q1SADE7~D!GeHa;Brs8QO zbAUa8(&}OX3qkw^k_KB3Dx;BBBO@7$;V(ohnE~c6kddhV0!e`l29?`n3?qY!Iy|l9 zKTH^V+kSwBApQbLgRKV@bx5s$Bx5oBg=i&zVS*0(gN#J=7f1?hFsS$=V;C8nx$(4; zKY%@f5?^n?LJ)s}q`}sM3JavxKa#N+{z9~pU%>nYG7{BaAStlHpaKLkjGSMDr<F{2 z82R)fSSuOQ>V>t1kz2`-<|9l7HjE4rMYNJ1E=L|lZg#@jN(Nbix0USegmoDC0Tc2t zGK4op<pvXc82JL232r54fXW`eaO74p-eF`==>RIxAvCz60X5CYZ6!nXlGaK-14=#M zRx*eMu4q7I7|2;*^Jv{l#xab1nh7+mKY+u?7m$aM>z5)o9`GJ&0V)|mfd`?%aSCeP z5o}|F3L<FZ0aSE=m>^@IdP!?zAA!dyhy{*QknwQy$ZcbT97aJK`!G08DH=v*fL<xQ zZ$5H_;2lN=73m<KLuhb>fEsoL+t{EI6ch_+tvRS((%RU2;1L31fg=QDJjhvK^T=&u zg9>9}+SoXTk#~b5grZ?&1L&2)y7Q1D1n)31s4fEe972O5M1Yv)HE7@m8X=&e8W0m? z3{)>^&Fd}j2m!Ic5dtzEZXUVKYmmbzXkKpyM+im3$QsC33Ljs9h!t1^*?IPl|1Zn( zNNpg4D+4?Y<TaoWMQtFj01H7HCLn2W`vFwBAT^MYjKye}AR5R^U=0(Hk*Ez5kQCTp za08k2VPtRti>HCS0PG2r2G<<05X4^~X|VO60v4$uiDWE>zYq=N88Cl=j70SpND6E) zsL&;27#Uoy;AtRF0eb?)UlYJW5PyNB!PbMyDWrxZlCc>6LNt(jVEzIbiRv$q6xd); z*+s@MGB~^AX&`riJ%Qq{7O)V+Um$6)^`PvIG>nX7EQY@j4de!xzd%N!`U@llHW*X{ zK!%Z7Gw?K!2@fN$nht9qLmIrWW-xLC8Palu$-st@A)<%|@_{+X!^lonSR2S7OYk<3 zxva1bBUhj_kRiM&Dh1$HF?bj`1Iz?BkljIL&s%Tg1~T4ZWKih<Dw82JxT*lPtH^C2 zL-mr@Ku!Ur9&iI0!~$0ppfU{PEU<aBZXn|rMotD-6%-94TR<*Dg<L~3XBMKWATW#! zD%(KbhtS|y0X41&HgQ2?2%uUUZ5SD<m$W8s3_MmqEO4xVj0ZUjY#zByT+na?1x?&& zaI8=?jBF4D>TsTaaeE4Kgy0=U1{KO6pF?PHgn$}X1e>^^Itv;hpjrmR1Q`R>OIi~* z1RfzE7C1sc#>34cw}}gK7%@#;9K*=L;0U2;7&##X93hZfPfDjF#|qwIWKfj?@;-zH z#|j5A?Oafe3yKP~jt5jPY3*Dec&va};8+0}4{{dRJaXH)pvs$qcCI%#Rt9hwc>?k< z^1`WzIEA&6Wheaj|I#m+)K)UMM!?fbb^*l)YAe|RECgwtfTY2V2v7}!)JjG&7Nd27 zXeHagS|=bQQClY<DX_udRx;_s$lx*+Pb=91><N@smkC%1;xCXi*m_VIjWmpmWGsfi z5Upebn7=?qqWTLY1vVH|Zj&*L3@+;Mw32neo<Q-J23QE<FOW3YdQee^)cQv<7Q<hN zR<a7rUmzn<{RNT&8w@J`$QVWjXKp;LWCgG%Q2ZqW7J~Q-Bn`G6R9GOj{*jEu@E4+$ zECKTu$VgOwfuz6&g9;GHF!HomJgwvvo3LC_TLHcV6nZvtOAoA-3~BYk+QP`KWJvQ7 zCgT9o-rb`D5k<6;XHER^e+Sq?(Amgql(4pvL6+ccC6_2MGk{G&JsVj7rIiffO;O<h zH;uuqWCk!3+)7pil|9EDkXy+tPr;X-f$}=2?1r2Y`r`?xVuIa12cy9i4X9~GZYvq8 zm$X*$A4d4@Fh&Lja76<u!$6J&n>RqM<ll^-VdMr5#AT=;S3yrchTKRC6#x~C5CISi zX?GZhA1IWeH;9z=BdUt-4jV|UfJ!QmM<KCd@D!vT-&J%V^;oW=12uq;x2S-MNNDvB zD%3zskl~=VU3ZTPND=HRI<PsYH^HIZ4fq8fD<Bp)RzSwX%^RRr@@H_Y9AZbr3dmI? z?b*w40fqAU7h5}#BcucpA)q1_<VQ$^WI!VXR49W)Av8FffSP9HwvwTGNoys)fkz04 z1&$C<)d6xA*gRUdl0maASdM;s4UP~_qzC~Q{4FXV$3f2g2C=$Zz<0Gl1VA+t^g!xP z$knM(>CP4vQ0Wdo8yR#XD%3cTI7Byyg_Ox0+`-Wa>52;WAjjz%NSuPI7f>)k;&cHt zPC*qCNEAYY<CKAzHa2MV2b8mpx2V)0-S-I9OIjQI2|P|gEO4BHj0ZUjY#zC7Y>>l< zX=8&1_p#hR@)#Vayht~qf?NeZAQ^N4CsY7r5oBX5h=mj@1<=`+fHvd^c>;+L&<$@O zKSCnp1~fu`a4;}{L?JXdLOyUXFm#i7MJ-e>X;;+Vfkz041&$EV&2=DWfz6wu0x}Qj z0#1-uh`4|gbW0tmk;lovfObbN>IIyj2x$PN!YL{s3U&eKZE%EyAf3|>auxKNK1ldO z1wa--1VAjL2w4D~ZQ0*~93e80o6<p7(}Da5i4Xx$E2pzX<pnfCAT&5a9zY@lq#jE( z1iF3pc#8_?PCRH10o`H;VuB2Z>IEr+RYPEN@K!@t;1L31fg=QDJlwn~G`^x1G&X?c zirUNI2$_v^$Uewb(E9};Cx$}>Kp_AT0I`rFWCrqVOJqADR$%?etBpVYzhns|wI2y? zGvVn+o&kj@YCrM>SP0U72T6mQ+2F>_6ctF5W{V1vu^8=lP}>r6bn_8L=<*hjk*Mu= zkQCTpkclr>L2lRtwL=ITAr7kFK}S-9TQPY0kq5w@K<St40SiI=1(F6^4>Av_ABkiv zhQC0rgZOI)%wHfQQT+vy0vil!a=pxe+^`91M&R-n+Ks5-${SBVatqiKDE`_27J~Q- zBn`G6T+1SEL`5<d!(SlRLHxA_<}Z+usQv;;fei-L)-M$yH&KGBQe6H5-F*tJBS7&5 zuE6m0BUgYuf#R<vU?GUVK+<6A!8Hxy2yrB1G5iH`9mHP?VEzIbiRv$q6xd);jrCF% za+E!&7{}!=v>Q>u^#Gn5QRjd?f#R<jU?GUVK+<6A!38$rMpPtYG5iH`9mHQ#VEzIb ziRv$q6xd);!T<6a#9yES7MH)!ZbSta&v<S`odEU(iobfmLJ)s}q`}sM%RR)6s7S_Q z_zUDZh`%~u{sI|^>MxKK*kDlk`Lh4l|Nk#T{qXer3D1u8)WG`vkbXR@caPlf2MwEn zDj7(P2%8;)h$8y^iyDz<$5iC8_WMDW;O+Onk;6JW*1!mA*usu{hw!GTR4~Gqj}?HK z;C{bm!;k+j&l@23`|-|>fl70b_aHR5Y6lOf^{9Z#ax7Ils7rqwaxW05K0n?9t{6Z} zkTFobAVsjM9c&KXsyzpkdcd<|AQrf42h}-n^9HEjpAD|sDViOtK<@X~)g!8Q0<&YF zx(MWb2n~)EP>++`*)gbI()#@=@K^z{z_9|VF+t7(n>RrH{$y~hP&7NX0y1a$Vs9mK zgy5YW1686RpF?PHgn+t><o5fadP(c|$G{^5!~#bMsHz7!3v3>(`~9F6FqVFQG&n*i znjKpJnXZJ)j)m7C#|qxrF;Ei#<b4PYjulX!k=(O-pn6H`xre}G1;hf!3TU7L<Sejx zQ&d3aA$1f%Z4)9oilD&_VtVeNSi#bB4+h7|0M3qaAfMIKT!n~JSg+=P$&ddpbv#Jz z)qralJiQtpP<){FYCOO~kk$!E8r+Bg)i6l2V@Sqgv`#=Z7^HRL0&AUsj6`jnfTX|% zgL^fk&yIo1R6M;J2e2nlT3t3^A&9>~(qQXBWi(Q+2FX|qe<6A`7BGK-j70SpND6E) zxK~5^&7t6;2~V%a1ndbEe;I&<ApQbLgRKV@b)bP0F3{M}78N98G5m$-)#$+d1u_!V zUmz*4!QftvGtn0#K{EFi6>#Rp)2q<{djiE@Dqtaqzd+Jp>p|ur&5j`%i{UR&$pJ}+ z3NU|xj70SpND6E)r~rY?jy-e6(@M_RjAeE#1AMz8bapJQ5Y|eDw0g0%k|E7Un2Z5P zdv_0bsKBEe(Mql<`|*DV*h0|k*gtNptz?iTcw5QGxS1Kirl8J_NuacnA-pLn0^p`G zcy^2f%mlZRnM;5Cf4Nc>xs|*JG5`k3>!7k5(%jzw9RLHB4j@qo4X$WFO*3+5$Dn#i zYbCRQQV+P53}S&R8c-Pqau(RU0cs^PgDV<AF2p<x$W<iGj!8I!`Zv(ov4|2xRngt? z1rjTuk_zNeNUXeo#tNux1BpUtaIApZXXLh$p?XPcCI4Z7&sj1+=PW@bFvwY8^9HDu z{F?zZXBo_ih!v2lNSYlx0Ev|s)ANucMCUnp5*bv)g8T@H5QXQU2y6it${<lt;q1{3 zju24OjNDc-R4-|*<S+0D0kOc@1XOi^oCP+I)~#gFz&(~$@@H^_%tD$(2Du74_XQcJ zhYEn|BZvTqg)~kq;0g-m^Do$Pks~Ap5+R_v0pv$WghW6i1XP=VL?JXdLcqgIWbQ(S z>IF4uVZD2hy=W5|X!FN!;1L31fg=P|=YgCBHjmZ~WYBaamIm@`aD+TWnyv(oFG6M^ zA@hqMXLds-A|V2xDit~<+1a83RSy#EYynRJLI*t|ilNe=!9|Dwh=mlLJ0Q^snXXLA zM~>4ukT?a6_JM*45~mZOaSEA)flbPQ;}kr!M&|4oR4-^Y3l^s!d-2EV6L_40Sl~DX zjbefv3pQ`cz|4+42FK}Br0GhKt4Nw1djXk5ez7nUIYO>LA_O!{3GyQ(LQX&<<OXzh z3_^n=<N^h=V^F=M&5qrHM+k@oju6oBE6A~6^JqOg1}gQi%#Pg#M~Dtmgn(QHpB)3u zA43H|W4e%155z*sCKAvy#so5uBZLQXC%6mv)^Av|lL6H1>}*jv0gVs{4UUilkO%>( z$1<j=!^yyKyhSAeI*Wh2MI{Bw1O*^eFGvwAhk(t&JEnOB9w8tWI6^?i!_Awb0x}P2 zSO8=s5yJu?hjmX;0jWmIqNwx7pkV<G$ow&gg3TXa21iIS()=;VRoxK3LuMzT0w9YZ z0w5Mrga{y?F}5lT5i78cy;|yz|1SeANbT5z8?ksg_GdsLirTS10TzOEs6f)-eh;|$ ziI}cLG8Usl1#0X=I#fp(VAGWh3=F6pDv%V|U~tD?@+;V1pav<f=@jUV$DjrmX!;A> z48+s1KLGXwN=I=ISP0@TkTlqOka<WQdn98q`~`9y#9upL{sI|^>MxKK*kEwS{yM~8 zpmrTDf1yoRf?HE~I`&(@o<Q-}2CxvsUm$6)_26a?V!9H^SPXxGTnF*j8koO8Mxy!) zBn37Y)O>n50W!S|YNp`w7j(K3RNI5%3*0Ed)3ILx_5_N*mVkvI{sKvZtp}Nh)Uii0 z7Q<g4*FpTX0Ol`{k*NLxNr4RpH8@^+LZ+8NRW&Yup-oqUD`tGtm0(Yx_-h7O2;#3f z3=9ll>%mnjV!9H^SPXxGTnF*j6qvt2Mxy!)Bn37YJYDGunO+9fw7C3*HeCs>-|$UW zf<1xauO6@v#9tF&{sLD-i0MisV=?>%avj899WZ}^j70SpNDAyPP=)sLAH-jvavPVw zR6xZwq$CEVLvXdTM+GF>`QNeghY#biZabIm9!S~WqS6BP1d6{Jz(NpzfuzCKgUj<N z;2I6hSPXxGTnF-3h)NCk0!WZ~$es#OsR0`asu5o9{rUg@OD7}TLrb8#4$@NE!4K-W zv_Qv$U^GKF$HAAp%?}wndsIM$Hb^~W9EqXZgQfK(|I|YrAu84Ut)OMiuR#?ws00Sh zG#Xy==-mR>1F@q5+;BVo;zc}Cjl>GGIRZRv*rEcV!8Y?iY~Fw-gRr@ZzjYZSr~`ex zMP&=LJpi#8rUzp43h)ZI<1g|+HuvsPL6t?GO9t6~yalWP<P9WqL4F6xdUPA?WMg1p z=sfkJJ{dgh*1H9)zPkrZH9xfPgs{4Mz>b0lfSd<10^*<>;OUg(JJ}f+7+!Fo7=utm zoV(!G9suctxR9aSqWQT!=TCHppeU(efvEwx4Q>n(uD<}@Dg|}DSJIFFFPWj!*@(me zPdA_x@$&6o$Uq*<c^)hWUqWLSWcf??e-L?a=yW$6kVZ|7P~i@!FldYqcO-$_(Cxtj z3Js9L?f@3fiw^u#k9GQ}lsI&hs1)<J-T)^WkP5J3j?NwxA7~;2YXJ$EaDmq|g2cP0 zsDOBy7ajQLfQ-oj8I#T516p?Tn&CA=HzdJ8<NN!?))SD7k;UIS6K)gK4*?)QbTfE# z^RATPVDK<J;jxpEfq?;pJz7ujPXT49O#YU&OrT_Kc);+aW9LbaUeOwm;_fXfU{e~8 zd4Npm{O-|tt@BXlK}S#?VmQvC!U0N7Gvj~!e+^!p30B<QV8H_#kOx_*2Qme#l^Ogk z320U_f)pdHGys``!%8(YD|dh+uvhe$GzWv>x19<gXEnwB_}|$A4(DzN)q22@f64)u z4i}Yl{ua<43DDIph6fxw4|??Sc1v?GfC@vf9~zJCfO+`bPI*xK55>pJ<3K)s&G2%y zAg;3RWyC)esh1wOQylKK6X4Y1!O|`IQi_8Co~jZ+$swM<bw2|r&QMYnc$kTIyA%fl zC@X?$j~*3}7;}#bXutzntfH;Z0DHL`9EOJ9JbI^qi&01*+Qxzd5wzYEG|Iurz<>zE zxRMy80E893J<ud`5E6ptu>@a6EGYP1?t_F7sGR@*9~@YqtP5hkO#6?LJwZlwd!)3U zge2G4l7p|?LEeTqyaALrk)&hzTXO&Y|Nl}SS_VK>fzlI_s%ZX}T_9C8sH)JcisEk( z{`dd?%PW7uZG2Gg09Rct0Ls6Rj0s9d;HbmdcX3e(aY635_^1T9bb@N>5S0*ceF~~E zx~G84b2QU1s%Vg-AXT)_OqXsSl>pTG*#~SMxYY9!KnYevKJAmhRWx{)IK5^-6bq>! zg~a92rf6_1%c0td77Jb_cU~`?fCM}m-^>6XAiGCp0+`;S(gC8o_kihr0-&s|9s#P* zAtD~#`xPodrS~3{4WP|X-B7yqKmU{i9W5&1ObiSqJdpAUq~QMp2pepAw}Xa9>jD0$ z2RnLH!a$oxTiu0Wc?x9hv4)CD1_nk@S_5%CdU^R7Kz4P2t!z93a_V82EJQK5AOtIB z&5!}9ZBYT8E^@p@rGXh#!-IzvK!=QjI3TScS&wdpZjSB%mhYDoFE&49bm%zOdeWg| zi%KZiO<#myZi1<WwMeF@fObXmw>|=^0Oe3-28PZS70~G=-4Lqv0RNPO{M!z8wy1y( z6#<R+GchoLdLhgV44fDEr-Ebxm>3v3dQ|+u)@?vD40NP5Xv`C0H0XS7(C`^E0|R7m z1}Y5_hX{aJP!-)hDj*)LhMJ<{2ex4gIFLW_3$U_^a4>lE?ok09!~BU~utf#5$Mh4w zKo6Ml;#>r%!2_w2I$NL-+yYJs9;^&%plW6dM6~nxPJ85X8k|n{hk?=wOg#rkJ=B!r zEnq7_ad5mv1#|{AlnFYZ8p;G6c@1TP!V|;<#TO_MLE=mFzXb<_;l<7#aMU8pfr{*B zFiB8kcSF>`g8d@0;sBOz)14L^44j|7Uup%l9F9A5>`}1?hm^TAEb3v_f{gA4sRpS$ z*4d*1+5`(qE9PJgVwf5@x<%t)ZULQ_j%>FFPq(NmOcHb^dv}itNDb%+6Hxj<btq4_ zsRYPs6o;CD?d_Aoa41i==qq!0wbi2n+Efd2s6JRjEm#AzH3JIY<`<0oQ$UFkv~9Jc zM@1c^tR)Sq?0Aa`D4~HO;dl!;QGuA<kZA1g0Y~BYi;5?jA24<tYCX^~MMVvyzts_} zzZ(+Au&k~MmNJ7$feePGR8CM!s<TA}v{SWXii$i~p$4+T&K4EWnbzG9M}Qg_%nYCg z8AuS4{y{8IivylRe3-$R9&`jY$kE4JR9v7%K{wQ}9u<%pRQJn)?cM{9PpEM)_sfE% zHo~Mp27@|*pa_GyAG9I1V~UD6Sm9!1g`F)b8W0zQ9MRdL0y;0b8zKmCKU5kd4iNyc zpenk1!0HhhS`2JMpe!i%Aq6X>?Bg(jlzpINi@WRt$%68d2MeU<1<ESO$&=$ds5pe@ z8c?g+fq&bz&MDv=cf19hR-s`EO1&UvH$<{~j|#}N@7EQ7H9ul>=(yH;sk27~v_lq@ z2Km9xzOMvwHnePm1uh?0>IzH>oEv&nK&HbI2dL@TF-3(Ptne7JLPX*Ksi$G$U<2C? zDgnX00Z{6Jxt|p*^;H$>H7tn()OqfhqVgX!P2c()Ss|4Z$3L(QQ`JFEF}wt8X)6jq zTH4@*(s=BEDJZ3U_vpmd(*7F&YD9pN1Xwle4$wG0q727TrP4iF{a|EZ041yMU=OQl zgFK9utiFMzq+wE6lGO)B28NC)D(}Gxd65-%wy1!v6X<RMC&SJb70`79-4H=Y7KKWK z#32G8)^SMb0?HJ}Tfij@hzZr#-2*lSwaj@3wp&~m>VA+Ruw?ZXEX4zp0vB?SVhffn zUO?Rc9IWu44%7^g!p;^I(4qg(QmnH@#RgIWfdnDW1+lsz;t&ClisLOR2GD}?c#8_? zw0)@i!K!<}rl7k28Q5-PJ*eFvLtyTI3YOA<Nr4RR?g1MLbN>T~`|pDlN+B!kY*9G? zYBWI{(b=K`I{&g8A_#FVR2n1>5dg8ED!O~X>Jeq;J+KYxMj%%rlC}d$B__7k;$5)0 zo8jgnvH@h|Y>EnK<060SLa+*GYq7frTu#CQ0W?z4F-7G%*r>_K3OgY+Ff>_rLh3(g zI}hS#a6JkUhX{b$eoz(A`V|of*T6PBG6n?#s1*PYi0+0B@}Lp{t-06TFhKz#3~B`9 zuTr3+F(8GA(U=~U3(#D89&GhaQ;^jlYeC`E4H|+09lr(=0BZyZfX)~L1rR9mr>KB< zpfQ=bpk^0n6B{VY9|sxM+YUAiJR%9o*`Ra^+5-$ty{JKrnq-fG^tXnC^+UrJmSm5D zrF>vgAcMP6Ya-CbwT>w&`@stBkQLIc$k+$A`<NLhFuNfo6U_a4!BV?nQqYnB)Yc=^ zHv!dWu)fI-P`2z**$y_W6U{KtDanXp<q9aCT2w%SkOT-~b+@R1#32G8RyRaNcMn(` zks`N&ZBVlY`2?vcgEKvzKuV9GR0B#zph;11>JjKJVClAW>2_o>{MGs0#qc=iFBi^Z z-><cPa^#<S(50hCWh>Y^7O-_N?Kl!HXagQ7t*r-Zd1D1~Av6bo#_>QWI^jrZkdeVD zD(k>H&w_Ol<F^;kEC})o)Fx<}1~sZcOae{@ZP5ccc{$kPNnnfNT0mKgl)MJomIpFy zKFF}%1h8S`<h6Mq{jCOI{b+e@E?7zxCWR%hfp*n(Oi`H*Rw$0Fu(L%4bUPEGdIsIz z1X|Gm?*TxiLE?~f2x381boZ!$c!+d34QxXiIAIVIR|-h!kgT`@ZO;P*Z$H>#ZLq~; z#}#Nx9>}nEkYT+)!Qn(sT(yDpx1I#+M~kagu+%=76qdLGZHVibqEZi5xB*!qmE)=o zY{P$WkRintYXrDa3#r2J#|fzWgRL^J1)FSP1Ip{L$N;HD4E@xARj7egpw=+3${e&G zuw#l!Iar|tvO+{Kfbw%^3pfcv6C@;sl9Xi2z&4oKfgB5}%wNuU0~u+AkFs?;IKW5R zN<peyKuRD%hOFqg1E}lD@UrR+ctjY~zy}p^FBRUR@S#N>Sc>&6#4bn=!6O;cN&p>n zi@k#g3QdqKC^WH!d<n>TtxfR3b(mU2$QLs*Fq9U8Q#Gi~11b(t2PHtxhK{6go<MCl z!E10t3kuXs0@ay^I;N-;fs8f;hv5!L5P*_5$e*t#LcIgxKpPhz=F77G;IV0tOSmDe zFNBRCqfy76nm_$lyx9Elzaq$8U}=aRsB@tMKNzZB=0b<$A)#CW9ZLfp#0v^f2+i<` zUw{ETj0ZXo_Y=QBiwcy%8^gfC@QFY2phqvHumn#pcyu1`JlENy0@~y0(b=Msz{tSx zVwNLl&<-)UtIoh+_|2nx3Ro6YSb`Vzx2Qyb6wh@5&rg6V6Hp<+gqWXbQ3(gdW6N6T zN;7Eha|^hr236Bwk2D^XPzR+KY||76T~Pa;Fh4`;c}U*&=*HvcjQ{L#KZ8;Pik}0Z z-T-YIda=v_!_VDYRKQBQr>KCGboW5Goh>S$eLXLhIOF!XJ=o)SAuGBd(_34>116Z> z-UXT}!!kv4)R{uR=lsLtchCkRP<(^7;JjF6kKy-y4xmx??kOrD1>HR=F!i7vGA~v+ z;r6*Y*ylV%`5a^i7N4JXqR{6>fARPnv=s;BbI@Lt7aQy_eVzdIIY>cwj|xmZXwS-v z4UV{d&JXsvHRR9{0&xzq1B=fuI#THKia&UK4%%u1@;PYc`NcL{OrL{RmVmN3NI`dx z3QYYE(1g!62i!jY4qCt1lEn<Fd*GQIl-;nTc90!de16>l<a5LbRsCOf@LVvYh`>KW z6>SHeqJRWBuPds~72swxj<GF8aP&iGC%PLHKurelL_Gt@5G=FiQ&hfz{kj}&;{3uN z6z58S48k#S{?;DsQ}{IhR8*}Gz+({Dr}>M(aRzs81xP8_xq%=vusZiE*tv>m&Q%1d z?}pCnH6AMf8HB^Rc4*Fh_8Y~gC*(k7H+JV9wgDwExO2e`GO%+Ofy}__T+r?i{+8L` z6%>dm&mxd|gmVM%gl@kbICSA7o%iKXMmib5l_oefV9r$u+x+<d^2j%&rc8GOsBVH! zSx->`Zy0IG1TC`C`H4^ACvdpifR4lXgID24utMJ7|Nn!p0sz(I;53h6^#`!Rdmx4L ze&e(HJy_xTKmY%~%>RR`(3F7z$usZ33Jd=J|NqhmIt3548k8WA6ut#3{036E163g? zDv%U{_LA_o)PSbz?I24FK(mVACepsA;MOB(=mE^X^8}S&3XzAYhfWECl{Q19K&>k< z{|qW0+U^5OZG4L4VO9fCP~wEo{({=m(1s$Yy9Z)|f*aJq1~o)sGaw*2WO4Wm2uK35 z0t7k(0y6M;3wU4{WY}@Y*b9i+Jq6sz>D~iw)uYXTykLL~RX=B7U?{By&mMq`2DLvy zv*6D_!jPsdXuJkw9O~FHC?G(M5oQJka1#=w3OX4E9a09jctImL(196{(V(X7Q;^Y+ zrtLdO_=85RK_Lfj+QK+ckATEsO<Ry1+>jA$#0(4Ah92;&VDrcS-BZAmg3ZXSTd)d< zHmHjs3PHo#*c5`S>Beg!SOsKM9lwbng)c=Rt6D%aFks&VLVOM5L+1g(Qf5z(lMY%R z1~l9ajR??)Hi!vIB8YwoWbzCohb#_HIv@#@qysV#$Kd)D$VmMj$lyBa<k<sg(zy?c zNJ!EF84ZeGP|~>v5{4ul&=exbna3g1iy&rq3-}J;?jG=%J~-)sRCPlpL*Ya5hzUv1 ztRu*1P|~>zG8&R}iXVfsE@=E76msCC1LHtv2|(hoqyw^p8{!JY<QB;2?jEpvASnVg z6^fD~z$zfxpe}|e1WiI<QwXvKzlmTKkZD%@CV~`#lMW~@!9^mg0=SRTq5|n<!RoXf zVBv0<FoQ?)0f~L!77nOU@6mbaMZG!hW)o;r5P!>kqy}Sm4`g8lv`^GM1!|v=NAn>G zkIwTRo!54OjmKONH_aSW@nJd`)>Q&~qZ{sEh%m^(0{g&?QJ90znc;RYc;iq@I9>-s z3<fz^0P0|{@t6*NYDT7mIlz4rXix6Y3t2o4z6Gwh&LcHUF+&*PU=FB*adb;e$Z@a$ z*qxo{UX+>Q4%eGtX9g1COe2rZ^SC;UQ%u1D3SY`2z^e5D)Ef|NQMtgt0O}<7fEh1- zoBa3>>IXo4-U3N-tgy8?km?Z9x9;{}L0Vb^kpV3O?}W@)fl?dDkZuni*p&Yk$W+!T zkQ7`!59c{f(4hN)&M7LO9YHP~Eh?u$er|08H%vj-hA@FjC<f4aa?lzn5C>!g*mMpM z3u>4)Xy6)jnJP#OL)Myuf6}3bM~wX2PIYfl0V%WI0$zy)6aLivlYxKgq2_-K4*XM( zJ8=AhDDLcmtjy{G>(TB3>*<8>x*>9%5W(XuDxe!-QQYT&;y@3O3njn{=3s${EN|_B z;zp1{Qr!sBgK#5=hv7!h)xt10BISI@+EP%sfRp8mheo&)<tb1EwTMBQ;;{BKBv8?F zQ75*s8&+dba(tO{7pYpsXw;qrTjBz0)c*Q~Pa$Yi8Gp+ekisUst>5Ed6GK3)UoN!P zuR2QW_ZV2=X^_H>Ki~=t6fU5(uh2M#GC|=BWr9K##C*9Fx{??YwCJ6LqhOO6{{R2~ z@-U<o3>!dvsdpD_6O4~MhA502P$;>Pf|YX6T+_|bEqYZDx>63*d<5klm>g(1+a8!C zcsLT$0E4#YIKd0hV2VL2<@yEDSIU8Q<MFqGra~dZ$uQL*qZ2`@VJqc8`{qDX6QFg| z{H>tLBs2{i-J&Kiw}6`0C=TW67L|rcf?CX=qy9n57^Z+**=P>s={9{QfbP(Jpr~mT z2PbNDqYr>o!yF3QhX-=#cCZFEum;eOFm&1!zETdf)2*XNWj#n)3#jJ>QiP*VfM=!L zI*|TWP?iIC2SBSKK@BfZn{F*w3KU@A(QZ()7L>D5y9A&F1#J$4+jSsS(8(|YT>{X? zywZA*t6%=O1x}o>F4#*`NaGpaWebKRW;p*1gb!1X+-3U(k%Dz7UOGdJg7J|%7#fhV zbC8R1bud7_gEam?Yr0Sxe`Izr@R$g42x3tn$i)z=F}f@ug)fgoJPPYjyyS(1A&igQ z!T59&seps`O+j6V<B-KU&}aoU=s`?S`UJ@$_f0`^$l_G*V1PDCg3|qRP;(!%gRu-G zOq&kIQjpP*4u<|sa6*LjDTZ?gqjwLu$pKo3UGoguS_AFI1TVk_F<$s;Lt1MnJr8*O z0KSzE+5v&I))b&k4~Pu3wWb0U1Q`Npt$~zvZ&3kBaDrQFaP`QoHPALtP-|^6xDd(% zw@^VhSs+?#B~T_PRf0_iH+G<gfm>^!3*oWKqPEsR%B;75+hK%TYam;@dsIMrwBhYD z5D&D-i3xld4yYM<yhR0cK|L%tf!zmbt-%}!Zmn^kgbuO?&{}ICg`~O>qzB<f5D&wR zJkX^hpilxgDNwqb{NV@qHCt3b7r=uQfII;z5<tyNbTM#q9duEBcMsU(oju_D%t5z{ zKmz3sXn9VL3dq9lDG<3WDj-45Q{6o(f0!5;KuvW}+qiRz3TXE%XdQevIPw>P>p;*V zaA=GlZ&AsCGP_$KQ2}vfXNwBxHg%|=HAIkq(!qv@jQraUc25DzT2BGrBncC~*!+Wm zf9k>JU!aEhF$a#15XGQragdWCCTh2U^&rd#*$<j&Wde5`o*-NU3TEvVl^-Bqx2S-w zxbJRJ0SQ_|1Yy>KTmzCN)ioeJ2-kpk-H^~gxaJMiHSjr&Ent5mwVff$Zn3qUJ5_)D zf7y8jTnd6xHK@@EP0LUw$S+VPC^A9JmwW$$HGsk%#6RAm0tyi*6XZrH6XY@w^JOBW zRt8mu-~pTG5F259<ksn#D@c_WVm%h5q1OWGLUuPqK-a;5sx44Tfu?Kd2rVodf;2&w zS%DNHuMY(6j|Npg&EO>W7rp=htOb<fLDS5jTmaSx5&*3T#9SW;-m_T)+N4*_-*XXc z47l|KYEpun0ZO}2$Dyx|L~LLPQK{l@1#S5Ux5+>)V@R9KN2QX#6}0mnY$T|K05uZS zL;x{CCk}zyr9I$5U~q#Bqzcg>12ta3W3(V0NK5uWJ3%W-<H4=5gO|av32Lo_A_p3V zAm&SBNW8(MUMfTQAkTs2L3{T=7d<dTHkm>_3zqVRw6;Lb;cfvhKSnH51Um+@`2^DB z!DwxPRY0^s+ujg`Apf8=%b*HD)<9P^Konw_2vz|ZLdS0+Na4%pkk%Hc<puW5w@ctK zg7KkkVzAWhOGpWp)j%0#CIHkt14R_Hc?M#F5)~+wfs0m*ePAUj<)t-fT^0Cd__ESG za7qA;>4J<p4p~JFVj>!nAS=Ns0i+5#EDzr?f>@6YYT$uV87L)`mO`3C<q%(jOaOW9 z^_1g~fm{#=>H`q-WhEqTK^AaBoPb#M4Kf#W_9JLL9C)Z6)Nn?LGO!AWHvGe=P=z3C z@S6x$0cqOeHxZ-|Jl+Z&5eNI`)<tmK!Wx0d1Izm_BF8Ok)i$U|I1U-!1qD6Qz&9vv zL9(E@h0XGShL@4W;Vo&91j<l7Xf*hEiwda01T`1bXaX_2r$9FH?1AjaK_062Q7HhG zKKcBuq2PM68#0m&irRx6J}P<qt-dgEkikT57z9}iS(NLdlF{L#lFr|%h-M6Qy)8&( zCuG?zbg?aDO&L@gv<Md>0Ad|)Q8@vvt&X>-oPjc-`rwOnkqgE&{#Hit&>eJXFU()5 z{H;I14mu85nhy%4<B-MrAZ9mYJ3)63*gkM}fG*nyjp~C=hz7MlK+B?_i}yhk$ZSw{ zNa1hY0XF+J!%LM5;KTt6I&fmy3Mm+1{NpX)hAmiX7V=mPd{rQ*6?MD?+yVkQ?05^f z6$D~}5(P*Wlvps=rj@89!wLeJBG{s|5S1ioK>!M5kWt4W5ddO#LlRJT57<g@*$-0H z4RL6957>IJFepNhLKWomL})<}0`cVyCP=vsE(l;8s1Lwih86@M3%DUp=!7n~hVVeE zT0r&F6mTe^lmbY$L*3dv1?)BqRp8}l(3AtVl@sDRP)Y*vp(zQ(eEANtcN-Mf+>q3O z*v|oqV*JxFU=<K;(3AtIOh5@0#aU2=AZzfO2vz|}q4-S%DSX+7I_C}B8v*J<9B)zC z0nIMQTU7QynV=*DlI;eKe{H<QjyN|U22?0Sm)1h660jOjBL;N;csFnJEq2hE0iZ(} zx_eYWV$3}%AYNw=*cK!kkQ$XB`;i)zko7Lu8kHu}KmNazI0w!+AWfhqAk;_@^Yv=z zDp_!hC7uPV11;hK@sSsMx}8NXeZh-RI^lEdptd6@y+hlMASNg@@YSqQunYxLgvd~l z&<q7?>41!aHiAG*#By7ZmEfWTqzbeI5tOuHn>4U3@eEOkfM%#iXTZJ$nE>)7I77iW zP#=KAVKpnr0(|Q`A;}W7oEIfof?AG<`FW7}5QP|xA*e!-HP98K5QP{ff>l5_1L1A3 zffRykR?rS_Q2P+tEChuhv{?vZf}#lA@kDLHgN{7_)tkFOhu^$dA_ZP%1wNgm6E@`u zZTho<lU?@~$b4nzaV#smwo2ew4b*d;9mk<4;ruP2^@hl&AH;(c6SowoMG7<lf#fyB z@-9V?DOeUDg{Xw_w}56jk*)l44Q0g>zO`L~=vIPr2YAiXI*=(?tqkRF=>zvr5DT6f zL5dN+1TDw$z_C7So+QYZFYQhtWy<ablyx~FDk1zWpFoRpwjkGq-3=hGz*p~ts08!3 z*n=i57?IZ*cQ=4+M^Y73GT~(qq{D%&bf`TA&QdU`m$?u=DAj|r(l%5+axR;V><3n~ z?aQE)3`(j<MF1#jK~sRBni|%u17#s(ad@*1B!SYb0~v@tH*`+{FKOxC176R9vUtZw z#RpV^dzY4C^a;J7WfiES0(B^;7X)Hb)F1SOmQ_zqf&&(mi9rDgE~{W1XjTS^!^$d< zGlpOf54vCn)}wpr4)HaNkKDu4If<0y;SD=bB?9dqfr1{|KLRm9$pRz`N-o$Mb{_n# zpjFP0su`vbQ5U)Mw`zi$pNM5NpfxLyjUFy44jmyX_DIcfP;U)%iUFuHY5|`%&<Snp zL0W>%4?yRvf*P#QHXuX*)NaS#E=9B;L28h9Fovku@wb9b%>buKkSwUl4C-ds^0$I! zXTZHvP-_qr45*D#P{4L$HcCOgLjsLb8~#>t@ObcRhL<`gz-bfYXK)(4326$#_|QlI zOM#9Y0>uQhx`8#OK-CQHX%MJl*zgjh<qfTDdiQ|WOYH)+y<RYgKnBY}Eh}he66$AA z2Lr?e*$uL+I{<uK7)W8a2MgyZNB*e?Izv<}Kxb2#^S2^~p1_J>jWm#MuojR2sA&dm zsDbuIFflNIwx-Sp9T#Q*Dkb#!`;ms8K;1}?1CaWqi0%-`b*NFQhcxsAl7&U7F4E8w zDD^;%1jRatiAbCv`@qd2kSfF)FL3u3)WZVlfF?bV*`R)g4s__L8ZwZGt?LaPdV)#8 zhMqu{fuk2X^aSHWJqwnC4n2XK!wty_i2MO|4Bq8nU=<K;-96xLH>7O@@()UD3#t%g z4gOIFunI_K#BU-<A#CUg*4=u!3bJMZ#z*dc_5T6y1_EU`T-`5lwwnSz5CFyTBy_*p z|NQ@Nc)+8V*XJBNg9m7h0JK{OTEP#_FpY;m6}(S(k4gmSSf<YF9-Zef&yJJj|MCCj z#3SGg463z3sR-KK2Qgpj9ED4Pq7f<uV!jkS3UUJEY@lAzBWF?CN%$7BujKpj|K(4F zogmYY4PJt3=gTP&KD-fe7nP6Ph&Xf<xmEx-`4ERyfa-jZr*JePu+<9Mu-*xxr2*N> zAEKfKt?@y%Fw|7gKq!cbsPREog0mq=6=DP!+#CUALy!*8+yOIa-xTBwK}~3l9|!Rz z$OKRTfopsi2kHZmIIPA8S-=h1Mu^y32sR#X7XhpSq7DDbTc|>iHTcJL!75t7W8e5q z1StgfP7p2M`qL<h2;cUC6rLadUnbyAMBI?z1EpzjF#U!og(o5xh&+ssoQO105|IXY zj{{^e7w%aNSo*-0h%|5~B6S)iA~k3tItuYMEZq#_L<Fjv!R20e1ESlde-c;cQWf=B zJvNX+;<{YgJm4<Z#KTA>4MsOf1$3+)@`%cv!#K;)gQzCK+C-2xD71%-+B1jlLj@Hz z&~yu8f<geK3G3vVkBS1QQ6i7rwgD>!HD!pLR+|fI+em<n5$EqkYTJNP7svt7QVGOF zlz^aN4%D`d7*g8?BnxVPfSRkKNNpQX*#I&J+O+{O5ko#8`;ZG&&;SW&Rvmo&7HG~G z(&`44SRk`OZ5t72+h)%pa5P}c_0YBrObXVv0a*qvK%i|K7$53cuoSdy19CL3A{bN- zL7FTe*P_(=Aa_FR0Q?0eR3XS3`~yT_6+{=oplLNw+JWXC#73>3M{(sIVbt7n0i+O- zdqBevxCSiVa)5JB)IpTo1JZ#s$RGsDJ<u~LJsRJDwqkm8?g8H$=FzzYvNN%J518I3 z2-==FofR~?1QGG*-oFBR9_0-N&;f;eRKPUsJW9}-KG=DbAPLxcl%O4+9?0iWZUfIk zgL=}CP9bPR<go^ZD(HEXATHuOO0boUM?g*mpGOIjg(wD}M+xG3upZ!r%tL|}WFK!) z0d2GdrEJjLJ}4c5T?<*R4eq5jKV<9{4LQON?pRC(op}RVZwQ(o2Q4@(wS_nfWEe;} zcnqXlR0CN(%6cwda7PeiDabO=`V2<!fybb$%MgcOfYx~Nw}QGouR)8(Swjx7gAa%V z>Dvd|Ch($)88i|II_(=I<^hcekH+H`f(#7cp+C^_a`5UUd5$0dL5rKjF$^)qWk><C zA)tfcU)*8`MQ#f?x;?rZK4?P%5fpczWD5@3?gj@zh%hKPaEC2O6SRB;DMVg;09o&{ zMFqOv1-xq=WIk96sFVb)T?Yw(HG%{{tCB&pRt(_dJV88Y53mP(5IiWNz$+R03&4hf zgCDe;78>WEYsx@O^q4@*v`tX~9fH8$>HyZ?-J$}DDo~LIS{d31PM)SPDUiXSgRwx4 zX;A?!$wfMN6twUbbQl&$a*7JbV%R)lO@|NYICoAoV?aBNx?8|1J6lvh7YcQ^sDK2U z!2=f{RyRZ(B7mf#y9cZumZHGRC@z7obOJAI0CkT*p$N}zh=VCWD=S(i{rL|)%o<q* z>{N;p(4y|%Jt`pI@`oRQB*>QxumlMb#-1QuR0>{91eFrKd%*b)u6YeyGg@j!N{B8h z881RWnnBBmK*t8qDi!*uq=2f|Wd2rf@L&@tpvXvnJ}QuzCjNeTurZ{kybzTr{#MW~ zR`8$_BH8(<MDn-30*_aBw}4}Tj5HV0;iD4F-wIld4K^MWYoJwKpwvmFG#A9*+76zf ze$DW*6EdCwie6B`2Q6(u%$H9gBULb|mscTtkb}VT5|EK@82>oLbzrH#(7|+Q@q?pO zsz5E3K)ZNBS^jv73TUr0hzXBk$N~1?!UgF7d&qz@a;puV9zfT}fOLbkfXXA#s`c(3 z6_9xM6crE;R33pBL%D#Gl{3m>D9}DskOPjlsDL)^f|%VcDj*kv77Q{lFrc>DoRC^= zAh$z`As-b-e#BxZP_Y0q2ekGKL_;DJ6nfn~Dj@s7Q`{g`(6R+|3nA#P4^USaT7-Zo zklCPCn*+4fCI*QXklR3E4-GvK6Sf!%CIxG?fh+^dLtAYyKGd^dDQK$=<Q#5DS%yf2 zAXjwvfD?E(q`*XJvVo-`dZ0e*o&pX<3{@{db1H@hJi2*r@8M(sjmAI{%oIp<)_5o& zmw^Fvd>ljPF%QrPWalAA(DW?maQ`v}1_qz*5ETob&JY!j<1Q)!0SpWb8yH@A{Qv&{ zr3&t3J>@63l?zYSEf78^BEUXBi^@k%)|;T))gi9LRoPOUtW7}a(U{_7tphSfo04R$ zg(F#O(lA+TK$G?9AK++$rQM;Kta)}3ldKi6Bx?oqWL@~@`~R0WzyJRaZTf>LkH$Bk zk_^=T2ep1d?SD|K7F5fF=zT)q_W#fC|6w8?-TMuyKyAT2Dxl^gNEl2*+W#&p>9EE> zNEFui2OEuO{QIb+@wZ+BS972e7G%+}h7MTEAH+qp{K1wr9sxNL-0}y>LKK5r{vfUg z{3LqN1w^0~^w81~wDl3n1la?Ug*W9vTMnT;0+={_Iuo=k6=gaTbP-ke6csSFM+GGP z{d(&!&~eS3J}QvwDoWkK?Jpka^e)`T$nCE<{uYotq+@}s0@nU|2d(uWzB&QEj<!Vw zv?=*`iwda85Ax0N78TI?SP&EBE08R*uRwCh;&5MqBv5<>GO&9J*xc?tU^9_?6#>fo z;ry)=!P8Kn6%HWZ;|^S~le-%lj6i9l1)4UtfazVJQt`#1pWpu*UIO)9AmQDj0@lK6 z0Xjnl)Vl@626S=53)kPE6bDs;YAS9gfKnWIRgnKxq*XxyAdmR-w@QKA;GmEJtK$G& zU(?O2aFv~*(?_MC+ef8@*+-=Sv{{vb0kl&V6w9zM2D=n-LMzAs>=Dx415O=qTacO> z9w2wP^S7=ApWXzzrvP;6k&8+WXnhgL#BRn;7nO`|7nK}l7nO`oNQ5IrHsm5EP^5v3 z2Rn)N0VwcbfhO<^CD3jdB1Z*0EMZ4qf?|PSSb}T<DMa+-d{i7j9<k?d{SV%%4pISj zJvcx?Jg|BY4^N=t2)!0?=ymg^U1n#1&HY+*gs7PFx2^%(h7@ewtTva~8AuN@3Ggy{ z$Re5-cYmM+8SJP@kcS9{O}A(|$eEB$jXo;SS;<y8utPxs0Wzf<6c8odycQt0;!S6e z=mljmkX}gi1|YlD@W=Q6uNhv>{QV!4k3iRrgK|uFg8(?oxu{ei^g;4eiAseBENy)G zj^a@qX#;iyF(?WN`X6K+NFj3C&;X?kb^caIaPI)50ucxx9#}mnNbsZ$Yyp9i&NYbg z3&rUiHlhnUJ`_@9lkOJ+>Ada&BArWggs6z~x7vcclql&u<O0R%{Oebg=qE9q*Mpo1 zOXmWhDCXyH1r3s+2TM0^0LZPBrE|}(-~Yc9g*3lG*#WeE5?Ygkm@n_(mg<G{WI$yk zNGY`N1TkMiS506uFbmQR#U}M1(v-($VD2w)^Aejbx!+(ZY`U@_QrL9;{{8>|1drx7 z79O27Dh3{%B`O*o-8Cv2`-DMlFyRlN7_0$_?cV`zfYqodfaDcCU`;Rn5>8NrxTq*V z+FdRx21p~WJ}P|tt-GO(E*BMzV+{h;;IUR06%CJGULH_k(%Atvy7`F3VUKRO8U`@i zgY^P<s?J44!UNP<0#)h|iS7mtP&EWviRYst;nB?rLReSh@s^Z=7XNv4`>1GmG#+FC zYYPGCG4kp5Q2`xlf;opS`4L?1X@Of-Fh3zTt9bZZK#~x@L%iJW-~ek=@k8}#cyu>_ zEJPXz=Pp_PGVec1gV>|-4QQkh)E)+n>VewBpr$9NnG2%#iGbpw{T(PSTEJrf9^DNN z)u<IMsF(m920H~b?Gj!h267mrMFftQ#v>pb4nsu2mLRu`!}wdL!V6E3mB$)pK;j8% zUoS5wB&tBxzFrS1RT0X-ZDJ4?7F#VUpduG^xgV%418LcS?x6+oKw3ev$gM|^9I`mP z^$3zcX+474uiaBrz|<ZUkTg>35!UDeT_pnXD8x~)CM`IKKs#$eUV&c@1->2V7^r;l z<8S>0E(k$x0c-7Ur~suF(ArqA9%yKEH#k6rAwGeGGpI=g;&&4|rrbxxm%sH2xJ3rC z3FIv3_%&ENmgd_buwrO{g7N_9N=r~^Lc$hQZ-aQCE9gKpWQ+zJNIj51Lb}8!M8%E2 zwFhiqcMDh+G&BQBHLm=vEiiGAk=;EiptA&EZ6fG;xEf?7&<1073s_|*q?6DMX+=Ut zkwN8AH$)sF0O}DyRdn}2Isovy7VY?3&w*Rapl;1;hL^t3b^7oGi<|>(`CCBpkeEhR z0n3D-yXrvoIxEX+&=Q0mNY-gQI00OwocHKF_Co3P_y4bFfMNkHzCkg8Bn*phP`?Kn z4WNKViUyEkkS1tMf)paRQ7k|S%$&bf8lJ$wib1WC8jx17dJwOo+ef9QJ4B@dG|q>z z^6WRb7zK~}f?@}1KPZMkOwjd}pm@g?;d=b7=fIlLB3zfh6?A3_c)%89BwB=PbcCp= zBaP{Tlu$Xs)%aUMw+Dfu`y~&g9t9;^q$CbvzT|{dmN2Q8KOss%4g$;DK;&V3Xg&Z- zDS}I4XvSp)*BPDg@(ENUf&vd((}S3x$N)tRsC>e<@Iw{0@B^j@v7S@~y6^*(<UvM3 zTLK^^wBZao)rSFk#ve!(G~YlwaNv<tX!Zb6pnM4$GF65y{MZihHOSE*uYnhSz&KDJ zfW%>|hd>r^LvkJ>)<EWV_kerBkg01>`av1t1gn5(gE|+Y5Y#orrVwNeeiOkeAY}r6 z6F~~0tA{{^DL6|u9)!eM4|qfZF5KODLkm;^`lu9u+9L&>E-ErFuDtmE{}aEUi%P~P zegO!{Td|a#fnVdoC;pg=pFH?=PI-XNT>(W&gNNZykjRfTe!UYO{O;#HK*K6<3!ueB z_a3Od=AgDhf=B21?hqB3ZXXpH)caajKL7szr6r`12uh|P8<0wemtl~G8%PQiM^Gsc z^QARJ3YK_Z)}iu|6LT{3L?v*@z!Nj5?7-b|0?Fb^%+j#L3{!+i%u+N;%#zT={1ej1 zg{9w-m6*Y)7Fr)6QgQ?+B}a6+sEEAadWM;j*DazfCD(wm3UV?Q>Gn|(K~2Vwo_zoR zvhfE>>vRIBe-Huc9|VB<2Oc0-dh8PgwMjoe2DM3RR6IPo_n!baNNZFaK=Kao{((HK zf8YRahjzQD1fcW}<oH`(gX<<xv)<!agF+3of8c@WAApT+J`!;lH0k1_;&H4Iq>2I5 zqWAD<JU9bfpZlm-zyv&0AVq@#NWj3O+Y)@fAb34_r;Ccj3!SIX(A5B!6Cjc|U?C}? zs{k??WOoF}?(Q0p-Qb{AfRqpt-99Q3s6pHQ`1}8tpi`7U9)*Mfa%)DMzXc=-YR$lc z2&q4D{~vOccr?G!0L6y_C_W@W;VrRG3=|*9k3jJO>MV6P1k`{6!9_&?WS;=MYrtM2 z0I@>=93Ra`G{Bt#7f`<resQah3LAgxRCu&V9BWtsixvqFcwYcy!|UB3<Dm+`eKrXX z)&<}@T3u8)Kyn<2!UxgRW-ToOU2_bQm+)W(2V(O94v$W#b0s`j6~F-t>YjBQdUPIt zQSlJmAqxOk=P=hI2OtZ73rG^;IR$VULkd99$yeaE;Ex5Mwjij>*mw|Z2j~pWV=p8@ z#=|bn^=N*R0Sey)Q20V}<vwvx_%c6)gl~jLcf$>6_<Ddm;Q<d{by)a%K*Ki!8omi& zCxPm8P+MD#zcmip6m(IEIM!fL3r+SBi0}p30102H0&w_7c(8&OLw35TI2?CT0Zkt= z9CuL(0o6Dlr#OJZ8@zC+(?=x)E&}aSfv!RZ52}C<JPuI_=my~$l@O$U6l@F%bkaDi z=N#eDco1T(4=A_$sDK0#j6q4v2bAT(1bRL@@(`NO48ZveMDo6vOG-Y|0Od1~RVzRT zns<Xs8gM?-z?skFAAl1|J9t*ZMFkS<$O%Q9zXc=-Nhok>Sf?T9A7rG{MJ2+66|_;# z1DY@`KtUPd!3uE+xU>N$%=`Dg|9=gh)`Alr&2K6|>8AjcelkG$Gh?3wDE%D12TDIR zDj6Q#`x9zGfd}>xh=7f!h{MWDNc!n^0hQWF8B>hERSBMOGLALufF+y^M0p7|y7@>2 zcsvEF2Ap;>V6#?`v;#WF6_j>TP|^;zR<9_3D`<Wk5@yg823s^Q!ryuoTvT+ss3df{ zsMvJ7sMx?4D!Hgwbc1k+N(yX!l0b)#3O|4AR%A^9-7YFAoh~XNpb7%iQFKuO357tI zV9{<Ll@P=VBtHJu0z^1Kqowosi-3FIA%`@AqN@O$=a3_xm%jycf+NHuaA{cNuK=~M zUBH*3Fuc?Sbt<5o<1Q*G;Kmwg^$5u13=inFnJy{@$6Zt+Kp}YCMI{DAgX9fBxe!}O z@|4CwEC;LbfFC)+T^jHj6c;G@85E-3J}MEMCpsbFk<#s>5(DOef+Pe~nt*x;T&1eu zE);mJiwpP`7lzlHK<)xL8sshz{dxf?aJgMnLZGIFbo;0zbcd*<AhqiuQ2>&O=nhed zL6vzu7v#4LL})L%`~5$t)YbrrYj|`!fXi?N5KjSKByyJ2LA<PR+@Sy*K*wEFK+6sp zUVOUs{lDQ!k6zKl+3XA;gCsy&B|t}hf|hx@s7M@lU;%6Cu2GTbJm&~HFM{EP_XChN z!*87*Ji0?vGCUd&fpVo!H>l?8yxw`P^OQ&D!52$GN#Voa|Nmc4hi6SN!=w3)2Pl6! zfbypWD1Tb)lLX~Y<=c?_Y2nem{{=LE8i3>t;O!asc&7oleD8Jvm3~P1laId@wAv8j zVT)r84t3Ci-2#z6!A3V9@c`#fs2Xtov_RxfSct;zFh|s#yrrp7(>+)rLm9_kM1dXd zqGAE9OgoRiaKHWi|H~+7b?JbS5qS7pK#~xX;L@;+09xS!iU|vZ7282pbb@PMFae2b zNPNMgnw!7H2%ak?kfIw@{4u;>z6pvqk6zKDnULs)G)@rFEr1l=0`TaLxd+k)i*5^# z#zP=~gQ8o&0}<WZ?tmf>B@CFMZZ-h<1vE$tPM*-91s72rJ}O-NEubZxkdV+oa<c{~ z;l1#`0kYhqS9ISDh?^m)8{uXJBsVL--Mr#1NE@=7L9HB+n-!4U%yj4b|CeF^{{MgZ z;NSoMuP1?%=LC<&H=teepz&bHT{T-&K#Pz;<G~<$pA;yqmfrw*cMnu%e?c9nI@tpr z8U-l?^)%s$wj8!T0wfAaw=IxsaF9oV%lKPu;q!<fi;gv%fTZ3Qh%LRm{Gegb&JM6; zjYmL^1h0=kC<c!OgSfDi44DEx-U43f4GK`uS-haq2xJm;SOiyDS<2t~3bGOaNg<-h zEa7jx4VLbPnBLu^BErZ3pTjNa2vN!BZ@rAHq!Y5hvAYGVva<!e8Wy_h5meQ{r9tay zAp#&4R0ZfjJ@6tr$PJJophJJQftSI8MvWl8hVM^<49yBay^7{*P(0xBbuNGF3b>&# zg$Q5g@VCwcOJnzSMn?$f5TS|4N;+G>>thkV1}*-CE>VVf611!gx)vEC08()r5*pA* z1BC;K3DpNWkB||4L17wy>q!pKok38uK=l)-wVTS{dJrZKTCoNVNZ817LPv;7Jb&v> zWF?4odmxL6Ua$<>X$^8EB=kY&@q>8Kh0gG0%!uXKAu4hFtu9<pH-p+ZFgM5Yx7xtO z!Rs@7R6xeT+#Jynq7u&EYJ#i;bOa7)47LTVvJ<jo8@fgt60D$M>TZZQL;$p?8+7Ch z$dwQ`gO+-NcnE#nyxLRP8JZt5YM$VqdI(f^cZ8_;gT_%1Ms@RYqpI=f2vKn_h3pRi z4HtpZVK-zL6uO=i(Ux-KZ!O>k1p#8$-p0wGZW6TIX*`y}11epxUkbGD3aG*Tn&IVA z=rP{lG7D6&AUC{R`CCBppi&m8=t1hCwL>N;K^X?*)|cv#?YJ;LGy{R9ct9)0yCH>A zcgGiI@adqS@kvBBd;vND6gun)5(Nz{dUP{@Rsw<NTYA8YJ~~ew{3U<zl{DkUgFjgh zK4k9f0Uwchyal|H6BG-_TU2;Jc0x-ls9w;%g3fc@JO<rUAm&^@_+S3uduhkc3yzFG z92*~i7NELl9&+rs*y*F<;L>rjJ4D6d@~ckh5>e<RR5#>A&gMfbo!7cW47wq!yc|1! zI3D~V?|ATqv}5NlSH?q*jgKJaU3cjC2{r`eB<xl<KX~By{f0~DfzE5aA#4vjr+}y8 zx*=5SCI0ybJA72^`TIfl-GRsbL5o5mNfE^AhIkhu0I~)9whQQ*`Q`_V-MsA+QPL)K z<;*g0D*$0!H*YekI)jc76@C8JI<PwI0gkVv(c^Co25UttX>?)B>~R)epaccI3JtvB z3|t;T>VxhcaAbqW;X$PibVW0$L;$t2b@*Gkz;?c7c=_rlct<!W(ai*(y#M`Y^8v=r z1D(e_4nAY<{Lt9~-Y)_TDA2Jx;H0w$EZX_svGa!y<FRf#7wFJ`r;Cb)OXrE1-+wxG zo^;d%r5Y8N&Xbp)cZaBGaQ=d(o9-!K_o12Ae4GW-K$p%Fogpd;GhMoUR8$<l|8&&6 z2s2LsY#u0bU;cp%Sc6J`P$GX>0_y)j5<i#^opAuA3=kih89>aJbN+z?02F%MkZKfB zw}Qj22VCPr<_<vBGEz?hq8=K$(71po1i1>ELXb7xcufSWfK*ucO#~@?Y4Z=X&)uV! z_hlbwtG$a#1-QWIo&ss;G#&yKc%Tvg3J)Bc?0201{{JN>TA7szS^W!2VxT}mF0=f8 zKz7GLS_vCK!x^A6wjgCbD1fn)HlQg1T&)Co*w8&p5u$}42OYWxMJv=)P<0MsBH9cf zE5Yd>qzc+HKu-T49Y}c#l>TKwRnBXMmruTfSDJuK0QnL;bPwYoClTnObs!74A^V&V z4H2;Mcq0_70-_CnghCa9tihi|z$&0kp&oDvk0a566oTiWpoIv?moFV5z6K>95FdGg zz1nx=xP={a1gdh5Lv~+)f*!gQ9K=Mbkh&owbv@v{Bgo>=@wzEs36wPupta@4TfloS zK!!oLKY*CsQ&d2b-Fv{A(2hBRZ1RO3bA-IxLkyZ+Ky?hr6r4Lfz{v%q3XyX`yG+2v zC8*^D-8}{?E=8fqCG#6Nxxlt-fRhW119dzoKw;CCAPeyA-GIaysE9!+D3BZg-M#@) z2y!7dg&=F7yFnldF-!!jXaVn#!*3!;AuPFoT8d!b9Qz9PHH;5BO@sk*$m7e^Uy+jw zcyBIrg~@TqPElwqTmjKY4G&OJ081_)IZ$!|)hZxycya+rpd=U2R-)rADxl>*AoD<n zID%+UJ9P^9_Mq-PDj-d0$py0F4w_s*@}Ou1H6Hk&$pzGu1sMc73=~9z3~T{!%LN_4 z2%F^wsp^KbhoRdl!N~>G?1eU7LFR%=7hY&`dG`eza3J@B0ur2DU>vC9LE^CF0<r*f z0wri&bBhXy2Q|052kaO~<bqo6D5(I+0Z`{c6oNK{Vp9k<7_W(76;K!SfP)){i6DjG z<br5zdv_4s+IBek{r}7UFUZqDpz(qepe>A@dsIL-wt&}<f%x5fR6yfp`=mkR1@0#x z;{_lQkM8|HpyLIgK0Ii=08B#$p<7h?85kH~Lk1uT*pLC(bWqD46ltIhtDs}<_*)&} zqXr<uk2M6;gU7sDz_ufHRe-H*JOXkmc+>!)7(8kK;(EZ($%k|zphmz&LAB@c7L^sC z6ac9@*Fc$|%mC2?x*!3>1E~dNjQ}1<e(3B0w}nAlc-z7IY)^nk20%(-8bLemKy4L} z7)-kdNDx$`Lx&f-r+^za(B(Nj;N=0J#Xa@>{gc23f}7PK7lM}4fV;@B;etB;)_SlK zkol<I1%)!ED9By7y$jL9(Cxtk(g{)v_AU$5yFMxvAa|AXx3a<G2d)L=DVR@TIzeJc z9@U0a&z(Ks;07&2fCe>a9&HO)5M(dJ9}mFSutFEO|2YZj5h5hPcj|#|3S?veZF1NE zH3zf^0?Gubg}aTVTQsZ{X)^`v+~p{E*uj*7TnzF>H?LwV_@q@N=cC4xk4gq8Fw*(^ z^}(h<mQg`%NAeqJ85Lv;{T6W4A&(TK@wYO8HG`H>F+xuF0hNfU{H?#ht0zIDR1nRe zR0$g?fR1i_LRJDQ??EbCR6r^_TU4e%c%Wt9&EW0WAXYa-93lWxalA#P1L5W#C==A1 z1ZnR9n}WK<GmgLY4><OrW<fHpk4h|m>lc_f$jI&<6_9Z-H$z7^-XJRh9V-vArUk6B zvqdEY!UNr?4sjxg1v>klk%0jsfTRL+X%RR?VVNO}zx6C9Xwcy`!%NupxA5`>dE_9J zzh&WX*amWB6|lkTW=Ja>l;uDn0*y@&^JNia5CJCjG6_0z07<m4bO~y_f>I!=D5w<( zT1pQx2vXL}figjAA$nkC4M;6G^TEm*9~H=IR8R$qtQ?eLK`jlC7)%qmgaNfHp~GU@ zkWH$cJt{LG83txAB;$buLE0g%Mag*0$G-o6*#hx1NEv7mI%pLdBLnE}vj4C5K?@V8 zI4JFbm@l;;T0j{S<V9%41TkM;MU{Ga6vBsxC<mkq3F9LN{#&ep4JmD)1v_HXJ*2p8 zfsRTufYxDwgos#7>&M^v3p|ww3KWRxuxJ6BfMq=`=+<0t39ta<M@Zx@K`=o<2Wrwl zgAT+5?Tr8>_Z}6HI@CPo2HTeax=IYGTyW)Y1zoxXwg{A+LFOEXWN8o+QCfiP12?Wg zsz7T3AvS@c9o$+1WqqXl3u-O7lxBfv{9ZxQKFA*+_k)%Jf@lT?2G}-gG%1kmOVBJP zG--qR(V(f$7I1O{@uB_(F<&}Bt{?!pj2q&1MA-#)7o>WCv~@rU3Z?Y~Rsqr04N1-r zg&?nCQwXvKw3rZ7f`BhIz%UW4qD2Kv;WZJY;^iI4fCi|91N(*#5?nC;aY$JPmii1^ zTL)@6!5bWqYz`R`@#waAQFs8nqyf^c10^_cE<_|_NS=nANAbc1rWn-S`NS^((FMK; z3MwM{um*HRROBhpB1Hb_2l=;M?3|*~0Lk_(Aa_9$X9a>;17(8l=LDsbEh->&->)ft zYJSAnak2GMhmVRg(j^5TSy-v>gmg&($VkMnI;i^uo)F{Tb`UZ24(i!-Pf-C`37fpP z>F`mpMmiN0YD@yiDJ@`?oh{(G^6nP!JUXNzfJ%er&>;dK7U+aSsAoVo%|V$^eV}7F z!Sw}l4Q$2V3ObYx+`<Bx0P~k6e=F$VD{xK&RYIWb1-g+AVI(NVLB}RCLRa5{RCPmQ zzq>~z20U$qsM0|77RYQ+PP5=|O$S%quNht%Ko%{6{0uVsCG?U47$0<S7bCQj2fL&I znm%5D+eeW85$MD@kakGamOz=H#02Usf;W@$tw3u3z&6(ZgqLz)b+D?WoA=IgaN`H5 z3hAB#KIZ_`NSy~d;S0K{z7OeyuMDWYpyRlpOwh$Spm^+20XYh_kVD>750V8152*2j zyr~`(%g~?)#SVxGIy4MoB*;E+X$DdSIyMm^oC6DbkdAIh(gB$b3VPV4`pb|y2DIJ- z<WkTvU9ez=p6~^ef}IrsvJ9m3CG@Na7$53cuoU#H2#|BQA@+7ck}T8}pd%4MZiJ+N zl+p^xt)M$@AgVw<!B7Q0D*~F(!L~+0{1O5605su)rR*VhDu9wE=$vOp1_nfv3zQ;3 zHyy(ZMo?=F#Wt`CXrrtLyaWVN)PmABHiaN-@S6x$(V_yT@R|rx@$x>_+8&frK`9%Y z4dA6JbWFkn<ZVbU@PRTxY9V@HbvQ^ZTGL7e)Rb4|Z(R<~m&jT`c>>gh2Z_Nnf}2;M z5d`P}1T&;}-r1u9vLAH*G?Lw@?gVw!K}SzR6@X4XhBCW*R6tG!*#lApcAyBn<0S)f zpfrE0BG`eTD}x}B37XpjwQL|-IJ+GMI-yf?E#M<wm?6B*78TI^43c#qdr+MQnivKh zuMBk$=!POF6Lbd=s15^lWx%6H4BY`TaHolYoF>fQx)3~*0Xke5;xy1c0Fcu_=6ZB< zb~{Qyho?d95e5bZX2?W7=>8!{?PLSB4%2C%HQk`2nxP6n_xwPa-90KGZ6GZmMc`0V zfjf-{<TP%ix&?H2H*`-C$Y~HQoZXHJ(1|_hz9MGG-h$2+6$6OVOrX}`2%i=ekb-XB zwNnurVOcstRG3R8Uo&*GPMXTj04jk%r5h7}E9fFuaK!?04XCvY4Qdb*(jW&(fV>2W zpcmk?%%DBtPrJVVe<}MJJfa0kZ6Hn16bE9$&M^YTHi!?6aS-z*^zs#u;UGSetuH$v zts0PTp;90ZftcW(GN41KA(0BY?6I5m;1qUHNdg)w1hpa=7#L=Lha9AV8JjOPK`Vx^ z><5(rjUh2_1C1ep_HK4JG}MEpguzRJKm@q)(BY#ZUIJZT4A~C~+SLh~^M!4pgaxb^ ze=8_|LZmc~HQay<8G($3uP+AK09pSERRCTir2$)60$S_^Uh#!xE1xKT>q=;C2{ICT zH!H}U-CzQ9Rh0;T>r7-F8KNlO!(xXpf9oQ!4p2k<H3N7^54O$-v=q((v?^*UC>06u zw}4K;hj<pW3<)d^>%M}PeS;DbYitQ<6cDmY^?)SEdhi~#x-H-Tzg~zKEYt%l18vl8 zJO)vQeO_K{>-YaJQ~raOv-YwwfOTOQuDIp<|ChF?+fhO5{W10f%Yx&73n=~}`++$c zKrSR_Kk!#@sDXk?<5)vR19+?u6ie{^z+j_6DF=2b4ruG{u||+m@S=YWkM2&$;as3~ z+#pGh#)BMUpcR89DiR)8FE8iV@*Q%x_WL5pj2~#Ihyk>GP{X6~P=y%iSSHYFa~#us zCpSZew9wNrFRXxodM5$dJ1(H&x3dG}otHYGeANxpjy%4>!{71&wD=30x-n7*Xh|pd zP;h&YyFt^z$C^NvFd*%R4gqa!ChP~+&ENmO%z&<ohFb(rrQG~2=Kmq%EJ)6TrBWHB zG~&_t1~j<^TAv0gR6yg+klk>5z$2{t<UncV`bJP%frxl?@1FrpE|BH)@Re!yu;tDX zurX$^iHI>~AJA0@pw>Gm_dqu89c%ai31tWuF~AJAqwxsHZQucBkfR`q!2`@7E~4-V z0L54Us0xBC(?u+>w1xGaK!rL)Qv)b*b%NG}cY_Jc^23I|71WJKxYC1l0kjB-038|( zY79e~I-nadK|GNC5eWMgFE&49bm%zOdJ<F(TJyI~2iMSWRfzdrEB@AQupH?4T+p;j z4|r7pZ0ydYBSgiRzqJ`z3HZbk$dZIk7nPiD7nKZ9KMZ>G3xo+4?e<a0K`ab1;%_|- zZo)u|xF~Sqfo*qo02gEM^kc~10+NS>06Z3vR``G?-7gs)aO^zj(aU>%5~#G>0!}d= zjmI3oA^hE=^W08(1_lPyy))h$K$#bIEWK$yip~u%o!2n8@e6JE{{N*bxD15Y3u^I# za%Fd?09cz3Xgd;QV!)&EAlMPzB`N{f%a9}MzyE*v6;k<vcFcjA886FG`JhAZVH15Z z(BOikAy|zPaNI?u0+axbyQtKFXiyY`6Cz}C&qt*OE&`p_3sI?ow348^HY$$0sDM{e zA9qnH0T}_>Fx*|EQiC>$2kG{e#=d6gc2TK;)xBD!Vc@0a86cg<T~u;FG%PLos1zuI zR-0#l?%e_<E+3T=@Pczt^2h-h0qU7)LKmE$1odWIR6yM?hSzIAt^$P#$W<Wv^(;_A z<91QW0jEDu!h_70Kzb&ijDXZL0ZD_fk4gbZK18JiLox$&Mlu5f14w=fIMJgh0i9!% z(TziY#!EkN5ezLfx*H<YK^2&b3aB8(*a_hQ?uPfzK<b9WdL5vO1C+>JR6M{3?YMOF zCeHvL=;YAtqv8Tu=>_U{gZFMjI|BV5!BrkO8WOsFR8kOGOp3qtAy^V#*mO5|fRm<+ z3ci8^>^}7zB=^Bu_Gh8Sxu`_I-1j>h;XY;`6^BkpG(giTDEeVFZSNNFgchi|4NkSt zJb|@J-MR);C%$|H8dGxt5B7jIFo62T3@?R2BZ^SLmu#R6cmS4t^RkJ~zQG_H=0S^3 zQ2Q3@Vi5DCC%i@?v|d^sR3EvohSW!(F=3DH{R)ks*xv(QMgf}t1P#bRYo!*I=}7aR zAPHFA1Q}h1ulDaznFgLn<OUbDpa=vReypLQ5!|MMEKo#LPhcxCR!xHxgR3WyUZk^- zL1S;Ymra9Y!AU?T3!Vgezz3g!<~Kp}x3CR1AZLM9gZjpxdGK!DFPY%+B2YgYbR`fd zmrnstmP6Amq&x%}1fD4E2W3=H4S-lZ1e$b%rQs<mZ43+ypbdSm8D3t27J2ZHLbP;x zR9Zoy)dEri%0IBNen>R}YiDeO6sMp}1}Z|3mQcNJfCdLh{AB?&GlN5oRU)2&0kTo6 z1-xJb=4p>^i+vmn3=H7CpD#>6NuYNRc<Ik4enH4$3y@NXAt%BRhJeNkKr#>-bZRyO zSO=)*4j#z`^<F;lM?xn2A!inwt^gl4*NZsK9Bgjm@e79VL$pCF&F-%G{vUL<9mtO$ zJHV<~k!=U1Igkv5M%WIqQ~=^|h@}UX!z_gu2i*|Rc$~us$<l%s86ZocYi2!&whZJ5 zi1UaG;Y%x#U4t#e;vgaRiC^bLXAgKL9U8@;QV3z)3h*Jj&|dT!P`uIH?P4pTL;IjO zhP$M@VFTDHkQFqbk^+<@USz`K8WikckAhZNBP9*EM`Iv^I$$**#c-opAAn7Udh+-x zQ27KcGgx1Mr68-kKpOC-1pe@oY5aNz`KN<AoB90hJm7g%aG#Ph4OH0nK$kTh><|K# zdZ2D4xRv!HXcedk2U!4)WyCxN#6Fm76hPSvIxPUrgrMj`i#d=U=<z3@0>`79fqxs) z<QsGXzI8TunLo%*um(`E1UkqEBmmXc&C&SqKWITu4|qFEcMtfO8vgYVE@rX;`E(07 zErARH`-F7^@-R&HN~EyuZrA~qg9R?64tOzr8EVoM;N6=9nw!B}Oh6nAD{~MT6_kWN z@kjQ67g&AbkLv-iO!~yHa}v|_2shu*0L2b8J#1e=*v*fZqPv-s`fe74WK)DQ4UnBF zfR+&iSnCo&Ne-5Dz>2|JXkp<Eqd}p?@rhp$7DxgxM%)RoC~98GK(=)DazqJ=J3WFE z0(z330<Q7Fl>&I(_KW$8z+nhY-3VVU09n|*1yY^BPBc^kS&S%&z=Jd}|G;R>zF``F z>p}3TNrso;=65$d09nup(*+B!08p#6dkff=@PiarFGI_wkhUvCFYW*U=P%HW#oZ0? zMgsikSnyb9UISvRGmgKNiwCqI18N|skp<1+ASSj<)&X)XENs}85l*m1iy;vQTBZsv z5J5E>JWNokCHz?nS_dL(U<XheZ}S3hT=s4OuY&~@*5EYIcs#<GfnldTsN)CPKJy}a zDT-4`49&UqNTCTi%pEdv+)$4QO)vgd2JoI5;zM(XCQ|m_v4j#Q&Z<LlBIKZWh!bn; z5KeUBZ(Ru9zC^qe6SR<=D7xhPf2dY0wE;NfVZAI!N(J3x2TGH$#?L-h1_lO5E|i=P z+DHs3NU`q*0i_a9ngF$WO{GBo`v|__(MQDwbi<=H#D9Nl5&pB{Z)Jt~4>XStO2yFi z=^!Q~L4zbfMuVNpIs@5%ri&@{A2Zy4mh(vRA5$$m11HRXCLsSAL;Ux&2H`&={?<%z zO%Dw)kf#Xv?*g*_LKji$KX$nPBIlCizo;4{|LK7Irw#F+Et3DV_*>6{+X}?{j{{t@ zLu=5|h2Q_b%=!!+0RJ8Xs+MrJggiisKSP$PgK8dVV1hb2Am+;%C~N#7V>yuI3Q9eo zgozl+LkuNq^0(%I2hc!C9#rUo6oZo*td|eV8L;vnvz-m8u|OI@w)k{2fKRglXB$V* zmPv*eYoLh>)G`GXdLa6RI4DQ;LT*?hP}G9+WH-zupn4f=X9wD%h4ec-X2XhFP-uZ{ z1$(RUIH&>xH!eZj`4wJ#fI5R_o?j15c_2@NoB^U=NX<ib9aX&#HVootSe${|IIwE) zKbqA7tho`Ol!v02+6|(vd7uWu%Uh5=Dj?V4E|FpF4p2aWN@Oq%O(^>m{9q+=`%FlV zhU_nbx*pML0Zqn2^Ci?O(B3T&vzs@e72;u#n(hJ?ctIuu%IMPkt&hQ3qMOwOv}hNy zIRG@^1Xd2ZLm50U0TI`RESc$qtiXbnZXjE*Z%hQ`5|D#Il>}t79Aw<I(?<m|JXR_N zUOWUU^+98qpbnD|e`_w-K~N(>*&TYJ42TJ-CLrs&prODDO5^ax8Q)wYicwggL$Vq) zOJfGQ&<seRgK|2^FW@|d2y{?X;R^KE&5%F`sX-2O9#Eij^S7P@4^nlr?r#Rq27^X| zK!FZcPI;h%9E>H<SwM3c%vb`QiN6)J1O_~@4hmaPNFxP0sJH|PzhImR%gCT81Lr+Z zlM$((EeJ^(psWuLt;XY^><BJ_UKFDgQlMMQ9)>_d39OVAq!Ko41c@2sLXgbP$-i0O z|GzARERzK}8tOGr_5d+oIzbOL1*cO`?-zXHB4k4vXw5HZI1Y0DB50Z(G?@k6HU|wK zQ0jk~1{!#W_#9*?G-H66FT<fjaNsB**ZgXzA)rv{1{nfMFWtO$C5VwjNCpMD5tLs$ zA!jf^I}Bhqp85>g=Y(cP6e(t0`GVDqZN((G!x*~X3LYR3zg)s+1}Ng7feQA^dF*C{ zkzz*nH;7-*+~Gxv8SJ2|R2;*CK||ggptkrP6$LQ8MMVNcckcnykUBbK3aAeO5dmGH z2p#fXzz&)z-=hMiVOxIm*$`WPKoamFZ?LIIL*9C93=I6OpiAr^gSa4Tk2Nqf!G^p+ z>U()XSGag|cB}wd*?2^QgMlI1F%CQ_i%<_4^#-YDosk0aON+`3u+v~PdQW<biY^-i zL#Y>NEc#_EbO0Faeb9U{@<_7|$dN4|B@jm<D}s$QgU+}C74EEi>p%y)w5UvgS`Kod z2djbrD3rE9L_3e~oDb??Doy|Xe}}_HafZ(0h6g$if~rrj>c&F{o`8ICZ5L?d=f&)a z;8Lrz1ro-f0Ryl%!Dd41ewbIm`dJ(9gKX=81_0<_F^Gj5r-4m^o510R7^6mY?+&O5 z5K*uROdu!cgG@L8D(b;DH6F}x0U2?S!=tlDr338c9&noRXgv4<Y{nF*u#rdS`OZU~ z2VdxbjDQSXfW09Bx+4bajRvp@Ef5n}H6B2`0TD$w;?-2p1TK;z4uFk-IHCe<1l$n` zU^AwuK!o9rSdMOlfGffu1*k^MfEoc2h8vLrG6KmTJHRG@&K+z~$pD)G^T!3S0X<Mr zus=Yv4lk5ICLjmq3wMMg62L~l{lW1N6c$rdAi{7*+@FFL6cSztBO*|ZaDW;C5r!Kv z2V?}2KLmV0CP4fV05$>Uj|#8>Jt`1Uus=Y3?iW#LCR89LD-RSCHb6~)h=NT3Co2h% z3CJO_A^_wFNQ^pwjev*53$PheR3O4|Z(N;>nzk1NflPpS!vbsq%o_@iKtb7~0uco} z0_=^+XeMxkB1|wqF(CqK0z?#HLf~XrhCb-gD;mth!~i;70g_XWHGoR5Zb(7t1I>b- zoev$4JA&qv7#xp-(gcG~FRwHY69c$daO^zf(<^HU9%$O5qQC|!6TbU&Ui0am0_9Cn zkziwB@aen+%6<Z1=1Jeqn=fum{Qm#tMd%&`u>GtPKyL0l4k^o5^n(MdcZ-SuC=Y^m z(?LC`!Ono_vME3~Eh-R(U<*V!)bY@XA6Qo<WD=-;fCcgiWa}*9)^R|slYm+WqCfEq zKzfJ*FzX-;P+JV79By5K6DS0r{qd(0k*#BR43>iU?o=PlcMKr?pyoc*Iu3{p5bGEq zoE8-bL$E~!tQ>CL3S{fbkge<9aiNic;l-zJWG7qzP56P<l0xl*6v;RPQ-Igmo1FnV z(*oJ40g4MylLwq07(kmFLBR!TF@PEmP*KoML=Faq?ky@J91IM|J2iS#_(2s_t0MT& zd(bHi9I$PLJt}-)DKVH7$Y9WcRcs6l-7PAA*uV;>9E9xG_yG|GQ&UuUI2afp`!!lr zIM^5%I(k&t!G=8nk3xeECE)-sF8{y|HVmY`vqj|#ga;A?tq5vS0kOIv;t&Cl3eeF5 zpm86_Vx~7xCg_?;koF$1Dacy~rl_!i?Ph_UNCh$k()a37VFgS5g!%CW#0Zd~kkw2r zDhwd^Pf_{L3Tkz{MpoF_qH+PGzC{J3zOzN;3WNs|gg6((>V}9z1dvp8_kh(SCb9p4 zZI}rjZ~~uA+sm3#4rv%b(giG^fGhFtEs&}j)-d4ghm|9E8wO3C;B=0Z<{()eY$miu zg;d}$+h7d?h$y(=0=GnFKynN`={q2m;E-A!W&*5X01*Y704~A9KqerU;0ur%29OFI zUV_6K1`uI*2`&sW0;wVj0N3h}5*$)}!yEx?7(hf3j=0#1RvZ2R8v&_CAk{bA5wL~< zL>TUfK6E2Iz|}g$2uQUKHv-l$fC$5l@CF%y<c|+v6CnP8RNpXvz#0Y+QLsNimB0&5 zkO|0vso(*siXe`FRNrt%z#0Y+VYnlX^`Hes08+yMQh~#bfHe#t!f+#+K}I0?!vm>d z0I9%X{(v<MAfjM@fNNAoG!r%;B`Zkv4Ko4OFo1}HO#mmW|J`Wbc!1O}fK=dcZ@?M` z5Mj7C_JE8)@`gY#C@dk-0ja=Yj({}`AfjMLfW1+LW`YA!!vIuYg2z@sH4Q7QVE_?D zm|)Qj%g~^PffWnZh5@Kxz}qljVnJyb2!a~~dsIHKg6ck4!vMmYqVj?j-Y|FoW`Y|A z`#Zn?f4Somcnblj4ge*$mv0~&(O`UNWdoMF2)*qUQn0|PI7smU>*FA*I7q!M(4qom z@E&jmS8*rcn-M`ZENJ8bTA6{4#DSLipd)ag%<d`Rnz(z53JXRRcb}Dkp|l!2>;{sA z75(=>!iC@gAW%I6tusND1BeN&qd+ILu!D|t?1a}wpvnrgQ5q%;(gCfcKt><xn4)qQ zWV9i;m*@uxs2}W*ZF#RJLfsDvDyaKG%$H@5ZLT1fa6@W5gpFVudQ?Dx4LX5~gMk5Q z)geSN)B#ZEg02^4$D-<GE@)L;iwdZk1KY|8aUF~gIt>Tv(3kIE=S6{2sRF1d0Nq={ z4sK*TfCfA0s2(U2l%gReJZR%o^FzkY9+fB1b`4A%x|(zfSOPLI3SLk;MFnIa=;%bK zVW87$pv-QFWcMDhCY1H1Jt{X?85lsz#IAz^91_JKJ3;XPIvwO1NEi~upsE-Yug4+P zF^CD8Z~)cVJt{ZYp}R6cs=6WdIcQ-TEQ+DkHi!Zl4T|EcAfq8stPMGI3uFi=P{C0Q z<3K$E5{E@G$PR9ZD-bORkkOz+en7DX-ggXYQlP{#SOr8|H^jw|MW}Z`T0Z?(yx9El zKX?x}R3XS3{3e1`K-(~QO#~?f?=AriU}`w9Gk``%K}Upw;vACAkr$6a>gW~~2o1{W zkbz7{n^~X-)_CqwIRQ-{ATvJk3v{d~0QDpUdsIHKffxcXhG37%0W_r>U`k)WltLJS zJt{lEjdZ~ll@qK`Q$UOt;Vqy8aY0T8De&l>0x`393&a_nEzk}>>oiBm?ZY5f!3)>M z1E5Q_LER`&3&L?XC`Lj14-T+0Ft~Ky2W>&v!OFnUdCsGAipmBM3v>ju<8C(4ecPa& zY^)3nuAMiIv#5Y>;06WU3UC?hg1ChHxQmKJ5vb?Z-J$|A3p{^y+(ktLbX4_m7Zn4D z<Q%Z^9-T2N7ROyw96;+1j=QLMfFymox2S*|<kMNA0$SxA0hLSu*$KKCIRmsp8YH-Z z;YE1!_x~@aLWdPWe)T}@@NI7dHx-dOe4w5e$mJj!-UEa5^8|2q_!z=LRSmQUmfV8e z{XyyQdBCj$m2;qTGC@5rkaZv$ZXL9mfYlcm9lj5CNY;I6Mz#*6!*{a*<~vaR0<!J_ zsBQ!u)Cx&Z#C7;I?2)W%MYayw;bW{rb^-@DFkrPHj0R;9h;?XzDZuMx3$6`8OY5lA z;ad*rX23dPpdKNpOam2{%fM27Fey+xkkR2=zzXj0%?BG6gJu}0eghrK3F-cUD>g{Q z+6+E)1}Y5_hX{aJq<8q{f$gq=b=E+J!2CEDEL8}T0@ZxoJ>U);tiv}0<o+ot)4>Xp zkrj4A3rk1^L2-v~8rTLw<PM*47No-mYb`;tCAf3ejW!Z2(FkiF;_dKFtOloZ(3u%X zB`9=s8QS54RL`&`Ev&-_5d}9$!5zNk&>X`mW&@hSg14c;-8=9lCOg6H?|P8B10KD+ z@2o-Ura(q!8xMiIaPZx2FCsw7E_w8_9tSIf8wc*FAsJ@?QU@|_K3E;xIB;hSVH_t+ zSp}*xaEA+_>`^^9IN-fj4L3x~9#UVyf&tdif{22H0aWI{SO78sxuX?<)X@Uf4M>B` zu#Of)7~Z{zMK_`Y)VgYh^wl7pOL%a=I$986xDiqyBanJ14M-g=NZ%6X4_HSFA`12g zxQBA14mBhc{1G7m>0H80fOWJWqF@ui5j_oL0&+-51cHo!cmvY6gnI+l(SiuWy%7vD z0?8X5NF6Om*AnIkSVs#Y3U&n88-i#iR3LS<Abm@i39yb9L=<7d`C3>;0(G=5eq+Qo z0t?EJ@U{T95!jjE7?DR{Pl7A=Jt`ew8rIQ*@TRCVfO}Wq5!eba6Wq}XtNH%_rT<gZ zj#dfeGB!|I3`%~;9j!=|!CF|=0?D>5&?yu|)q+-W3h>68fvXlOcC^YtZDL3(9J!-a z1`>v}!a?ObsOb$lixk$rZ-I1ddQ?DtB=7+pAXU&xf<Q;B6l64{6@CrUl>r$73Mg<Z z9L5>=j@Cqo>p;~F$aTmatumDUas;R-=!7($kGFvPMbH2Pb!<RPP?HE!C}DQAVB%Eo zXca&^TKS*=heR>RJ+N9e4<rnUVo+xR<V<RHv~od4L!x-|i0WwVH^SD@0yn3j^){qh zf%RKJSsh<T3%qtE0oEk~F<!Wo!@5M^o>*s#3Z$B0oo#~X0wK*YgStRS(^D4;K%3Sf z<*R4ofg51%6tEeH4pIc7gA@Sj7J)lR9v~KK2gw1Wg9K6p>H~3rF5U)RON87(0vQXE zR6y<^m4kavb5uYU!8=IM-jfGR5@Zob65K(8N@DFGnU#J2|1uT2W&+X@LzxkqR`MOP zjS4hE0y;7U+Q0%0NW%LoC@p&Ms{a>#Mc|qj*0F-FnDA&kejtK@VJE0q1a(I&SQ!{z z7?&gW9#HyA5+yKGKy?FH2h@JhU?$vtv|=Blzm$O7fjLr!Y#mB}X=O3YI#_=RGUVB! z0;1v8LHqbPC&*?XTNjFK9kjo6u>fKZYeYPxDGaO6AQ1p-3WG&qb055Auo@h1Q@9Oc zG;|aTRE#2-3C&WF0u+*@J>ZKXAfn(V1Gp(XrxfZ)ZbMKL7v9Q2Zwi-y)PdTrj}1WT z;B8m5rm!za8K^0|2doTk9C}k&6{HSi+!U}nxN&Gr;eVi%0aBKWstm0ud>y0=sqK}3 z)D(u5ERX<#HH9If;9vk%VlSqGOh9e`PC#l3LrN03UtmpPh%meX7=&)b0Z{!1Z3;u0 ztZ*Y>O<{;I+z5V<5lH^nfz%X+G+ANM32O>NM8W<5w;|6$(l)$P5P{SbhBR1VCcv7) z5K*uR;HGdl$OPn&2taBILz=8`Z@`+u5Mj7CJU~Vud7}ZTDGX_{!W;o>3PVJ}jsSau z4b6lNNKIi-nS@C3u%<9X6k)=VB3MQOHHDA8#@ZAHWj(x2;l9@>O<~w#1Ot?&FoZWn zMFZUa1viBiz)Wyc*t_ui|CcVeAj{Pti4djemCi>kdO<}V$a^3fUKU{~dWCZ#85g>U z0a5fCL?RWv5}=}YM<H@qh*I><&4ZZ&D|$g?Ajp0Y4Y!|A(R%^8Ec8UN4yEYTgIfnH zdLeT~Eh-=yZXKbbm%{;RsOnY$lJB5JZ&)_OTdcfMkfIk-?7@>AbUhka6jt<3DuAUJ zyhSfJ#As-{78FvDNCg+?(4rSoy1;CMjY2>~!ATTc^eRFM@m|&m+Mps8o~zJ{-k<s4 zS-@W246r(QS&3HkUIHlt6}^sNWpLxri{4crb)Yg;608nx99q%a3{&<=3*<VuGPI&M z2BZurug^d#dLacQEEr%#FGLg^4B(<y3S<IufpY?>=!F!JaKFHcUWhQfz_|`eR>(&D zKq`76MI_t^SkVg+h8r;jWCW5wJ|GpnkRlT14_MI)5e54LTxJEKnJ@vV=!F!JFcV-! zFGLh<0=VcE0GWX7jSi%u7g9vRy#XtFA;NHPoQ0GM@bZ5LQqc=3B4Lhz6}=Equp_|U z=teW)1ya!qDw7Z?9#-^1L=h%<=E5=(sOa^6hPCJgWj(w_ukbUhMXvx#(F@^CQQ-iW zx8S0e0n7v!y%(~-|9^S%3eKW;K_+U^3o7zJ-UHF_vItAjJ0l%Zx?mK&3y_Q6A1n+E zFKly=3MW>SqE{&sW(ut61(ksy`$06^enLerXx0S2f8ty=vUMm$@8%4cb+DorH1`3r z4n)JPBUJQOAQ!#y$ksuN-rK1Vdsq*Fwg<qcqTtC6TJ(YoLs-!(o()Sec#GZ%5Tmi1 z2`zddr3=h9SkVg+1t(E((Yq`Q>PS&FP~is8Rp>=;9Y`IhtbD5qQU@;t(Td)1kTOuw zdkm}$ZX9~is}E8KGHxDN9o#syqL%}vtQ=JtTG9Iul7QfO{Q^?a3n?IB!2m0IA)?@5 z02jUUK_(y<I1HYMVNXZ_3HJ-E=!FQw3!E5qBMiI|MnH;4xDl|T7a|NdLK0*IQqim7 z3o-$+L<Ler!u$a%dLg1<e}K!Z>yWe!4~Y{<MK7d)gqZ*<dLg1<6Tn69RFDbCA#nhy z=!F!KaBskhUWhQ<8$lo=ki78$spy3ikuXQVie88)*b!iF2%wpu09sQCP1m3@37lC$ zJ#_d=8;B^vgmdYzj07rrFFe3n^n$V;-lBKL1FS{w2NqDP3pM}@;Z0F_!Gc(617?DY z-q5t~|6lr@$655Mrl1zRpdt_CJrE5qi?9^EvPqDPi&69nL?N|$Z-9#4LutrmAxhD^ zJQ-#Rtmp-mfgt-qG~9kdMehdWvM?CMI+UW<0&X3w=mpIKgRBG5aO(&ay)Tfhdy<Oe zJ803HkO1)(Yq>w9)e9;1;K>eJ^nyiUMem$cSc<`0^ol}^h7YTum<dgykkSPv11owV zqTnP7E_$^gg?KOPECo=J3eQ#OMK3c*9jL4<0;_|Um1srpofN2_y}`=h#-SIzTR`eS z#wml<!Hq*JdV68Y{>Xz|2Umtx^rnH7A?0-r@YV%Lkp(FrVZi_^dLg3VU;r1rDj*Y( z3mgliq8Cy?!u<j(dLhE_0_PzpSs@#dfmHNDib%K-u%Z_t3^!sP$Ot5VBp?;NkRlT1 z4_MI)5e54LTxP|fnZN*Ep$G{HNC62m0ao-vM8PJ2i(V;^3CP~~fmHNDib%LOU_~!P z819YhkWv9&{%eFFY7j^f33CLj=!J-a9Rc>nR5TMJkcwVVnFPwLkbWMl)e8|tm=K%< z%SfQ2H}p2vq8F6)@D{zYx3Lzz7f^~`2ycqY32=D}ZuK4jGr>jgt%UFYUtT_mv*=wF zhg$T4iafCQz-x`5n-Q=Sy^CTXISr%eZ9p!1cYums_e7+^3ANR$69+Q|R`i0(K#=_) z8eSF>DtZ+hL3I&yDb9@qWb06h-o3Ff>tIDMS!-h=kgdx^whmhKK8=Fd!>Z#2DS9Er z9z5AWi(arOtmsutfTb9`Mei(#(Vgh~s*nd9Af*e;HdxUM5d|kvaM8OV9_mPWX;9$? z&sFF}ZwE*nsI2@h1yTnu1ksA#M36F2tM>v}8QeJZqSq3n4rJU4usXPLXhp9OOj#qU zGPI)iH6#JS^ST34(F-XcVZi_^dLg3VU;r1rD?uh87dQn-MK7d)g!=_n^g@K;1x^~e z5etxtUPuuMHv(4lLWJQ)D1(eZDtc!i6}^xm66OzB(F+j;`vY8NJ%prfct}_v6}^xG z5@rId=!J-aO#m0Y^FbychlBxm+Zbfo1Eh$AdjnSVLWJSohyfXa<c$QRq8Cy`!W;oB zdLg1<M}WN{g=WG8q@ovIHleh7A)*KqZp6Sc5~%3CbroyT3(9(Ui{3?7u@=1>P>Nm% zZ;HwaaCr+ZdKZA1;G#D^`uqQv5l3(qz50=;MK7qx!zlW&6us)<kc^8_^nO4tdS`%& z-ZRn2Wg$wdcVh(16j;%VwJao5^iDu73u95NLn(Tl;MT#4-W$+mi69zY(i3X+o<O$l zT@;e<pha(1D8yT=4_zQdFQnLmCp&1-3l@bHz00CtDF$!RD-SUmbe9QqLK4yjKrVVA zr3=h9SkVg+1t(E((Q67R#Cutnh=Ph#c&<V(dU-+WKxJhOSRK5qL@RoqMMC`?3RVU; z4!!8z2T}(zP8X~WZX8<CI~}Hs4OJOh(OUpg1}gCHi=cP{T+l++xq%B<50E<KVx<CH zMnj4fNJ$EJF^&S372SvxNM$snB!wG+qkugGO@FM0sICPUrx4eI%b!^=b)2Z`z=bA6 z9k{S80;xmxRROrXh4>0mHp86+D=Z<x@WN6bWCT)Sc>`SBLK@4EvKf|+V1*?_6r7I0 zj(rO$3E*Y^1*GB@QZmC#fVER0q6iaKhr^OSsIXjn0c&9i$}D&b%hC&23(E;8g(ZYH zMWq8=LV^p+1~3y`SQ>?W|Nl~ZKhDCEDFn5!gwz{oGeuYm%U^+zyn#_zf{ufNuSuu? z6_)eEkct!3c4|v7%oJE*38{5jR6sPm^deMPG9d4wH9)ZrrLYu*TL&vFvDOWQ3QG%Q z>kft@`3_oG+6EwrvBJ(G1(jBy)8`QTl0a*zK}(-NwG&7dbRZY()ch$b<t(5bq%q(d zEs<0-TV(N1KiKe)5oLWhDEvT1LD&0%n4rUtLA!W+AiH=kBJL%dqEZGnTN-RO+AiKw zu#^By3S=-Di@FO~z>B)`!G_&~T|x>q3~9X{4R`V8f$cVhEhtA`)SU~K(t=5WR@tE~ z>dpYUe~L;vSfLEELMkunP6OL;3cPImHN%b-Td^F~Vet;s4}%`n@xd3IXF6L{AV~&R z6d|8+0V)1rG8(TThY>(T!G#pKtYrgb2jsFA`HTy+;y(dw#uOEZFubfi90Z;<?M9Tz zNR<$zT!u@{fEoc2h8xiUG6Jc%#(k*51+W2_hbq{inSlFH1&%k6kU%P~LHli9{6Q<t zU?GRRO9{DT^ymgHp2NBY1LFV#2auhx0}Lhye*X`NV^F=I`Uz{j0E$<<^}^eajF6N9 zIlbWvc(!hjN(4&10O3th2>@3H;CjIW%mmj9QUTxpzZBjHUOOzn0oiK^Jr@Afx_G$~ zbVfd8r7f8M36&2mX24R{e<Rl}u+k2c*g)r#Axb;Y5?@fc1S-owvZ$q<J2)TQhF%5G z0zCkPq1l3we-fg!1C`s*4Ur(DpzCx&%x=ih3f(=B4V@^Zog3KfSzxofTfhon3sGIc zQlR7KX|l1?g@u8k)E~UD(;5<jARmB&4Z1@W#C)j**<}e^ef+W*l@IkLSZM@Zwtf0T z8~`d8!M5IjEGmcbkyj({MOjq70n~u(YylS&xK|@X3K7iJ$S`rLuSRxYfo%J<2So?w zYGgZ*Fl|;N+k%XStVaF?S<(&L=?PwN4&w~JZJ&m0*j6KhT8FUn>p=AYc#W|E3v}Bh zi1Ffq7kG^^=%zPtcaYVc19@#R^l-4o<B+w*urqpukd_%A0Iw^Cl;NI@2Tp*wQ^05O zAeI?xu)z0WDzL!zVM>5lsLPB&4I$Khm>`{?mBc8^j6oYFK?NJg1dt?nnK7vB1MS0v ztR!wx0a*l+1n<Lyt|W#oGj34<Sp<?KxXk#xCuryycDgI5h1b#ZhJm582Yki~*b(4? zoCa{k18GWwMZv+z09yGAYW9M5O0Y37be=l+Oa9<1X~v5Of3hBY$lTeZ0y>lhbZ8^U z`H(|XKwH>Bg)GPzs9unw&U4*72B5v;AakxC{4am-y|iQJ1xLmoj*SmMhsL>R9&+rs z*x94P0}Abqlc3$`EDQ{nUv*9aZ{mQPHwA2I^C6bbYuzFS-Fv`ZaqRrzc<_h3<G~lw zj-9_;84o!&K7yEf-J#<r*btDzusfjn!2`$dH(WXobYANXVSCa!Mdb?U$QcOLdWnBN zXagfRsPyko2k)o@ovgM6>?-J1{^ke#pksYN0o(#Up#~xVvJz>_7K1gYZFYVq=;Y)V zTRagB|L%?>kXShb9gu;HYV3f<3i7CsM>jZDKqEGwD?dS@i5V*=*uXpKLG=eHDxmcT zhzSZss9umFSge5T?Zg`^Ebv$XvA_WeG9GT;6cvzpNU;Jkl89IV)%4v{R6wfH9Do@s zM<B5RqF}MY433qR;Ny27u>x`xw1S630#pEG5kvsQLW-3Y$gv{lfgCG;AhEIsC00H_ zV`T$W6hebzWd+EAJt`peSn|peNUVUWZctP}t7;Gv6pB#2AVsiP0h@z2R{k(EFt~v8 z3Wx=c6_D|8^QNeP%tMM5kdZ{h${*0#1ydj%L3IFXtUT|WqOt(wX$S?2mEX(^4E+6& z1C1cD0&-P%3wRq=^Mm?M2n%%76X=8iumH$Pq*w{Cf#el%o4dmeIaW;Gf=jR&(C~-k z6^*wb^(`tBprQ~O94j3l2ll9d)MJU27D%js`kA1pK-xV93Pq@1kRn*DfXzV-6toiT z3p`dpEO4xVjE9>yMFnIYQmlZCBqCNo-O}zU5Rafb05et^K%SnW0-|8C@);Z}pi?Fx zM?h_Xoq+{%93)miEa-6`5CM>tNU`z*IaWToAY#RXH3A#~&;#ABJHk4i1<?3_#8N^u zs8h8?1uP2d=&V99X9BW0{cv+KP|S&lg_r{tg_)CtV$KO<bKK$PB%qj60NOL(y+s8q z3NuFv*&OIF%{063|965r+~*BXf+7;)A4qTe8028gCOep?Azc<otHOg7`QRu>vlS)- z>up0s5xwmh&S+g_<b$I?Z6Ty6f%Uc_!tkzgD7q2IXEs3wF)@1E5Mj6xLLeiMdfT{9 zDuVU4k^0Ku!O;s&;1Gcij^aM42-e$%^qj#V0nYh-5EJ10nP4G@yj2Y}{s)c{NTUTl z6o@hu32r@tdaq!W;6@KhZ(G#~xwpOj5!T)|C|>dQw(B3E^tNX*g9@cRDlfn^Y@rr} zH$~+EGkl@e4KNej+g{`F{r}6QEC2rom5yu-3^T!p;eY?xe1Ng@K<6=!gU^^dKY#|7 zKp7m;>jt%F!A-3_Di=Tvg8z=4KYSREb=$eX8g4BrS3qS_=ZTr$e>!%abkqbjv@U>! zPF{ZAJq0Yy`3u_A0yVurA=JG^1<Bav<1C#RCcAW==$xW*hM9q3Cde|7k&fSgI%-~Y z>4X>zk^&nHY74zQ{0;0cP;HOPUk4!m0@d0ee}Nk-dsIN8sJ4U5=xk9r0rmunzm9-~ zAl8GV!PbM!>z)Gc&!ZWO;V+QM5Puzj`3qzus=q)|V1q%eiI<Jv!Tti(uDJX)2jVYK zMF?^LxVqn?0un{_7s!mx7L`3<PoVf~2UrN=FOW3YdXRabRxLQ~Z&5)q7Q<g4lOg`v z0`nKhNK}7;q`(G)>f@L8KfwM1)lazm1v&`>dO#w`U*HOJj|xZ>)n6bpI$KmWfIWfY zuQgyHh`&J6VC%s((G>83i)hAT_zPq*#9u35{sI|^>MxKK*kDkN_VO>%0aV@iyF{QI z04g&eG`K+lYLCNm7G~oc)Z4+`C4%Y&DS|a9{($l<YJHB@pjZM5A#gJf!~!=cK*Qr8 zXMxQdpf1s3aD&2{k}i=2a^riU4WdCopi2ZQ7C_#I(BN1BwZqBn5<&HXx-hU<0hI!1 zJrcB7nFEg%5DOeDpi&0pEU<Y4)Fqk?j+I(Ux<n6<V};uqIacs?i9n?f$omi)94nx9 zcsH3{BB)+a7X}t9pfU`9tW1H&3Wx=c6;K%oau(RU0qPP>2FJ>N@Z1fVU7`-;#&@YD za;)I(5`l_jkoO@pI95RI?`|@?L{Pn;E(|PIKqWB#Sm}Ys3Wx=c6;KHeau(RU0qPQU zgJXpeDONzPf>vjc9uQOjRBb>6KrE#8w}CCFjdy-0xVd`Y91$yMU7{l<;I=Sm)gVe2 zWCpZLgwmCnj$#f<m#7AA4!F|-Z(C1*c8S2Eu&#_hia97<A``ed5h(6i0qqijMPcT! zBbx*55;YqV?h@r2f<4{KYxju_obVt$9at+K-2dr34!Rc|Jj=Mi4BYSO<>dz{??$YS z0nO$i4qB>&C_~I7A|I0k=?7u-Z6Lz%zKt2k2&BFZ^5H;`ZV=3ku)YmM6x=fb_ietI zg1rV0f8@h~ApIbi39!BmL=<cSII(OpMNTZB0~%n%-H=`oWMu{FEx&%oU|XU6A#kUL zq;8I#DRMXG0``@8ph&{o&6xpOnFs0(Lnakr-JAxLZVrSuMWq7Vw*gNo7J!-HZq8BT z@Bd%!n*(lMf*L@$nwOvs4Rnwd)D8qUckpy`azNz_YBwhXECgv@f~3K%Kv44ushfjj zEJpJZ<T^<6G6mMW1R06iyaY*s4F-2}-jm$T0XG=%baN8Go<M0f$AE<({sKvZtp_zg zkh(cY#$xyj)WCuGD+1;(kddhV0!e`l26uDTlHAP!SGjn)IU!(Ap!h2QEClfvNE&QC zs0v5w<{%l1;V)1V0pc$on7=?qqWTLY1vVJm&B-RYn**-2@N{!Lz@9+ymkU@3;xCXi z*m`j7g6QTT8H?dBP$diTmjldSAR|%z1(E_A463DGY9bx~M4+34Hi$AHGe0(<5CV5| zKrC=00hCWcjRa8dt9yXDIo9At0!7`N6IPH$0(j<Ump-E0C(z9Sl}jM+Luhaf3hMEY z+s%RMC9Rud0*@6C3mhw;QU>HKuz3U2%`pbY3Ps(V0_1LviXL*T;O*vsN>z~eAv8Ev zKs_FEyE#z3q;+$2;IRT?fnx<!MuMCLHgABsIojY@p{Sc9f!xjM(?O0Eyxkm7odEJa zga*e7sK-NYHwUVhv~G?HJXSy~aIAnzc#yNe<_%CcM;RO|6m@eBAjirNEkvxKb#v~j zgBz%zeW@tjiVM(g4ycBMpN_Br#T=Aw&Q!QL;EoZznSBD<%>j$Tx+fVZ=Ad+QeBtJR zJ4SGGETG*SuqezN1!Qxe-JCfpgu6K%Dqv6d@`gW!baNoR4Oj;Ny_>UB6WYzu04c}W z&6xyIhUn%XAAtqwj$m|iAj0r&jz7o<q;3xK!B3Fx2+WPJZVp5g+(`j<b9m8AKtA{h z)=h!Tg~7Tx5K*uR;BL-o4dlcEIt&Tc%|UH*Sb$Hk+Xe0jrmH~$6tZNT^lnbL268v& z<q52_IiN_w+s)Z{0_$v!1WGpt!keNZ0Pfp>yEz<SCb*k(Pwo5vm)HAA?dE`+J9xS| zETD1*wVT5L7J@V{LDJw>AgK9-)XhOM7NdEI=;r)kg3jiEj6`i-f~3F(liAGyHyH4A zbAB*^R$8Gno4<gCApQbLgRKWOK#;mQNXBCL3(?K_0P`2fNK}7;q`(G~+06l0xp=xc zZ@`{F@z)Em5X4^~X|VO6DjcbsgJdj*zYyJ=Coq43j70SpND6E)ncW<4rG=-P^8oA# z6o1_T3qkw^k_KB3ssy_c-5exiG5m$-=G=h!3uGj!zd%x8gGue?fN}t+HippPMgpj9 zP1o6+E1(bpcXL22a3cYfPeINCyJdj7IhUD0i*~5j&AF_EDEA3;b3pkQ<b4PYjulYb zn%r&<R4-}WoHOuP0kOcb0xD%d&H|e^K;4|v;8>wvH^){HIacs?b3mmJ$omi)94nx< zHM!j!s9w^#IY;2J0%Cz<1yn|YoCP*-fVw${!LdTUZq9Of<XFMm%>k92An!wHaIApZ z)Z}(^pn6H`=InvT3Wx=c6;KHeau(RU0qW-L2FD8Zx;cV!h*&}E=6sU^H&8KVb2wtK zEKEBoi);=`H)kE(9B@Yj-aTQ6gO~#rg>_FFQOrT<=A^;R0ngjO&4G1uz@jj7ERoHD zc5}9h6Yl0L6bE~{m$%>sq?-fjZNRz^=-r%4GSF_0BS<;UZq90mGDJ5A`M60)cLbxG z0}+OIb230iAa!$)4_SnCM__J*b#ox1;7$s-o1=zi0`eh?@NNodO%yAvn*$LAn*i?S zJds9@WYEEbux<{dt<eHrONBa{(;x{6P;$FD1=7gf9Hu>3yE&jp!rRR`wHs?UX9E*x z%o4U}4Z@qEvVsY|Xl((Q3GU{6m;C<!<%cFxyE)+I4xVn#98fuf+Rd2(7J@V{LDJw> zAgK9-)XhOM7NdEI=;lm;H7`L%qBbu<QecD0?B;+Q40yUZ6TqH8X*TzOg&_U{NrSBi zH9(NMIY`E0_zTg^>45nQWF)G;KvH0X$?WEUt6V(YoEESrQ2f;Z7J~Q-Bn`G6RD~mT zbC8V1@E4++Qv>rC$VgOwfuz6&liAGyS6X<wITc_}p!ll<EClfvNE&QCs1ii#<{%l1 z;V(otrvT<JkddhV0!e`lCbgRb$^oF-7(#;^381z$x!oM7UeXq=<$yv6+|2>8z>Ne@ zJ_R`oY~BEMbF#sW1nPBj-iRW~eFEJaQ2qsZA3}p;1=O}Cx0?giOIkN41s*FP7C2Tw zr3}bfVDkp3o0ANV73y_!!bFf`1#dS8RQiCt523-a0%}{6+s%RMC9Rti1CJFD3mhw; zG7{u0uz3U2&4~ub3iY}<2ZWGg1#dS8RCa>A523-a0vhrsx0?giOIkN41Rg6O7C2Tw zB|OMkVDkp3n-dI<73y_!v;+~cg4WI9=L0uTLFX-@%;q>iyE!P`lScx`=Ad+Qj`PCI z0e3_Y-4kdx2P_Kfp3Fiq2c?@+4>u<O#XYcY4p<asP7ty=&~DB-F2dcMU0h(t_VRX~ zhIDfvy$x6w0==8_njhNDi2^Cd+08iuQHJQ|ARp}v>5gD@b0EU-ZcZb}2&8Tf@`1*X z?g-3{ux<`S6x>MxcXRB~Oh7)+7}iZeoy~!Wf=vK-bN=!nM>6P;UsyK>($;8EfzZga zIWu`60ZMK+r;`u4n<Kp*Yc~fJNqD<CkJn-C=6IlVb0EAaDh}Yj4R|)k0?Y(=a|C$5 z|9{C@Mrt<)+}y#_%`pL$GpOAh1F#UJc?psRw*o=UC!}r;lCc=gOGGzE2iCj<8Hw7w z1WADnCbOFZZZP2K=4gODfzoVN0SiI=1(F6^4{CrQb#su6#qbxRo1*~p7syCde}SaH z29w#%0av+rx;ZjnPoVfq0xSga7f2dxJ*Wyt>gFIBi{URsH%A2KFOZR_{sKvX4JNaj z1Fp32baMp2o<Q*z4_FA|FOW3YdQc^Z)XhOM7Q<hNZVm^`Umzn<{RNT&8%%092b2Rq zwK0SSHxfW?YjV3eP`#veb67wj1n%a5Sl~tiD4&9y1vYPhx;f0?MgsM^Ijo$Ba-Tpq z2b6z7-iOfOSOK-I$?fJq^^(@j`NIg`7|#ejL;_UGfSd(3Z-BZvzZpSuY}D)K<g+8k z3f^uGsPqAOA3}p;1=O}Cx0?giOIkPQ3p`dpEO1@{m60H4fz2DBZq8?LtWd9;bCVT0 zR`7OnKxHS$`w$u&E1)(tx!oM7UedZbZ{V>4Vu51?RKkOt1vYPhx;d}Gu|mCWjx!4) zR?xaR8jRouD(IX%lx{@@w3~y{J^9UyYz|5{=K%xE9B@Yj-pwh1c5}d@u<prb6mw9z zIWyqqc%Zlk*3AKn!pzA=HV4|xdH(ks!Q%=p|NZtKv=fbY(LP8w2h!Vsbs^BZIV{Z3 zZcZ^sInHj*T_&(HL^lWd8U;vq1f!b+5r%hjW`T@A>gFI{9suc%z}yJy=0HTjofL34 zCj!j`<jVsf-4mDzu-P1lDA)vWH%E*KIg&vK>chG@khVsP3WP@P=4|>82~cvoIg1#P zyE!I{uy%7mk%YIK^JgK}Zq5Tn<k=huZ;HwdM)+*b1uzrb&Cz7|{{N*?CaK*VaB~Mw zH|GqfoI&m8oB#_!nwKDHa4Qhhd_wBxAQ_9%yhL<!jxa(Ot$~b0ZC-+;zy_1q%>g$U z@N{zyfIWfIY~BMFg7^z04YnTC072^JAQ_9{FGM$I2h3j}BT@YYk^&n{W;X|1<>Kk) zYyo=$#a|o1LJ)s}q`}sMs&J%k4wA7L{z7zf*1-G)G7{BaAStlHWOj4Fl@^|E&I+(6 zQ2ez7EClfvNE&QCs1ii#<{%l1;V(otX93J#AR|%z1(E_AOlmg=lmkGuF@y#;5<qQh za=STDy`*(>=72&7+|2>8z>Ne@J_R`oY~BEMb7q4Z3DoQ6$o={DALJ*{AQ*ve4k-VE zltO55tbp3q<aTqQdP(c%Oo7J=hy{)nP$>g)7TCN2>gG%a#|rhjIUT={V+C(F2UPli zybqzlu>xvaliSUK>Lsn4(*utc5DOeDpfVEVEU<Y4)XnJz#|rhjIiG$Y#|qwV4yfz| zc^^W9V+GWvCbydd)k|78rv)A>AQm`QKqWlLSzz-9sGHLajuq;4b7H<DVg;?6<M;*K zK<#W%0e3{;-IEQ_ZVp%!)~yghF$bla^Yb&z9B@YjZq5p5HwP>VGv~}VWcQ$Sb2h@w zalqQm0gJ-SX+bsz+Rb73NVuEx<^$NVy}Y|OLb^GS-Uh4#fZolK{r2s@A?SjR9*}aJ z-JEY<!O9Tb9ONsDAl(s+ZVp5k-p$zzG6JcagM3jBq&ot0BdnVP5e0Wrz}=ieG!u|7 z>Vb4mU?#x2IS^5>3E*yy{#WG40bO1L>*he(8Z9ai8o8Tu`V%BT$?fLs{_+j!Tyx*4 zSi3o(NW$CA5uJ*)n^S?(&4KWys1$(vHsINu3@{Vi&2jqt?f*;b7*e}A;N}jVZcYlQ zoI&m8B!Gn=%}bCpxD^O$J|T5;kc`D>ULv|VF|g(($Vk-YB}fWvFqz#PaDxF)Hzxw@ z36y4Y2v`W>FOW3YdQbxdshfjjEQY@j-JAfJzd%N!`U@llHkizA4!Fw2)6MY#djiE@ z9$+Dezd+Jp>p@jGQa1<5SPXw5x;ZW|e}Rld^%qDAY%rPK9B`$Dr<>yd_5_N*Y`{Vg ze}SaI)`KcRq;3w9u^9eBbaO0V{sI|^>MxKK*kDq-IiMT>s*NEuxRC&ATa(+(f$Al# zn_~hBA#gVb!~!=GK=~BpEU<Y4)XgylHxj7V%`tzEDEA3;b3pkQ<b4PYjulYbn%r&< zR4-}W936P9fLP#I0hKZ!XMxQdpl*&fI990F%~|*sIacs?b3mmJ$omi)94nx<HM!j! zs9w^#IV$j20kOcb0xBax&H|e^K;0Z=aI8?To5TGEIacs?b3kP$$omi)94nwUHM!j! zs9w^#IWq8A0kOcb0xID_&H|e^K;0Z^aI8?Tn^XD<5i4ljoT%sE1}f-k5tMGl3ure7 zrF)`_Vh&0-M+j~XxFZ7Z<~)FQbHJjo?#a`a$nHVu=A3#4bB_gzdtkFUU{RPkbCJz~ zc5@`35box%J^@?O%X?)Bq?-fjZNNGJ=-nK%m*D<OFYi*2a-7{9euy$eHwXFRKuC84 zqniT}hIez$yZ}249(u@E>_NICFgL=wIS^5BCk5Qi=|VFB`HDSA_XK7FteXQ71)Biw z=6Jk7jvVBRMIddB7Vx>bsC#psJO#U(?3326JV);4q;+8J=71szZ#PG;18X-&0HvD) z;Z0HD0QYUc-5drm6Wq;-e)jGE%MgE3yE)+I4xVn#9|nxA`ai%zkme;w8r%v5HJ^~W zIY`E0G%pd|oG%Q}*&L9OsLe}|6xd)gyE)(n1D<Zq2e2nln$2&(LJ)s}q`}sM8X!pB z93*2g{DtV|yny)&WF)G;KvH0X$?WEUt6V(YoF`yUp!f@XK??MoZjdzCdQcUP)XhOM z7Q<hNZq6N;zd%N!`U@llHkizA4!F|7)6Kbo>8~qbAxM0Iq`}sMDnX=f4wA7L{z7zf zF2MW+G7{BaAStlHq;_*aIRI1}Luha#0o1l8x0?giOIkPQ3@C)a-5d}L+(-cBQ;@U3 z<_%Cc=QIOodDLI<6^fvx31r-{gL2YZz#~MtPoSFv%D*7*LuhcUfZEpNc5|S5N$chu zfyWAn1&$R^DFbpA*t`Mi<{Spciak=SfLuk=9Xlu|t?hb%94mOcIiS)9<b4PYjulYb zn%r&<R4-}WoIUVZ0kOcb0xBax&H|e^K;4|(;8<w{-@OXSD<D^qbjJ?LNoy+ikz)mK zHwRR9g1ir*!Lb5rQ<K}xf$Al#o3jNTD<Bp)RzM{@$XQ_X2B@2}85}Ez!I#)VVg=+X zk`9zcIccr$E+SUYx;e$Szzx*S78P(u1m3{bfS$Al7KL>y+)&Ix>E>v|%>j2r;N~bm zPg(<u!p!-12iZL+-JHiaVeT=&+RXur!pzx*Y!0-WV|4x7|Cfxeq&8H+6&9X`>Kaf= zK^>1@0TzNZ5J1x4dK^>%A~jTzjKydmAR4MmU=0M2k*Ey>kQCTpa6?u1C)i)0rXa4P zjzM>vKucB7QODpSA5TMd0oW5L4Z1mCA&9>~(qQYsg*D>l6C`6X`~`9y<cQfBFn@uJ zMD-U)3T!aAq52x)FHlnum%l)FoIuMZkiWo%CZ2}s6tE{y{51hA1o0P08f-ndxSOH^ zYF45di{USj>mdH>f%yw$B&xqaQecBYCca$x3mjjdrXVhVf$lhg=4_Ayz{L)phH3}c z6Da;_0SiI=1(F6^4=ykeXJsK7i{USj>mdGWfcXn#B&xqaQecC@4b}AD|Nl=w1|H3C zJUlvUR2)1yOH?d8x@%M{_9-$jFueGD1(elmKw|q1nn0@uYg9Bq@){nk|M{mJ=x|XH zFX4R6;L+`(qVfL$gyG=P?V#ZSxiQyAMU1}{lzt#m7RMSoniv=uLFz3$dU<&mKxTG; zjcz{TaoD4`M#bP0zW}SzBsQ?2Pz?~a2P@)&Wfv6%kRb|?tCcxE@eBH>NPOatbWxGm z2f8ZrMaN}uQPf+aVgPcmg-7G@3L^%Fo$_EST~s(;nBDmH|E1@D&~3ynDiB9^J2=1{ z&d%Qgl7u)ME`8hqv?qt*rQUy}F!X4CQvnLU0#Nv6fUL{drvwVW&6gqJm*LU9e+M-D zB0%yH@bKe@g<k|L{0dOQkFPWZ;)IN24Fb*3u**P%9oX3BBNc~1!2wkR4z3Ij))UU4 z;B`?60LcY_E>Py^4q*9ysr8}*|I}j+9VIHfrB<NZhF`aWR6s074g;Q&R*2<rDVYDW zpy8I`!D^tvzyO&tc2V&Fnd1S86wrOw;DB{e$?#wWU*^|*fCF@AsRc-t1!$~=oq>S? za@=FZm2dw)@e8_uq6@46*;oyb1`T9m!Lgs=!3w@l6KbpkNR<T0SQfakidSICAmJ0g z0Pn4SHi)wXKJg2<s5pRA3@F8gs3?5mj|@=}_{1M~@e_Z<A+TE#Kqh8*uuABG0`fRG z@x1tV6%?r$Zj1n_jX-vz14typgB5&Z9@LGnsIb_F@OtfKJYL5PQJB}kmsUcJMT98A z>uT^E0QUO*KC-<2Ko`mD%va%|3H2K|WT1|~5(1z2b$nDDI(<|Uj=QL&fG$^r>RW+q z>>5NQL8ZaAl3{ECvaz98zWslx`1k*RZ240els|<pfTFTS1(ZJ>nn50|QK2Az&cKpC z!NwxxPmmf|{$y|gSvffJC-`O=Xow?H6C#n`xPT{-;?AGo%blRcV#}Y67vL!hls~O{ z$V#LqbU*<K&7X0XK#@v9{sdp&0(B#{{CW309<SrhpWqvmpvEFX6p=sM&ZBtUzMCws zPeAs1@<q6j(2N8Q8K@&j$)6F(#`0ho3$~REV-=8%-Fg9*KO5hG#^^jc_o#q|)I2)3 zsGI;*)7^W(^gb0(UQIs(idKk-NB8~{;Ox3b1=MEp=!Vj;Mu~d~52)U10n7dew@X?e zMk6;(+)8U8sz8<<Yfxwb*RCyKJ3V@N`9V(T>;PNTcm(9Y!=SDuLNNo3>%n?J1e5?< zR6q?akSK&^=ti$^T}$0xGrVSa38_Aj+=N`~y70H8{r~?T;x=%AfLz_}-~g+9J;0SO z)S=xC3{oJ=T~sQLx2PNdm9dapxIqI*ARZ_sRCIf=bc;UdVq@S0*ZrM7Dh{Cf-=4n} zbQliEDi@WCZjMeLm6C4WEnRF3ojxiB-5_3wN`dA@2mU$7I(<|uKzhvidxDr47(o8$ zhFI9$qXM$*`^DB1{8JBggs7PDx7vf1fWiV4U>-16LZSpD42rmJUe7KzhHioG0v5wl zoS(j5YyITFKlQjnM~#Xpf9oT-5n#0*EUhOY#+&fBJ_O4_J<N#e;o~hTpspCm@5ftI zK%F}f6Wy(fCz>BHb{uLw&=I0y%-^~iY+!c_*e9^Ys}X<eVwgC{$nGAnahxD;cDktO zbcCpA^S91MR?^v`0_s<ELoDuWQ2}*Ux*>wi4;T^BAaRHQhy_*A-2+yS2uUseRtNC- zjz{kvl?|X2*^L(Oh!BMabc8x6Il$856mT8@O)!Dd<UR)m1_tnLqMbe}DlXkVDk?AP zj(__PYL!)h8m1L5@=tyH53&rDl0ZeWc{{XR$^bW+GCuLg9fUNoRZl=ln=Rl}2g-zC z7c?F}poi4ZmUwaY%(wrb)bf(`@BjapQ3i^=mzz+fUM>Pv+X$~h{N$nnb>r!i5I2GX z1l&-|0Hr9f?XZOAqLP3l2Gbwm(H)|a;M47*5`hvFBA}oUc~N`}5)=WTpa^)80<{Jd z^`M~8X@drZ2P`NALR36pEk;P72poq63P=~OK;d|?<Mg-xFJJwG2M8#M9*3kp5c8!u zYJfncz+oi=kph_y=EtM*p=lm0<$@fHtOERqlySU81vESWvg>$@3TU7L!~_KyD4l@% z3LY%Y4;edqz;ejq9N#ZCKV<Bl0+xUjCl37Eu60fU8+g1$1vEMUGVFMZ3aIM`Vs=9$ zyZ3-KeZQ{wtN9V5L&vq&OPxL{ETB?_xwP~(LpQ`Fu<XZFn)jOFI3(|YOgRq8O(14B zB#(o}8yOfF6i*=X6)3-V_keBY1PMcP7l;Cx3o4!%OAW#4vHcG?_(ARkh1TmSP{)Hf zP{)IqFDw6p2LeF(nj7K-gmoZuyL-R|PV>kA-BZ8?MDwTriWi$d{s+4YtOBA9>RgCI zP}pEo2(kvhiC`6wV8L%9Na0J-|Np_8JX!CyfI8JZkov9hASjo5be{L<JO=64sGa=w z|7F;p|Nkdo8xK$g)xnRCfa+k#ctAi4C^6N5`fDHpR`tUBvj&iQ7u*9x8V}&(Z{=YG z)gGW~$>LbU3P`Q%qJlIY05%#t9?<Qg0#yTUGh2AD7MOvobpZ`Sfb?`Xe9(pnD1h>; z!ix<@p^Y^Oa2^Gbydh0&4E!1wKJmv~{N%x}bI4;qC<!qzFf@1={s4*mNaNQ#;lb~I z98?YpfL-R%-C$q=I)Ie{WUhusw>=0M{_xljN)jOK(fWgb%E1mF72cB4*9?XSJi2{U zEIb+yYJjzcfLv(g)9s@o;L&-$^HAqO#~q*+#c>uD4p30eI0`BhU*7zOYy5$SzXc=- zshTmyANE1@S$K3efGq6xNNGLEKLwP!xl5M6%tIcYz#5-wAV&xvM#Se0>cpo(E4UQ} ziW~U&4%ld<_ynne#V0tBJ8{S7gTt8d+0sB(d}e?nhUEB60Ba)@pC=AeEj~dOk{qAv zp!jq?h=|XGR#3hGWzB970n4B2u<<4w`BRO*RR}qMUceHcV55=Z6Ql+fpBXYBYoYN8 z8nVG?1FQ14f)+YJ5;iFPb|^vf8Lx2z8-s`836Gti`~|`uttTLfT7|#G9_%c`1BNFZ zJ5PG_in4(ecY_8j8;>=BjOhIC(RmFsr|E%X24Wo~AA#xtP{vi}Z|wjZ3eNGz8crbl z^)slk)!6~^>q~xcgBw<qA-C0)_**XjgS6F=q+t#99Z0zp;mgo^T)tE+aRiklFdyoG z6cXV>pF^Ou_nP6QHj;gyAVEq+3MF@6F9rM4qq_l3PM*Kz_P_uCUoL=YhXe(Blp27v z8-SVy=-nE*(rQqJ3X(?+VOjoGQ{)idfgHl%t_?JV*Mb`=NI?i6hn3-PiNzhlPB15X zbT=SE_;W3;5SB&_;Zq=mM1=6G1JDqjiDVyIC`!RY_*etTpWxs_4Gu~ER!~0@k`^tF zH5@<=4i}^ZH}4OwYC(d(WdrWum<n?q#B8t=P_x*L8eBmmUQ&*n_|}6I5)m}#_k)7w z<s+!g5Dz0~5i$N2UTAj~DSlyD<P%gM$dzaTDq6DqWe%t!^JshnYV3l>2S9CB(D(qT z%?4^*gXn!4pt8?>52)<h18#kKbnj<q1C>L2pgnRZ4J!o8VdDd!wl1Wd0%;DT4851} zxAK9zcA(ZT$f9En32oro4bsL%j1hn>YdivSBzTMfp%^?y0OESE!b-*pP&TQ+mW@jJ zTW>>i3)F`0h8di&!cnXmSIm|0x0rz)iYOdkR)N}jTOgs)cx(d56ddIv-#$<T!u;sL znjs1bIavP@Mq`d;6!W(}Lv=0d0bb&?7V)=&E_8X#0GjJDt6*aQbvQwtd`M^R6Tg6u zO2!M;z2E*Do&;S(35q6<Zq}MA4hA1+ix(F89*xHXazQ~f1sv7;z_mtajY>l2_s(-3 zogpd_ou@oNjW5u>i^o}1%0RiS8`L}ObW!0r?xG?P02)1TQIUYjM1UHB3ddbkG(er@ z4Gb@O_kaTEHN(p*;6e&1r64EsaQ+sMJScCYs(_{RZBX6d)PNkZu9dhVHmt-6IZMib z6m}C8sg__jL#Maki3yYpkm`ick~^;#!Xv)B0ZlrDzvao_|Nmbu`wOYykh}$}L4)~Q zH#0z5^AJmpHAJ9g5$GHrD2u$j0WQ{%RU+~Sa)=m%k_6UvKoEZmC@~<13L8i<aiOBT z8x)f-4Z&p&l6R2fF_6DSA6i_Ys({6#Ah<CA@q`CPpaqo7dztY6|9?=*jwwY;*`RR) z9~F-m+Pfer8$6)FI=388vJO$HfTw8(SemxLo~9i@DHm&+wgAZ>r|F$Lzx{uy{ueTd z>Cybg0yKYV0Ghvq%<t~g1eK|uw}Hx3@SN}d3E%>?1~l&qB49-+eEwGfQjUTr@R0g@ zV#w`DjbjZTAjt#NK!o=Xz(zM8u>j9uLe+q)E)7Jf37b5?EQdsq+mjj|-3<w#!mgXa zqnr0KC<3siBN5E@<T8-r?h+LZkH%vWAR};;m-lvn5)mk$qx)5uzqK7&BD$z(9BX)i z>{n=e669BSd(r@0-yo+xA>{TXTpE`2VC_j%Up_6tRelJfws!V_6cXXXKieTbgtsR_ z_JM)~sq7GdwRW(`@uRgTp+NzSQsfBI0=Wol1o5G^Mi@Z~iEz3j*y%5wz<CrFKga>h z%ij_QZ$DuKurs)1042`u1~d=zz*-{{CJ<_mXo2$1_RXNY11}~N+Cfz#sNMlrKCK5J zV+ZCX!jP1d0M0(pHUvlrsqlf7wr2dTZ=vZ6V(zhqigwfn2`8v}>+As8_If?6Xoo06 zE@~^}A+CwQKDq|e=+WKa096^_(Osev0IH`!gG#$Vwdo6&t&rhTkK>R*Xwaz2@fH=( zDjE<I)Xs_M4qyS%9^D=+oTnW5ryl4GQ894oa8c3cZ;gX`#ziFpJoVrLQ3;xbaOn0? zap?|GaRALd%mvLoXn;lu)%kltduBnMjpLA(3CN=3Eh?ZjFCb<kK5U{`#<h&;-# z#@{Lqwy_)HMp&?_^0)HC#6guycMsS&*eJh3M~I3%e=9q(l1>+ujBbdnoh~Xl-7YE_ zps{?AsEbMtgb5by_EE_}jONSnx2}UU)Gm4SvicT++Gst{Hrl}g2~eDX#^YXOZv<t) zmtUbR25_SnItK(#46^(!AbGT;(v6rUx(*o@1~scdjUs5ofS4~2K*pV6QZGS03TSwO z<v&B@L4g6{L&F!ue0c+$Lm<h|qq_l~kgy~fkK-;X6`)8t?xIoyqCwFc(d_|E5CJTZ z!Q)OJ6$wz>iu1RE#^pdBf+YwWkPZ)U+OX*MQL*U`QLz9e2=HW-07w%*f6shy1LQTs zaTk>WkS)huR7yZ}H)ux7N2LZe5%87Pzh>xmQK^B&K5uCSSZ@YM&v6%(91z_NNnG7N zDg}xskRrR=N2P=lBmj!s9FP&95jUPvFHnkn$qfoN7ZuQv10dJbfCDV`|NsA>fB<tM zARN$P1PrgcKrRLegIo-vUzUOfnq5>fxLs6oz_x<YRu8yg3mG#8C3B=PV~{im`=}Iv z<U>?SFeEd&@#@b2C0&p=vFXovsR<i9o|%U$t?pm<?f=U_XiEaJs?4MD4R|i5a}Q)@ zsB??T4p6z&y$4M1(*~7~)7OE@M~H|=_x=^&@^KG%@(k3r2bD7LMN;{&{u)RWTu?%1 z^T72dC>lV+IeGl8r@@&Nloml29%~TjfEJG+E~38%wyg08$dTaw8b}tR7+gq#xUiN7 zq&xwc2+A$kdTqJ<t&>o!^<aGg&dQJx`r|F&c{@<5I^LoJTB!_Tf(!(C24o;?q6H*} zEDoP&0ZBme3Us0cH1CCDmU9Z&w(dP(o6sg&GC(;doximV?A&gMYha<5#^0I;69;u< z(dIf6Izm+9`CHSFm2^Ui0mNJ<XiB3SA_yu2A*^o5G!R4pNd<J022nc3@we^(myY1f zBn~ZTK=Y8`XhyEZWBFS^@}RN;G6fA&0c$D!gchCPsO)aw07VG6Nq8e0S7Rv#wX?Mz zq_7*BavP5^fK0&AB0RGWTwH^e3xeVgY9~ksQiCZP)Y*bY8aT600hJbzX)F*QdD^ZL z>UXe7@D4dB0pgyv1IdC?6J%^o5j02V&~dKyBq+|KU@IM9iV$<@k<d9dP_Gn}Fro8a zASPmt4O9Vv=dwVmpwZn8nHdDnu|Z=MM1hhMs7#E2&av@Bd<ilE<V)}z8;k>;cm#>V z=GZ_Ma6=LdB6Wex#XlnkRsqr0-2<M71eIryDN3Xw5vl^3F7eLVfgA!&%J@wLDFn~4 zp(RF8K!8f6aQ;@%(G3t;P=p?9U_dLCpj~88sq`}S_kYOYL+Y8J5_bx?#5~pn(!$`; z4dHrpPs-2&H5MRa9-!h9R8@C^R+4ps`j@L$K_^Wrz>{DglGi^SWzyu7$1YGDLngt% z(_$B(lVGPjKx;odx_iJ@do&&dC1lXNxd&)As{lM@c&$4`C7|0!B>;6&t9d0TBY^S% zJlDd4!JWSqboCf=FmRv+gBPfd=<EOoL)<UW04QqShBtlO_*>RP+wiC=V7Y(dKWHGn zN=FVvkcG&BxBwc6kb)Re_jH2BC_zhIUZkzW3`DLpN(0dXH4s7VTnE%Zv|9n)m#vEA zc#rN$H?X_b1QcBwFLtcJbZty3xvpISD($*MR5VasYtrqbVuI@03Cp3btxrP)wMX|P z0r0f!6qNeB(?vxE<XVXrTv%MYE`?IpN}#${1+=^c)wPe7ef$4%{!ct<OPs$Y3O?Qi zPsK>hY8#ZM4!FUCZTv(B)W+Go6x7CnjGs7kfO0Ho=%gD&kT8CNm@n5j*02DQX+T|6 z`1lFfXr%EIkQ!)b8$Rlccl_iLxCsqvY=e698sebwRM7az;uKt!r3inEIk+i+7;-KH zDTa2@6+lMds4eF$hZGVZFF@-yq}BWw(}lwPt)LCppf<s42KanAyyp%t6oioH%i+?n z5&<?}j_SYD$+-L{SYnSn#<&QikO;pWS_bml%TVa>1IRW|P#`q}1WN9|UJeUsG&z3$ zmdBuZxE0XC4K{uOD?|DCTR~MPs0;;<FU`P_7GAyww|?MiplPAI0THtolW_T*7j@ue z1xO(gK0mP(?DI%)%LV3e<R%*re~T-0Top+g7C&0JLkzT@0Xz)^&TAk7TYl38<u~U= zi2Qbe?ED65Zo|V^qZ8bN03}v<eghkgl;1#VpkYj0e(M6~H(1sIm9rj=hcrO*F3pEH zK$8{<p!!zBqw&xTkYINSXw=orqw_lE?6$xnaJU;n!w;VD;rT*@zXc=-@eo`Z7Vcu8 z4jz0;eRl$=42KMXf^Ei;g=a7P_WyM^C@R1hTYTt&;$!myM0`Yal3V^E)+1;fYuJG$ zKEOsJ#Ro_YN%4VLj{wTC;NBa!v#>ZGS1!Raeq07p49zJIK=mh%z?%n-G35Aw<!|)y z;|<7ug^nMC{7RMaV}vh{#^LfM+W7GtkU}DSxCfLxK+BwA>k&Yq3JMaGauB*60gD`# z@ncX>K%=y~0pWBmkc)^JKYkdCNZBBlc;JW}MzGVVGJXuQ2Fb&y<Hw*wO+fS6psEct zpAAZZpmscn-lq@BJLPjhd8b7MB;wKC@B*BDAY%!j1~zC20F=kUE6}n_#6al@A`2cL zX*>e5;V?uLYzb)m5SGxg_*>tC_a}o)0$FgZ!J!LW&Oz<#<%JF;gRF%NBqNl8$4Ee2 z#25)^zyM?xgvOks$>eVZ4H-k61Jc^v0Xl62X>}<`(1R7UIs!D|3-(<%#6KS0;O*rg zqoIAy&Qk|}$sc?r&3N(PPu7DEnL8m}zT+(_ptDCn-J0VqDj@SgOqjEvy|~VE-8=@+ z#jBmy5B`@w_+Hwv^MWJe568v_Anh)iha5XDcKWEKxOANC4pB(~Z!8DNz)gZIW^F#i z(s`|0!~nXe)v@!3<G~;Djt5^zJ9hqZWjy5A_z0xSMf18t$4{^!ASYqBy7|Ea$L}{> zIuCSS>kVOh*f|BfezhAywO-<%f3U+xC7Hi}7I=K58{$#OhziJ#Zisgw0w7zCx2S-E z66F8mEh?b%S3pdtzV04y;Gm9+Ch@m2gOdc*ELc)W<Zt~AvlL`xcMsS&*x-0fM~F%^ zf9q#tC7qB?SvSPuPROEW=ztx>iJ*2hbg4Z=0JMDossdv(K@@-M49F7rZfNRc1+Vn! zgd`8#u?|T@u*8TuA`;2pnhrkA0u-q*g@{p+2>#Xxurz9r!F(Ok5uy^z-x`3dq!Y3( z9~yj}Eh?a6RiG=_A)W*cH+DnBAp%G$K!=PlFfbqn!-Du*z1cxC>xSU-fg#z$A{DmP z65@A|;~_E`il8=o4|wp;qZ@SW)eE(mpq2rs-Td<FZ%B^^UJxKR%mVpaK=P2hiL3(F zMz{_dk^oIu%!vRMqCJozsqrA#PVfTa7rSPB`~P|dbon-}&C;Ne5@>jWHcNvuG-SO_ z=YPk}A3lu7y6s$GS=>d%#|3%EzK@EB3;3L(5EUQrR%URzp8`(FXr^K8kp``92kns# zQE{0GTIlbAygNEX#RY60DBHYT1X&RdO6cHGDR#&l3XBiUOJJ!t(6tPZ@V^0Sr$JYR zABXJg0p+;kEh?a6gFsABTtNmJ7`kC2z92bdarlTYNCIWV7qnLHI3$IE3_A`<Mj&SQ z6!6Zb?mb|e&_;Y6K%;;5(53YtLt)w44m#`y%84LTp!*9z%x*~8(G6KB4j%Rcse)!_ zXhH=K`$2Ophys}l8uqh=4*Tgt0uq$uL7@d6_JeVtjt7auhW$Vma6<|TMCk!Cx4Q>i zkU>WCK;;<9XdYMvL>tt(5QU(Og-s#I8vG`LRY1!xNC4n45u^}2><2n296Cze4bK_{ zpzPS$1KxXcyahav1`68aEh?Y`WI#;N_!?w1ma{vMrTGzK=M=CUvbf;)OU;iMyZ3-4 zIDdg=PaXNU{pf^Enn11V?wkPL@&lRZ0ktBaqk$Hn^&AGBAu2jAW=_K#9<mKa8*teN zau{Sp@FQqh{0HcW;5pEsO##Sd;KUBe`S7up?h+LP(8L^Q`8(=pOUcx4|6kVr25+(e zWmj;Fulj|;ht||!seb67AJ~@e28gd9BjngCG*I3F7bBqEZA>9ZyW60%#jT)LGo)k# ztK;Z|><sDVy$}rE<CX)Rh3F1Z$pOtQ&Ie63D}Zv1Jb(X1a5oLS1qGD<K%s+_p%M86 zlrB+gQ#t-tP@x0f(E^eMWe-plE(<#i0Y_ejRP5b7;4BT!dZ0`Lty8*tz*!ue^*|{c zT9<y{&(s*!Tzv?GAfU%WX#-Qm(NcIK?xH5zf@*J2C+I9#ny+C}ZXF<%D-k_;T zNCCtRDSQwW9@sHG;Kmmuw}8r0l>7%)0nrBa97G|gNW!KN>}$Lxf>l7=gWp7u!k5pX z1H!PaYS5h1co3FRL8$|}H4Pfgpt1$T1SNZrtVcH@qk`m+#o-whB!QAqLB#|}B_v71 zGb*GafR8v!fHJB;XNU^Viw~19GwKw7YGqV`?hqA;ZXXp1)Qoz1;<x`VFMWrs27|0` z0iQ0pM+J0Y5vYGM1H|v%qXMG$8G!mH*C&AbClC>j?)?Q_pzi%170}`OpdtuNLk2lp zR6xrsU{kmt32+ysy9Hu8qGQsd!pF$K0Ggl&Wo(dD#~Mz+`XwMPVg?s%UE>kZMNQxt zT#zh8F}QaE;(D+un1bBYq5?W7A7mnghBXLbr(kSR;RV?WnZi#2kE0;D4AD~RQ2`Ca z^0$Ci_d$vjaNvNPk2E|CT6qLI^@%myAJSL?JEid;xakIIEcN!owg^rDoroKL;1j=K ziwcMhawJ$i>xmSQ)1f=sdnQ9Puu7wv$pC5&g3W~N;7XYcijo#^B*9MqXaUCvXqgWv z8-td*wy1zE3W6kUh%EML!!0V@Am_EJ!yDhAr~|2mwUei)aDi2bf>nUFure|*bhfB~ zt|91#P~de={M!zK?p0)D0B!ICT@uhe1?&dS3;a_-GAxV?3>`fx%wWSF!+Ig00O@Q2 zucqjRxVf`M1+=E38zR^Y-c1WyY|#x7hX^34=<Wflhc&9Fs4#(Tr~^0K;bGm~AYcRv zY)Dw(4=M0A>WRMail#>ebjYbg#}<{}pi{qEckqFl>>#5-Vbu+)Za|j@bn`~~vN3e_ zsDQ2j=<ZPgi%kLZpp{OK3g}Q%kU^jti@(1MY!JBq0EGi+Uoa!0doV@i6WF>Euqu#s z;8fGS1H2rkSM;?H8-w9D@IK!c>-)d`?`(ls387jKIPy<9;L_2e@)2Z8%QI1sqYW<^ z9&qeD=+Vo&)(4bww?L9n<FNwp3b5}Uo#()*47KFA*bnPuz+C}JyWqnCAVCU}0R;!* zY?Kxi3vhV?OR5?0BV;f#>nDBz(1|TADh9|px*IsaX}?7UbTtI1yaCZKHupj@$`){L z0%t1?Mh4I-bBK+f_#+?&ed3R4QGrO|&w7FrkWB>n1RT;t`|%UMP7m0#ojqVTfwr(h zGa|w;NIr&U_WAutnHb?uNB~00*B7UIzWoR9k?K4F+L!#r1H3O8=Eq2gji2}hAq-IP zfL+~q+@lLAG(gkI5b;AGafpHEe0o`b3xo2*7H~fgwB8<Ed38<!SMWZar$F@?s5u83 zr~$PyUikEX`~NZz(yjxgR*(l@zJ;{=V0@^@!BUr?-BGaR-3=3jK-Qtgd^fMU7uJ&j z_NZJ3CE8X{27r{ppi;dXQ6yahOTPm*-9YOS85uwaA%nINgKpvi6?>qSZXkC+3PaFM zP#{sz%0W;G)uRGZhuoZ-qH+~%=2ozopv8lX3=E)F*TIe+aEpw;bro3Zcni3+0-1Ik zQY3+x&~g#94vUe20o;0n7FwWk7_`_BCJZgQKorRMLmg98E;BGNlxBh3b?T4+1?^Y^ z84Fs^2ld5E(Ci7OUqQ0qp<<|q!F=!vv=)^HM6iGY04!w-Y2tw##|?2lqWA^5sT-1n zp@la}vkfc_(F65o_Y@V7!5FGunm}t<Xyi9Q%U)3aJ>CMorU&Y~5|E=nO9HxEz_}b; z_KJESmA#-=C8)>*pBdZw3mgZaTm>=<sqDS$PN?h!HQPW2L5?{E84C*WZisWbdsIM9 zLDV9Lz^P#y+&YL)U}bN<JEH70?!sO69t4@vlE{Ht_8PhqU-pJ}LCW5jjgYJdT2%-N zv*Rsb2ZNX|Eg&s?nAA%R(0mVQEa!w9$f6!-A%Cy{T%3XypMned7n0o|vq6Cg@m)g& zSOKCyhYG`@50nWBR-GW@KnlCzOFw(SU0YDlgU>RBZ#{+B1CK+vMo@){y$+potg{Ea z<_%;RXio}%52%cS#v`Z{!l*+xfnuQb6k0su@F^sEyQhGgQ?R-<xC3|mZUmX$63vDj zzo5NKplYn~Ah=TP-U2qwqw_pC&{1pF@(xf8yp)Hmp8|OR6rsq)s0t)*VNx$eP;(ac z7{lt1?sl+0KufE;TU0<4qKyC=9OZ9e2Di#!Z3I&MvA!MT4^Rw(lMK-{Cqf6cS_e~G zk?V45v<^PEBb$hDF}U?kL<@-Y)<I)C;nu<07L?Y(H3#ap4irHtxN{5m$O};G0Ngo- zp8f%C9e`FTfLaHj5#JYj?ce^t4EO{Yfd;36Zj?Mmu-NDpm3Kla^+D%pfHL`HPzGzg z51x4d1wE*R1TQhVd7nF?m-@_*_BUor4AftPG#NqWfSt$+DG;G;GVZo-|6jg`j!uE) zyBk0`7(Tr>MP(AmH7&xR{c_j-Ko8vTaYQL&k-C6gjY!crfLWrc2{{2%BTGDJK{gQ) zp0vvnc`Zm`iKD?hs}Utj9JZxymM{V}Q#!YRhkHR;0z9zWIR(6MADSgVL$aVvcoi?? zTE6{%>F^$!Mhxt6rIEDyZ~tGOfQ+Mq%J~0~;vUp!1TkN_ffxBeyHIH9F+`=Dzh&Rg z|Nmb$LKnMXOSK^?W&AC>zW@LKviduC%n)P^dM#7+5k=}{)<>wz+w4$Wz5?7pK(rvP zH=&gK-3=SS3LrH*JY66<-=Ky8!J+`952O&$g78r(0FBn>^S82r*Q0?{pp*n40k9Ul zZ3iC}=;HXETj2HpuAX;@N*aIbaj+7Q!O&2}?lr7ctwIC1ss)b#pmxVyR8sj{Ovr98 zxHo{p`(^P5l;}ckFF<<<ut<I>h1y;q!4uK-U{8P=3TW*G7nKzLmUrMXnViN#Q$5HN z@Q@wAk};zexg4WL$#|?D*+kO)NP1%-q@Hle*ieH~GOo0sZpp|AZY-3jM1ZD?B0x*q z0>A~Lk4gZjH1v2O04n8-UZJ!OkW(VTBB5K9(F)!=@KJFAl?KlItrx&)5ERNNr9n6E zc}t4g1(0G6+AjE9`|bZrNz~B{ly*UgiW7f}HmFhX4%R3zw?rv6ks1Z1l}OPwfJr~M z3OS)tBk7;6K{gQ-Dd2*WXg`vk^doDK!V*WLptTYu>8~@TZqf&xumzge0k8PC0403` zXwo+TC4G$-qBY<Czchaitxna=aixpc@^AlN-o@Pz2*ukFQ0H%X3Tg<fz}pZ|<8Lv2 z_5c6NZ!e+FnP7&?Ip@ldf|m6{7-aAcl*>R73!y<nu8?6{)PY`wc!+9Hg$z;+p^>ka zE~o&{f3<+edq43Dw17uXKk*CnfJHzv()`m8@^8D?IR$+{wHqSRy#+kE@cmlrC;q9R zNmtcUPS7yGOZjI=r3@=_uM#w11ac09MmQccLJSfIr&iceb1f<gphB&C514*oUyhQB zGK@i~NI*0KObfQCNWcc5L56+e7nlPTiM#cQKjttvcY!W%`@|pFqXJ<FLKxVFZ9xif z4%@;K9C#aq1Sr7?Kogt*D8X^OSW@}z|I1@f{{MgZ;U8?S543>Sqw&oPke535s5}7E zTU2g<=<YpWdY>U^zVCSnDB2++9^LzYK<E2F!;v7Df@#=%A82a;Y`za90h{jwn+}>V z0}XG2Mo-N^GjH{faa@p<#~K2<q3aMqT*Q1I*t*6eAZLQ-`#`b~#o+lq5Z8nCg$X2e zfd)50(FUP0=le`SwxZ7W!Ci(t-v_$?7kR!9SrKe;6llH=WEaE*kQ4V?z{9{GQP5Z_ zXvPe(DGxE@2-*?G-^$Ak8XWTIWo-_J929Z_9O^ybQ1|HWgdA<yqXG&-P^^P!@Uf+x zTU0=|;DRT3JUb777EOWpFPcli<!mQpd>7;Zh_fnGK&!*HfJc2jI*;$P2Sun^IVeI~ zpq4d2j!12RTDAvFzep;9=0-?Hg(_wh@IkCYg9I|nMvHx*+ZG{5`Y#2gnBF}qAYXyg zIcVw+;iwz>2ty#@1PeDr1_WhDa3KJ)A6y84%=p9~(E}b5{=^@75F8*cW)^~d-n#{C z8z@17ecpKdg$e@$*uS9h2vEj-VOIugV}g~i3V;Hq6XtQ47e7EnVcr9UJt!tUSUP)D z4uInc=4a4)uFf8nBTzxON}ld1V3pllz;c|YKz@gq$I$J;!+DMqeA;p66cx}ltqvV~ zRHVW4llferWN{qQJAe*`g9bf8Opp;U3phX=sCmqw-VG?AL1Nex>;m-}JFjUQSblWi zpMKn-;W?uN|F-kpkN|V+yx^h@vCzfx7?OhT%|97HM>046V{qi3deDXAJWMBIHWOqm zGh|Y;vj-gh-SCkXP(KH>-V4;LWB?^XSU59ud!V@A1LT1V=s}3Ar1P3~0SAWbL0Yt@ zfTuStuOT`7I?Um}a625N7vXRa55wVCpbm!&5rKRP3SdaWQBVaHH_+Vlq8O3@S@ZQF z6(?9t<G~4FMTl<kfnuaw$GU(WWCSEkK+8{{wt-gTL75=y;PKfV06LSm+w`*@8-wA; z=0}X27ooR#90w&IDRA<EOerAg>+)b}c*xjtlE2j(Jlg=Z2xI|h%`Ifo3zT{hQyh|D zlT5(MAq!B!-eCoM89J~DaTP4TYyjn#Zdh3bT7U}9buTUyq2#vih8f@hA;!z#2_GFj zc;miDMTC)o0W|a{40c`@c-|W3QSi_oxJSzSMVAdU*$%qizPkrJoZUS|1;hhQwu2`M zKr1;w27y;N^u&M-0(bdAIUBSB8D+8^vdX~?tO{fuBrITMJt!QYK?=&Dc;fE+CFDiO zTU0=uBmP!XxVa#cAY}~Xq;tr1uKcaaU=^rw1zC#Rq5@t!F$KDILKImcXf-M*Zd<^m z59lOv2oKc%hb)x<v7k#UAOfJVCB$?kh=)iLkhK$T5}>jP5ePd#(T-7gHb6x|g%fC{ z8z`wkW?Ro7n4tUy4KP?P1DT5m1R4~ykkuM{psO`@i-SA^X??)0MJ{r>12{lQW;$qY z31xjo`)sgQ6!&7$I0>W?bk8d&t-S_~5I3b@XhhK`0Jjgch7P3dF-TjxJ`vi$wt-d~ zg0$TRX>0l=2Js=N{R>))4oU$%DxgR}L@a2)i@)^}SS83qkkk!}LP)s4aycxzK@p3o z7FLTxWCcJ9Ktev<;Pd@Jh38Jtk$RoyI(xwL=Ad>9Xa(Sl>O9avLM`CgUyv?{rO<j6 zlqErepgGKLaP<o+t3V=fWjx(mz%?z%K#(NoIgm6&1CJx;Nf*v@j{H*(b#4K#t90p@ zqH-P-JgsKn)J$Ye3o=d{R7*j61CSC7lwH9Q2$Hqt;GcA;;SnSMwo{<<=olFothaz` zCYbQ2=AR7wQx7%&1J|hz9KRrn5mhP3Xl-~^3gThZNOPb$2;@U}0KlD~4X#Ha3)2uT zgjBU)Cwic`5u}h*H-hva+z8@fxN!;8jgVRs<PDH(AqmR?TsA;!%}qI=+5wb0UlxA( z|KFpRwF0#G2-;`_Ei?gZLNtXzegHLvK{UAK2fZr<xhV`<@%f@63tS&}BF3A+Cb7br z!r*=?tSPLWgH$PZV{ZzFXHw7<o|Or?umF??Xx$X<O9T6yKvNjBF!hCT_P75pKYam@ z7=xS!Y9m5hYfvVrkcKirg*1ry^24|P|6d-0%ou<&Cn&O^wH}E1`Y}ARVV5yLTFRh> z@E`?{nqUu<2~rFyFu=9Fof=YY4_Yb@D#{>B1E#@;_ra<`84*(3v#AlP?LixQK?XsV z1%P56l;XNuRCYkhLy%Jt<soEQKoHzINV>t+@_}SO3vfDwba^(Vqs23*-vaU>*bvm< zKoW-ay(+-%-zngJnh$iDBiM9s^6u;bUoHSD<Up4kyf~VSTx#wCm!2;+q(NK&%5<Q$ zVHL`t@d&{d6-dWP0J6;L6TiR|s0ie`Gq5c$f>U5g2c#XT7X@nFf!lMSUKD6{_r;G4 zP|kg+{0*FVKmiGgPtXPj=*ps(b08}hU{Wu8Ap8v=b&w>m1<Lg36}41>^ngLKJ3trs zbsp<H_#!z8lrN`1yQq^O7u`UD2XvASR6FS894NE9M+IaksDuEgk|Gw)kB<CP4}hEv znvCv*+^+&!`v{pnt^n_`0_`+}EM2t$$3PD_2D(AFwSdO2x?5C0;{59&gHfF=Dxh`8 zNY+BiIglVcQdv)c+quwz;!{ZJ0<NUH;R09<tel2cvWW5r<OPsdK<NyW96)?<M8T2+ z=%hVRasbgUwk1N610)gc0gpkvSeSyE95NN5$pMrwk$To~(0+7a5{?uQp^DUx22EzZ z_y$U8piK~<AY)Cy<`q^As3^$ggQy`GqEZ98W2l<Hbp?1WFi}lFAC(f&+(I#bdmmU6 zC>}vE18M?5Dp-&&K|DySaS~`NNe)O$Hh)trh8A#@4buT@_W7u!fHWlYx9bt10c=AI zNJBJ#(|_<HY*4`l?#O_ObI@Kbq>fC8N)&(VEwD0>+rdQ=mE#SmDFw0u7CfkaHN1$_ zvWF=FoeBu4Ccq)c0#*cS%XLGBTe_!!yD^*>k<A62Es4-nz{2?n(H*W)iR5ox2%b(w z)`D&iWS3p1k4gwA9E15=i!rsJI6r^`>@-lZhGH%chzE<$03NU+P{jf|M+sETOi=;x z(A<xrselL7{XzV#4>3}K2a1*e9=Q8`K<@YEZ#@jw0!jznkO7_M7mWN<4tBbzxODia zIP<q`0xN*#Mo_tly<-5Hx@uhrRtqZLSf|V39oKjPE~=qL7(CxYVizU~D<dGG1S|H? zn)wh(s30f-fWj4G4rtVj$T77L6&p|vx8`p(273W1VIFS*FT4kJJ&}z@j%08%AC!Y| zDL`%MgH)2*iU#RHw4y;gj8-(Ln}+U6l!6A**atPykll%*#2U4|4^m62OF?=NE(P&0 zTncI$f?NtpD3Ad~kb@uv45;Y<A9FsG0BSY7W_WoR(tHF}nV>c$v@QiPU#|ZFZc>1P z1e7{o>i_=#|D_gW@iR;i+DHY<^Z)+;|Mj`ocV3@*eZ!-d^}RHtB>~Ey*jt>C+Vh-8 z=b;x&QLyR`q#UWi0~)piFUkbXoEW^|N&q!P(9<y_Rl-D(>pGD4A+pef3~DEX1gVv} zbwH_G8+P*KXzB*{xIt-iAXE2~Sm=e8(83jzoS`Wd$^^L($^_*Z5cBnlm(`H<+n}*> zaEh*n?BIm+^&#@u62HSQ=zJ}MB;MlLGZvE2SsQFn)IoA5cz7B-DWnMULJVZC8Dt;G zg#^9uk_Dmxl*mCIK<W&>T=5E=n_*HfXS@PC7~XCKI{@p5GI*c>%ZPGa%(wq9&%Q@B z_CBgL$SybnU0ebwj*v&NpwR>>xuAZ8GC|P<WrCs!$^^v+h>4t!Il4vltl1dAwLPQ= zL9|Ceo03IglAy{TTT=j5AOx^<o4x=oWI<`dXz{nMhId3^N<rrC1u2DH<*5Ry`jz=x zTQQY#bc;^2g1QgXw?cNi2T!+XEld*BZR+k(0jcSp0`5dXnkq0$19-Yk13;FdxKD|{ z6*PnnX+Oi1g3MI~DTTRD2IM|z{?@PHb#vI7CL$d^D#H9NpfOMIc56`5gQg@<6#)u$ zXcYlsqBmHO5BV12Z`}#D2DCdGzE8(TMUcN0v?3pDI%wP*WDaz39Egb+TnE_)-gymD z1v;bz)OhPrSp(ao0~!^F4w{2zu|Yep1xiamo_cxk#ec|+^3d`a<b#*FkZvz1@qqb% zA$%ksg6es&6dz=TC&&e0ejq9z8UkP`Gss>YkQ+f)5<)t!ARg3d&{i{Kmo=yrg|ZO` z$q%4&RT&u=APPaA#HJ8zFr*z0QHWt8SVaqXMFxHoK?+}90&T|z?LzqkUGxIZn~ev- zSst>X6<iukj`;Tf<%8G%|G%_<3C{1ZP<yG5%7<oOaL|an1h)q+zCQK(EGW5y&1Qv- zXG40&usR!78i0lqL24ng&^jBIpCOV^K~O$MQON^3logalwm=&A;8|X{7Q`%Xhzbv= z&gLduXTux;ZjnMw0=GyZgEH`0@@`}Ws4Y^EN>Xb=kRC)k55&W0=OK?h!(0hzZo-_2 zGW?8H30jL3G=5B~OF?=NE(P&0TuSr^v_aUn|1YZ{sR`s~XoUyzDU=B^AIb!o3Sz!q z0lF!ak%0lk0iBqPU}91I^6Lxa)i&V$^`J3o(D`4WVOS6J^U*=e$zPNQf|{JLqdxXG zbc1G!A;;x_+Nq$?Ea>^@Eh^m%3=FXKHXsSudK<9m$m?xD2M_YMmchpWAm<U^fUUU! zaS>~7z*aV*pOOwz3|?~sR?J%Ahgih{In@Ai>^Z0x4;rHdZ65;V7l<4*6M+U~LHidO zAbT@G!*2`>4Bfoa0&EP1pi5gpdrF|^lXvrS!bCx%o`^%KK|I(jDCk`Ljwve5;8~re z;2s~SL<Wz9fCk6lec+l7AC+qUmQCR8OxT>TfFGL^`e33UOR+h@MWv)8M5UO&)dG*@ zIUPPK+59aTI4#fC!e)6SOcb}}DIFmy$^5OS!4Zqy=P?~VD$)EcYB(*QC5p}R4wxuz z%b}-X#o@8sr^83Zo4@4=cu_94U_Yya&GOwaQQVfhbcCol^S6EkdkeeIZ905Ztod6O z;j}yfW(`WR^Mi@vw%i1AcX$gP%XK<@RJ8e95^!3+S{IwoXTn5rTdvX(qN2>-Dvrl; znGPQnY5o>DoR-&VW3xO5CW_l~k&X}*VgA-tV3V;2J5Ps?3O9et9dM)cHN(qH=sIb5 zp@dj!=cB^K-;x9B$Ae22WEHS=c7D)9$hLq_cjt#5ngN=M0F^F~vIxAQtP?uq9v<-R z|LZQuhy+L(=t8d9T+q>PkN^&S@&Vuezx0Q0lZDs@(i%a8)+heo{)2a6qS`7-gw~ZH zt)TmUQMDRz5_WJNNb5Xkiwfc3=|pHX25C*k&>BL7)*pV~{=d|~&?-oT*1aIDZy_hE zfeL3(`}<`uq>l^dA3)>lLI!}~^0UzR?2s-fT)qU2{}7Guhjfg$NAsHq(EVotpyk>g zp!=RZ_8EhgNPhMN)xk9?9v<EMIeI`9aE*!sNZtWj^}49Y!|u~{fK<AmGx!lTu8)cw ze=BI=2dIbSqT+F^A)yDnzSTv=!vlVvFxcqkBN2xomq>dYYXqrc09`2U;Q>3N2z0r0 zw~q=)zymym?gP4d8%$V&CxyT>kex0n5-)VT!Bf>PDjMM9|3M^g06W?lLZG{LKq24Y zVfYht*ca>$?DL?*z7$|~8-NZn>jvNWZ4TPPq2SSZ9{U+W?VjKMzx)L~{y6}49|!1& zQt%>dasC#NBqSW*(y-ipAALPCI74^tft+Uu-E0bK_JB(0eI}r|3HJcS4diq%&^3JE z=plT+7RX@ev8a%y3DRA4X8f)G;L-|IG=OY6*6;!nT~KS_$D&T?VPJq9i;7SNF1kQm z*ug_CDxmANKt&BGoS~OpfS91;zazj6r0xI~)J0(>DyICc98gENsDM?$&bA0qG2w3o ztzZH-Ye42g4@-o!f?QM#Izm9#YJpZN!Igm4W`WvPEh?Y`$vPo7UxCiLU|?W?Ecya% zRs<bt0T%$}A*c%YJzA|N_@{!px_bPr6ToFYsGMT$W`hhSfqmV0@P`5@i+~1`UaWKj z4fMX83{DTQ!zvv#Jdn$LUH%r3JSa(^s(_`6Qb<=B<Wq3Zjt$ZuhVh{x3YK~ewFvAI z)*WIXhq$N&fST!`5Qoe+gKh}{@j&4p01AKDIgB6)xFGxxMvy3EdIfsz4yb7j+K&V> z17ad*FEWVNJq3J=SN9(9xvgl2Fhb6vgC4>NG88m!0NR+K20erk6ayerpbaAs6LdHh zDB5~dKn-W`cmzll^n^{&Q3edqvqYe=1)@Oaf+9(^)DTo9zch!0AIJnyAb}5IgmFM) zAJFDE><~tf1>BGXf=D$WbD>A)Lc0E-WP~zC09FCf209@Gl#D+92OSauVtx9rc(M88 zf3OFj3PIN3HxaA?a`6&=6F~~WhcLo2tOqNkNAIG7arqeN5EM|zLLv^-VF2-tyQsK; zXi)5XfRY49w`i<7c)-j@r2sAp8glc3373HG%jynMDFKa;feP|&hHlXCou)b)1L_Q( z3V*96JTHTd2N}c&QU@CwgPt|m3Of7>loO!ld2n=#-c^ITBLm?Mo^H`oFyWkTAC(Ny z!G*}~;ORD930g#hGE67O-?|VyD28HCBS;<09k46dKtT#hJm5>xT;TmCfes%P{*r3& zO=+NRJ?ILxif-`jYOtb#ue9(r17xu<Bo~6~4)D+}xLAgkp{(FiqT5F$0eVhtofEjI zxBzPYtpvpfD6PH(-3txp<bpCj`o(HhkTx2$H|D_#K8_Y@odqI6z^t=?TE`EvZX#&g z3mkhiz5)3O)E@_rV{}5#<>-bSx3td`RNp*z1l2bX5s&Wu61|`zW)FCG5~yMVtrLMZ z3R=Lcabf*&kOZV@09)S(uW@>y2a<t~odLx?WUbn<hJs#j8v(*a^vA(gHXZ>v6}$%q zw2%p+7+m#$xQG+EVaH`bXv{q@jF2VN&{P4niuHg9$XAeME}$R;IUbTLKou2Y{|e|x zCH~gs;Is`&EiYxDdx^o}2WtNy3i=+E|DbMp3rGpXm&l4>W&J;JQxb9nS$7ZQT$Jw4 z6X2tvdca3@fmQ>8{n&W$ha_k#!4`-x*3(mdI)LUoAjb9bKK{?j06HWXqWzeG6v&r2 zPBhyO(h0v2VI`{07vPHJyGQ3W%$pe696$*K8j{@&7NG4d;9CSzL1th%q<)IZKhU@h z$`#L+Ahob_VH%GafDFNLRDBpIAv3&egw}juzjZf&bRZo|@fT#n&DZllkqK78dV>$- zdC2}ckSMMM0%~aRw}J*wkuO4-`41%|@r6g3Jt$v;tN>Zu-J!$609us~T6zx?^k7wB zfw%^e&|nD<eBe9CVck98Ll?0e_zr3#9d7~e0s@ua$6HiFK;;GlC}BW6*WIH6QUp8j z9b_-Q1K)d8Kquyd&U~K&W`R#^2kk!sITq{|$Z~w7W8XnW5^?N1$YI@6ARa+IaUS#7 zchF9tZpen9R`9X!Ju2Tpxu-uGeAFNGC@e_B4dl3Ph`%8MV2dDO(%l0N55%g*Zy-}z zZ-Y&F&G6C_dU`b|YCKp!fRl9#BtLb-Xv{(bG=an48V}9(u=`7TMXUc1ohlM+k#Y$u zIFoe3q8&zKS`2E^^0zJnTMUX1h_eOwu_hk0D}=VFfR9vc)d06!vFpa2k{}5aG;Z1a zkg*dIsvt99;_%iYDDY8Qi{R*)0#2dbdmyoKz4ey^|5S`k0j=raHAkR=^fklFQ-2`0 zhs*tB1+SZjEZh`?91RTK0|z?T>cw+g$Q|Oa?WnA2zu6f;hmU~623mD_G#(Gg1!ajT z;LWPgo5c5k&(wxoCO!qcBp%y+;*fKKLF*r|TqzDY;v6K2e5-h}?YI9gpZ<loKkf&b z`$1#gVE2O<FVx}g2W2jgZq{|b@VXzQ3hsW;2ocQvpdKA|_k&b{oQT!^AZ;K?WcM$& z0Zj&=7N{2B$^ufP;7%qW*MV0se~?64!3-MbhA%vB)q^KMuxilgI5@lW?vMnpU<U0J z?(P9^2JD^!-X#p$3<BP-1R96~8MGRdi+dQr27$YH;6w&Vm596!I*pLO^%Xd`z)s=l z<-G@r52UIE>@OUZ;4Vw#vfBf(a2b^Bafbp(790w%B#=S@)MEfSelf`Lt+rst!?F-~ z*A^rcHc1c)1yJ7!WYBDoK|TCngK&q!ERd?!pJ<_Q<2y=G1#U@zDp+iXn{Kf{3k7g- z1+LROLB?RM(`SOLZOH*U4pBlzg481gKAw8L&I)Af%TJI2E>Hx6DmG{Z2V%Ztf%NNO zQZK(i4*-DV{06iX3)?yhos<UEmY~oFr$JD6<j^-(21vmLT^$VyV$ka7(ppG!4XhHB zT0z_Ix_SG)v4ZMx&{p*B9`KetX2^zh=r$H4d*F$03wX&LQVR~!1jBajoxS<D|1X;$ zqk|x)L#Nn4^XDMu>($WY2MP(~KmlEbjU)vwhCu#=1`1^DIHZO_S^<dUSde0P0$%$S zDNsNiS5TmUrrBVD0#=C#l*+GE2oy+S#1<%8X5aq5EXETkAjd;}iq--IPx-a1{`>#` z%Upy3pj3eD_g>NMUm(RbD9jNn@E;n1s%yxphaTONAW3Tq_$Y?sE#N(sP?JD=F+j}j z9`KGQ(6V)Kx<Oun4_Y?f>7!Buy8gYIzx4ok=As+29J8|pT=0RC2v{Fz?LD|agouOI z-h;TE5KE6kPALRg2pWjm0(J>J3|JR{%QomlfS<*;|Ima1QV&ftAf`vJ=)unrUxV@z z!q=}2QG5+aJ5#`YJ?v=*+S~&98k{f?zIIV5==4#^0eLx_zx4&USb(<Tkh~1mgxkxY zI6;anh?hZv2rp+KdpX)1<bHTpWCd6bp7ue01$iGiB)TE8;KEy17+!e8*Q2+3f?W=> z5A0S@%7+x&zlHD@+deAL73w{TU?Xr9*x=Rad|)N;VuM%f6G~|fDK&7^S!~9jauei2 zXhtX?&D&-|NZy962Il}f2xKUlw^;~!8@eF72Xtx#sA&g{b!bw7)z%>@Ui__R(JCq9 zk0{=TlnXe#&2NO}ZA2yY<O3^%2bM}IM8%W8<q5=2cu#jbNHK9W)E#h0zx4YGUXugb zSPd$fp?MO-e3=fJZ2=`w5FeU$LClx-kU|KQ2+@o1$EZ>-FN5l}-aWA74>Ap!Zb7vH zhzW`qXlz4@CP*>{_4PsVwF_j!i@8SNv4E3~ohL#2fI!6yTpueqNp)`lmuR46%JK{h z45$aOt~2=d|K(Ctr$Mt0$oQ8kXtl8jsQpN+t06@THdjA4#N%pkioomYANt?^zkCJ? zz;1XsfKoGrsCe+Vn1QYv{qgtz|LzuW{}k8NO`u)o(DVxmTTl>o?*Z>UN4>fU)FTG% zG(fw$$pLnC6XdETP~3M<0e6YeOvAXk31lGT>LwfT)lCklS2x*!uWkZ$y<R^206E<U zmK;DWEO1lp*;`z>z#8?&_q`y6#N~in2B1v$ax1ExXyI!Gy73(}$cSxz(F`=EI9d1G z{}<<UK>M~p*G_kX27g%>@W58oxTsiobb|>7&{{7S6^Bj^xBzG)3rNI+1=LCd>FjP; z03MVCU#|)xz?Uy{_^7CtXh75${C@x%pam(0Skqmi;^5JF{KYSj8$dIV5Ot9GInZ>% z!44l4wbDe0u*b26h(7SpB*+B#WEjX+$ep%O1>lPsJv^YJiJ+@fyTJr{zrI98wbTk! zG`{Wtc?)7IV&Kq6MWv(zVl!L{He3iA5k{7Rxm6i72n{mK<5)ugvRk2dtb^S8@&_n~ zf&v?&2JBpj4KQPr_*+*(hepA(wjRhvfhG(*Iy=Bdt%Vu|(T?nPMgEo&_<gr<X_)&X z!Q-WHv#~fq0nG^xxSXJc(+TqYEjGBFponk+$ZRZ5kVA8V1uiEn2bVLjsc+;^kR{d$ zAhWSJK?cnU2DqG{g3}4o#5w_FHWnvHp*cYVmlGC($JDTef+Vp{0GW-&2@+^dP{8E` zIh;-qC)Nodv#~fq49y7=xSTK>yvzq%D2Nj41d!QSoFIbc1OZ%5kih8#VPc&CG8>B% zgwUM8fy)U~!G}d-3k5-9od7Z$ixUL+TaO_ZO$@l4AcWHi{KPr|WHv)LZ_hJOa}-qB zaCGxF!#S{;2U57ficUWMR#2A{QaF1YYxn_~%!1Sy$VF!n_&`EzLBz}7B9FV=VnLK! zAhQ{|d7nH*a^Gz@$AjhNVw`sH@V7+cw!`5+@`_!L<~JUo^+67x^+6V(^*<K-%t7_{ zWmQl=3%ojJe@7o^FuDe`C<jD9j>2<M;fJjcGJs41g6nzs44{t+AAc+4UJ+2GWpS+G z0W3N#5bJ}$MmHbvIE<tQoH8stSUJEqO@pgfFo9Xe^76NW1}-2GV&TEMLKo!F<1g6M zK#m7BFIWqpLZ89@0Sig!K~z0J2t9zRI;;Ba|4RdCEI44S^x@%e0ZBsagG<9IK2fMX zB!}379Mb6my5k&7IB<Z*WhFqKl>n_D0$*{=&EMhx?TfjnNE~-405|`SyQoNj7%w`N z!K2~5qBbmS3?S_SAngL6lbS)p-!3Ww#~oNeDnYR)(0R@g+}VHeNaNdo!*7NsL5iU+ z^67R_5%B0dz6;b7c;Tpy5lDTY5a|T9ue!km#JL(EBQ)U7<>GIF9a!O_qJiXG4Ui*V z+){!%x0e~>Tm_JJ1%z`IkesUkcdjw2b3xGta;^g0xsyOa32rj7!Xog#3Z!{y0I~se z|2sHz(VWZ4-vT<O2oh(Q&eaAv_nYBK&_c-ghpgZLhPe0>zaT6nf-FRJ4GY3Gu(T6M zjB7Yb(s8+Dp&}&0KrXrZ0EbIJmZ7?&3Y26$Kx1H+Ktv6w+oJ}`{_DW)^Ts!zWe=eF zf6x#WD3@1&(n-ZW3s5e9s|ZR1H7XSz-TMXlLD`}Pv@i=qz;d}dESE<>a(N5*=y!0| zfR&4C{H<K@1YB{fA)_DKlCD6^@PkcnJOXkIIIlyMfkU{$gLQ`=$Z~Lr=>l>M^q?BZ zVi$0RIPRiS0!m<@xQ4HAVh8a&kdI7<Ejrl_9s>YLgB5c$KV<LpQE>qYcyx1g`lvW` z`>43^ulG@L==4!3fSG>WMI{4d%W)T#91z{@qEgb$+s44g09%PB(Gj8|UMl&Tp_?_E zfem_Dl8=fQe`_SzN{|Vl(EyMMAR1(2g$FAG_~I#0RnZOc;0bUk<f3A5+(iY$gU|&v z%?}wt+6|CBC;-}V#?Rjh8Zv|=C9q-+&>WtRiVj3Q$b%Z)J}NpO4{D%!FahMT<1Q*G zAiCQ{1+>_v1m-=Cjt~`g{#MW-Qs8wm8O)$zJ{Oe)m<G@YT?uG~3&<O+A2LAk1|5#v zul()*%NyX<DpD#zZZos-w}9k9?nYGsD?@jI1|wWl3P2$TiW3n1GX3BG|J@L0*mSdg z{m07C>7ruM?V@61c<FnIibbc3N(LynLH>c9#e!}EczK<R3g~{r{~(WmjWq(5*EsWs zB`ANKl|$r@8C1(3AF$*Pu<5Az1EdU=KQ#P7;XGLLN7`RjZ280cFDudc!(w>m4~<Mv zyg~EFd<A;skE_4wl0U3K`D3j#B7Z1M0C^Qub##LW3hIxF37GW<*mTtV0a6Cb9|?mx zf4Kd^nm@FE5uZN_hG+grK+Yfavh>IwTYu0ce^`U^N3R4Tf2^Qd{$QAhnLog$qvj8g zGFbkYF{ty0+IOt^gZn%2`D4ZK%pWt5^GCcCJ@Us?(0o7b+gCQA{E;h)$R7q1sa1b; zV96g~(^2yWNEs}DTo}~(gY65}{PFrT@%iJy@XQ|<kn@MVI6d-5=_k6>AGV<U;VXp5 zA3La)KLjRW)*oQgQS%2#87zNr1b{N<VC{cA`+zlnoc=(3{*VA~4;Xg+bB-)fyg~aP z@*?!eAEEE5m_HoDf&)A{KYMom+h+$_0N;84g(d&D{~pb|9U#|IPG?|XIMzG^#PR6f z3_fkPd$&UX14FkzOY=ka9iW~*w;#(+5bX%&mGX7-H$P<OU(a{&C9^ANU+QM?SWNfi z01*a;?kbk%=ZxHSEc-z^O1Zk3njbLouV*v-&v?S{qzmV9{wW8+7f$fIT=;*oQ$(fH zM}@_sceevLpr09D0w1mRVvEqX|DN4$pi$Ej0qEAi5_ae)2gJRM9=)vKD^@z2L4NUQ zKEe_07<U*nYKOo`{s$c!;{ozNAK3pVKwjo=f%snmw5So`{{}y@{NF)=|0~e^FNoLw zAon8qALJJt{ttHy3-)M!BLGbV4$wqk!V3<B0Fb}=TjH2OiC_hY<I&v=S|!x&!SelL zD+hl|CrCs0Zb%GoX3%F~==Ng)rvq+(7H~v@lSV09x45<#XtaDcC=E5&voP!fCjj1V z^Ue<kU&u3lxcI~Hn@8{NA88B>mWS#@IM0;|9A{DC0GZ!wy5KJ>!)rbSf5u-{2E$8+ zCn4)?Tn$gYa00ow8<Ny|cmL31V1T8S4gpXhzuO|6fx)MH_m2z)2IwWsKApc_oaX!X zzx6;VuSa*YK{^9Nb43b6iJC|6ZV(4*9n>7SRX&~nUWkA)N%!soh?xeNC}x%;%*;SG z6U4z|<^fQ`>)yQrVrB-anaT(=7a*Gn;@~ke2V&*}h?xse%{<Qw_xlZGGeI0YW=cTJ zl*j;w=M7Xds}W`jWFUnnh=a$>BjDKE9RM*?APXft)e&ZTAe#x|;4!lRVrB=#Ob=8u zFZ00t-hgZ-h=a#W8Hkw&AZ9k8npux9a|g1SAPycgPw?Q)%b;ur%FETD3Hr`vP?q#) zJ|Yn980Q#&IMgxRG0ZX4F$9!}H9R}Nf=Y5n1_lP7&i60!Iluk)Y~FhVlyCW4{xLH! zI38;?0Bt-5ZE*$9e);sesK|JB_iD&7FmyYzbRPPCNm=mQA;uDRk6srQiP!wC2TBA% zQ)Qs_YCfF@j)S)EF+jKR%XFUOyjCLX*}eA#s6vQm>Adm%f-?IG#u6#R|9e3?UQ2_F z5ovzJ*cqZC(EO0`wXWgY*4qyJQ;&AOS9}E$<owy`qasow4pJz<d5ZJB;&(-`kmB{u zpPd}tE-E75FSNR-2$UFmcJBpc;%;_sca{=UxF0;bdqJcBD9$$oc}(K9nP>0b1!fEk z9=)b%KS3o3l<(QSl|d0yLcFl%`1b$hV<rZM)&nK{FpoN7@n)E3cPm3W1A|Mq2a8MR z+3#1CH4G0p?g07H;oDKhy`aJIQeIcX1Fo$nLFb0MbRKn4JOuXPORx`BIZwH89##CR z2<9qY?aWaTc+H0h8jzyS6P*W}KQgxZs0fsB?gW`_c;Gct=RwELla8DROI)Dt?w0Eg zV`+ZG`2BL{)$dmo?}9hCfL!4S+M2>p%Ies8q4S#JM;FcmC48I*T{w@qD4tXNp!o4M zli`8y7g`VUw}7th@a*mdm)pl(R6ysZGjs<+OYU$;$z96U&92R8cnLJY+8Lsv;?Y~9 zqVS@V19U`$fT-nBR)#cwjT4{vV@`oifjH<1I&~iu3=KYp4?g+u>zqjA*E{6H?|i}+ z5)05g;L&S(^E)ep;afxj?$i1IMI-yS|44B<6%^GV8zuHJF)%QIPhNe&%Ld9SU{`ks zvTz5ml;nc62!Lz_S2}w^mCEb97f;y1j^v$k1nkHQpZH@gf*g6s19Ze6NO^;Y;X#-q z5Bl&spF~X>P`4tS_!6YCTR>Y7ZeiyIP`!2G;*U-j6@kti6%mkY1zu#bfBO#!7XeYx zBdiSY@Ien1s1+W)rl5Tk2%A8GQ3CcTIJv)&Kr-;iVWJGI{DxdTf(%p!8;GO91T7Xo zrOd70|Nnb-wt~tGkLDv9(T*{Wv7nMB7SeFSTHZK8%bWi!;PNH`RKmc^n;jq?D9or? z-Y5hzFm%(Sya844SjrpFYznUO<_4s^`St}`-hlYfd~0~=#cAfz@`kkXMv?`bl|@e; z0B2<)%NtOrBQjX#XUy_OkQueS0Yx<^2P2m^a~X*&Z%UZKj^tH=IFiWn2INR+(tx@Z zQ3?=U-uz@jls8NF6IDC}eL^oDSeQT_-3tnX7b}nq3?a@yP7DJ#gF_B?c>^jIkjfiS znL%`U;|wit`We9G%?nTo121nJrh<A5pqd<1%7dB#RA}Es(5t)wHU6=bH=x-mT;+{` zIk<h(_5oVnfcVfvWq9dD^#8A;?HiKXH!J^t{r`zyKs0g>Ddi0))S-FUqu2E3JIwNC z;lHma?Hf>3qn9_jf4}~Rw#x9gZ&)CX<lV9x>_{TZ8;~QRNdxLuGRm9Ie_!G48`IrH zl{b&xqL(*Qz#iQT3WFCGNCrOHMU;UvF${DD8;HBS0Tl~K<qfFJAiBH(Ej<PGr{w>9 z1@)&2KqU;kyg32lQM-LJfnMbeXkY+Kc>|j5!&TmRK*}4jH_-A1#D^v-!%Ht-{~9fC zNNe9%{)Ut{FSmoUGLh{YP^d%mut%?H=PS(e#_$(vc>{`S^zvr!4<gH(DZjvu<aL2K zlF0G~<Va}JfV!28@<#F(qP#h}ji}<G=p}l2qw*8v(Y>HBcyZz<!oU*Z4AjIh@G>~$ zaF;irVgaeV0hJj<mp87^_Rae5;PU1NsD$Bf0c}-tJk}a8jRx(T6Z9x=EIhkgZ?H3f zmYF-Se82epg5p8YqRLavpBP(Df(JYJT~4%KEK%_6-P>T!z~I@v^@A(}!|QM;-=o)b z;d54o*Y2L(dqIPb-To|{C%#{3WiR1@HTMh;yyiDN0J;MA07wWlfYRxrA_E>kk|+s= z8U#&}hL>L0egh>7+_4A>Y)~w=`~&xjK{0_Ai|~@)v%42G2aHl`vV)qR60dni$Cij3 zThjdoE@njUZX%^D1SJ+|`Qp)QTKg2UEL8o9S{8zm4|-X+@iQnbAdf8-fg%@uY^nG~ z$5*f;c`YE0B(f|7ITD)ipl(H!g)dsae1#m+K+FIW$5%x8wPhnw1!Ce8^a4@%3&^+N zeDq@b7leVy#2F}oVc?<9U;n?{@CSMG%Y+FNu#OkGLCd%EpTOl?1E@rUx2JA^c%Xo% z=6Df95S_-0Kob~P+Ebv}Z<LY&X?%JIq&;=)5wtx8;zJXP;iVU|KaRGiNNZ2M{0PpT zqBGZ#Qigy+9hxsadQB}KVwNEfKcJQ&pr}SKLvr7PausqJf@6Hz1>#8FFKfY$B(e+v zITD&Qpl(H!Aw-WCo&12v?WJppDn67Rpcfxkz#iQT3WFCFNCv79XW-5I=ms`}4a8j& zgNg-EO$;5M29+6TH8FTnA8UEz4lQru-+{{;4$z!Fyu3*O@u*$iSkSAy0ZndVDR00l zEs&@4kjk46kn$$%9<;mx@u7*z@X`zIx1;3^Y2{7jTS$4MxeA<>iR{0DLLHiiJ$g+~ z-oY$y3g4iXH=w9SFK_<7BC@=>@&@ck-j<bMM-o}yfE)=;8c?^AQQkzpL6kSFD~T#^ zHr+-q9#UR|Jh~SY1}`{}4BWDUC<8Mw3={?%h`YQ26$?n^4XDf@y1em#mN)NTg3Fr; zpb`dN-n;<us9oM{px696XyF5v@&>%J2}gM|!vZ`${p=>RyaDl{iOTTOi`_3q%Nx?l z8_t*DtSq{7DL5+=S>Av`9h!$ddQBs5V3s$GFHp-HP*kIrH@(k5*^r3#P09<fBY8z2 zjwG_Y0XY(yG@x!Jqr7?f98um(T|!jx;B+0my!it5=w475yqJMxpbK#Z{=9~6;9{_W zxXT+*v4B+GfXWPP<xPM`cUyriXkU6?fDZ#hw`I2{i?Iba=r#iT&QsqnDgH7%(EP}r ze+qaI<e<Vq{%t2cj<cwM=E6L>_kouAD6@C6sBrtUfEHGkn7bMtXuagfKji@DiB1+3 z7sZ1hVUO-<AeG%!EZkO#=R0duSX>kjbh4;)d$DkHDIV<PQR!w;>1_LOodI;1J4<KV zm+PQBzR$sufx)Bk*p2H944$12KymlN`tjHQ5s<Y5pavSq{VO2u?-+3RFSr47|B@SE z_g6sN-*E%s{^~~<?tcJr|A7H_f51(c`$KMm-M<0iev6w3_wRm);eH7_aQgW%;O=L* z1#>^kEwKAvK-~WV$^E|{V7Na3;(i0)flmJ$;O^f7ale8UIQ`GKg$RFZO!s#{+@CSv z?oYT43;&ec;P8)txZmS8!u{3vF~a`<#Qh5f-2DP~VD1;W19txei2HvaxqtUP4EMhX z2d96D0Z;!2;O;*HasLHSQ>pRTiaUt#|9uz5{gBn#642G!o}j6K&igOg?tcX>*_Qy_ zGE?GsthoTh@aS${Aj1GUejKz?yClJ*cXx&@0|T^T_2@MXxd5u9cCx~cEd(v2{(T=* z!{ex@ASQ#VsTrWX{hiGq8$FtjNPrgBdvrI0D-FoX@RD5~-OUBI3=H6b{aye5|NsBZ zfyKU5*`s?isOI|S#$sP8)-BNd$iCaG`I)`pNy|_ClORj~TQBmrRDdjixERz}29Lsm zSCThZurPqvm6x)0bNoMOc&XDzMW8c8MFj4#5*2}$D$rASP{Yd$8eU=dz~Qw36ioar zDvY4j?LR;q<S_H--Mzq;fuWV7L>O+gsls_yhL`t1?fdR#P(9`d_MRiiYv84zU~Z|l zNB3k<_4dt)#U4pm#Ic*9`GI}6Q1c^@e=qP)I%s&H^H}pYM#D?3A4^#~4>@)obOA4_ zb%KVbOLqW^OXtP!7nM1{27Noo2wI28P|9U^(zW#x|I~w>Cma<Ia-J&TbL70pc|!4n z;vq-HgRhwkFMYq{*m|+V3m$sl^^uOC-~)$aDZ67g!~X+@C&8;1eNe;C@Df_GcybqS zvH%4hC|PU;9Vpk?3<_9SvH;ck2#gvZ-q83sb_X0E8ju69A@R`w;-JOH4UG7ha263C zpn4g1e1NL!;S(PrckspsDDaTt0~D~t#D@<wKFn@|<6{FT3Sjwz19T`lT6_rDq2~{V zGl=*AwKH(X2dMcmeB$HCExhpo3OuCv00k^D@!<=Nk7YN(@nHdq0$6-Z0CCXb!viBe z3Qi&71JrK99Uq|P)bNQ9lbd+s0~B~j@c{~0V&cOO8Xsae!0~Ya6a}FARswXb0CHTk za)9gG20P^X_Q?q>^=<bJybcAK400&6z6IF`b11ZifbPTs*SDaSCAbDct#3gsO(N@C zkc;6p2DqL8RT$*fxBk%ZdUg#QULK%e0)^KC5Ccni?LY~yEyuBhSM+tf;RP}oDZD^7 z;t4NMOBPFbfm*gih8M`i)C;cwXn1YA3J$LepkM-pmj>wi3M}FEARIY;wH(6|UfS32 zh8M_Wr0@dSh$p;2EoUs@1!_qX8D1b4QxslE+no%+t>FLr0->SSaOLZNkM8D(ISdRQ ztq1s9R<STJ`1Eczn8U#E|A9yE?f}q@V7;cPM?t~#!U?Q;as}vQ!tU+^nhXpecY(GW zGISS0_rVmgKsG6|bu(!*8h-0^QQ_z;QQ-lNigCPXy!!ROPcN$r3j@Q;YfPa22h0X; zhz$=efBo;#yIaE$)ZOSd08z(Xz#AGFz-_VSN<>SR@&5tvJ{ykC5RmyLDjd*Vhc8ZD z2m1;|J19@`w|Fr!FnEAlg`jmVppy$ZUOI!s;9jjd0`_Y7WspmEcYsbr>u#Q)$H4H3 zUx2}*dpE@M%`bF8A`uLq_yt{5G+wN@0vfv$bWu_8>0KNE*0ei9kAdMuKUhM*2Xr}X zjE{=KC;pfdpZEoRR5*NkbKoxa>HP20`R;`f#N80vbU-JHf$q`)ouv%hnCa8`9Hj6i zsBq}+hPY)i$Rb2U6hR}R5D^i~7!mOU>^)ZS$$Y-xw)_Tget`wHM|U^4BZM?Q&EI0j z$iM(n01CR7pc^QfEjVgHE(Bft1sc`j0WTWj;BR>jYVG%83B3*Aq|>Yb4o`UKWnIP* zdVydGETJdi(OaS-0gZ3aUY+Zp><^15)Sv??g(VH2&gU=IfR%PboI+0E?Y;y`8>}b( z{{R14*rR(l$fOs`pn`w?{|9d^10RO()A`+}^W%$ISHJ#$S<497Fo%}>x_wkQT)JIU zcv?@E2)ec&DCKE>2--h(?j`6LQBbsk7j<}aH{Y;eVCZ&W={yA4|0d(ny%{WI_WfGt z_3zgezjGdIe#Y2Yq9Os(1@8Shd^--^mMHLAu=P@jFlfi1$Z;1H0Z<%5ckI=u2y}<2 zh;;trJlA;vwEaz(^Vs)`j+`eP6%TyB&iTtl@erhM)OxMN%A<F;L<DjP>U0p4Uv{#i z^oU<x0B2)p>7jm*mEpCIM|ZP=7ou-n4=Y_jDGD;w+8iMS@gaDPn~w^QM|U?^i1YhJ zPN*0MG&WysI}a+P-Er+^2PJ1vA*~EL`mYmGz%?H+fbY#Z3<@J~$>q`Ae8L&*uFg}C zkmd2{-V7Ep`+n{FCB;vi=OE$A0ovqQ=h5Ar5e+H%U@@Z*!NAZ^!NO3=1uCETTR?Y+ zd4LOjP%wjTc$R>MTepvj%=e3}J}MF=u^<<KV~O}S!)r5;Ca|-+Jy<}4*UAFl4lzQu zl!F2iWZ%nv(50&^;8GK`{%=2)2=X`&E+G?QAszvn=+WH`_DChzBNZ$R{4L8t)dXsB z2}-KpFF+%&)kTGazeN(%gY7l-*w4!FGU?y{|E(uW_&v~c`KW-lrSfzh;yhKN4e|su z>~=4(0PPQTXL0E~_Whc&xx=?(j3wT%g@~_1L8}2pKuaEdR0NtIFuu;`-zH!!$ltsM zbPa?H_}VUpm-GMq|IfdT)0%_7ITmtvDY!&>8SwxAe^<~#LJx431O*Q0v{8xf5*3N= z8WkDEkD!4NPS9pVkrD}zRRWv`Iln7jR|E?wp6~qO*a<4wpxbHrTe?8W2~t=1^xB5) z0|hN;Z@b1>$V3n%AfY8+FH)6cei4!*KvhGpsVG<@<X8q-hzzL8gO_LjURwNwuL?un zt_-WcgFq3}dH=<MGvIi9fL4F+fYsj;kotS+UXVjyG=Wu5*3bdhu4L5T2hV~_KIHlv zYJ)e#1_h7}y}Jbrz&1#LC{VHl<!=(|Z!S>r(cO%qonZY9b;zzgV6RR;4RYyj3rKzA z0IsdU^*6-x%`<dR>hBk4kZK?Xu%_J{x(p02&Vwbe)Iiwk?;uF7g4l*y3BjWlTz_kV z6?Q}1G8tqMC`*77Pd8EZx5XKd_mJyvi@BgA0Sj#E)Zdt)mjF&W%@<HZZ`ElWp*ImM zfhF`X>TmIL;Dn4V=%6J&IJbc6?>C^l*4+(p3ORxI`xH3v;Pp4iq!-Vig4pWsTW6_J ze|uO$YB!|%8!SZc`a1xn{%+a{$}ed3x8_N3X$8$v={uqIcLZAf4VFhFxn>2-`Wq~S zt^WRY9B=&%O3q02H@JYqS${LQVAS7WAzbzM0<`)&f~fi%6mA1he?Q!gC4xGRgR{#F zwE7$D5hCkvP~@T1-yPe@slQj44Z->w<aeK5+iBZCK?|zCGmfIx-{6uDx&AJP<Op!p z&}$kB)(9?<Uc^FVKvf>RkjJdQ!H11Vcy@jP9X1jS>K=7If5CJVwAg8nN(QLx=5J|W z0-ZL}qH+Mlg;xkZy)`O4p4~kv=p8c1c_SR~^G3jJ9-q!1&}Myz3P-n(3Qy~$lF-(Z zrGd?l7{ML$&I_#{_*>R8Ffe%b?osJLDGSQBg2Dr>ERY2`vUiWl1sPC(-85<|E5pn4 zpe{408v&}=J-b^}8bD=R1WV^VNK0A7vwIIj%>MiJ&JW)&DE{EQ-~61hvqnW=1!D;p z<O~qQZ?BmhJ3qK|9^kyt`JMB8Nj~R~@0U0)D*kl*e!+$FnBuwb*IGaDw+8?J{~u(@ z1f=388031elVIf!NW~4%<edXcC$zHw4mPkDMhWy1bk)^9(8(7d8$SN~|Nr$IP$1uc z77gIK7-ZxGw6Xzo#yQAJP-D`k^XG9!5Ch~GP*8#b9NfI;fSk2LYV#iHw5C^^vBbs1 z!=OU5Mdbo=z6UuQ>`J7J-wx^mxPWiuW^g>t4ss);;SO>sDA*u5UkB21?*rTQBKZ)= zbR6@Tj>jMg6I3XN{{?OBX#uBO$k0~|=)8-=(6;$Iu&F*O953&|8s^1~pk&PP5_E_< zxIK%KA3~t{;nP7#e)s^&0EqnHF%P+E12PC4v9!n!KTz^R+9oV<zzYhc-aRTDvf%vS zwFz5(*nuTKfW@epA5Ng>2e6Ysi4&GB1!N&j4&?j*79%=82*e=u5JCBYAriH(i!(of zf|82);noH$anX1Hlww;{IO0%T*`oq>C9(Md6l^H@p$=@<i{Skr)A8g7P{Ksc51@2Q zjr<S_%@2?ELGnWdC<7q!!vzqRKJ`OJB=T5K*m^8+@E7FB-aRS~ko;h^9$S9+fF(bG z#i*Gd7`(yFK=9HHaQy&w5^{d<z>*)pVnpW$5A^&{Kx}>h1tk^p!>P4c;-YXbD8;s@ zIH2bTuq%np51?Q}$q#v8yIwf&0hx{`KY$V@a()1%TWaKoFlc_bv>TEi80Ld-{f4!d zB0yaF<cAw5`N3@smN<9|a%Arwl?q6H&{~5nKWJDnFm$7}m%w7w%nugm`2p-C<owWp zB|m`0h|UiU==tFRvH1ZMlvK<QyH;U|i^N@^6x*Uwfu0}0t|T@;fPxJrKg5CUdSSd1 zWICSw07{t1`2mz}sgWPTq50v^4oH6J0A&C~{qO+9rB8nFh(fL(%vNHFgS#L{_U=*H z0Lc$hE3xH=1T6UhEJn@zP=KBvz)nKW4?D2r2e25?`C$ioeh7#nvb_WfN-E}uRm-u& zMc{T&ifvKZfSw<~t|T@;fPxJrKlp*|dZD}xWICSw07{t1`2mz}sgWNdp!s3bR!Dvj zSb$PLWPrHz$qzeF@`KtkEOBra<jCGVDlZ`UfomDI{4fJcegKP6Ge4|A&ktZHA?F9s zSrVWrN96tkSd8fW@BuwP93VD7fP#{W`C--)EOFtu1(af2R9>Lx2e2!N%@3epL&*<z zV7p!jZw8r;CqIA^CUSlNrCT!cLm2!#@<>oex%2*um7BneVP=35K7Y#+@Ok7PKpfDK z&B};YvZY7wZU!#UT+L?CK&|1W7x5sS@XasiBf2c0IYJ=@hS%l@jSG~)hr+6%Y6OWQ zr5un(un;`q@Thq7?moc@Itu=o;iVVPH{uDaW6dDPgF?y_w8Xiy8RRke;oL~)kw?M& zzY*+zg@xd90OJ1&5C@C@3n2an4HJR<57LS7KS&hK8z3RD|DhT|-ZH%OLJd_TNEA&Y zSP0es79hJj!T#TX*Z&~LBl#cXF>L;ihWUR3*#9d)UWUa#!y=Gmce4Rn{67G>p?foE zSODaIkWPgEL855h011Kp57h|r7Rdjo8bP9H8o@%S{$ByI8{+@<c>ND@Jd*!G9>eDU z7?2l1>3==g{{|p0!~EX?;$VsY0B&&l2XzBM{s-wq_#Y&S<_(Y#*#A(CAa8;EkE#(Q zilz}PgzA3@klhgfufywqkmHg35Aql`|Hs1ozYgsG9Uw24*c@vXSPYUtje7x)-rXG_ z`@1)Tn*6W%5PXnJ4KKY2#_uMOp&&QSh2%+)WpFnkR<BQ(fRsPuK+fpA|AG_ZJ_nGq zK<=9XVxYwh$bAPO?gKT!!0v<cq3+wf7H^n=3`KGu$TC9ii-)=|b1gXhPJo;Ra-RaI z2*KjM9}xF}szk8+pnRzNgz>u%WGIsRK$a15ALyD)(0vOh*MQv@0CE;AeXjs<(A)?r z-xWY*Z1-l+LK?$MFX}-$5$PKwik3`4Lg4fb)d=;B8>&W-D4IsF5Ni5<!2v7Z+1KEW zE0E(sVF4}QK^}vL1ycG+gvQUw)nNbM0C~A2{#diYQji2v`u6DEJwurRv=N2Vqt|ra z99D+chKN0#&0wb@<_WOYPT*`Auo|zkLH2^2T?NSkAoswX4bK9o`!|w6F73Skf(_z@ z1dz*1jE*($05QO;Bte($PRL+o;MX|uq5zZ-dv{x?f$G?ukn1*%y?BK`V}gtVxoSDY zRUj)MtqRCZ9!TMt40TN9DsXtd0J)(g_E@vSGSE#wn?X_DyPHD=+{XjWWq9<OX3Yk- zqd-o9-|q0@CrE$q?gVA9O3>ViN3W>?SS9j(6PH%u4NZ`(Aa~b5+zoOKW@t{B0GdAl z9o-LE3-fOu=+0PBrr5F)?1=)9+xc6fz^fWhfH)rA%^9FdkE7dx<@<&27ZneFJIKi2 zVgZ`O>fY?2#=y`W#sXSG2VRH;Ubxh1SE}gIy&ELw((TRzUYyig&QZ!^c)*eK0{_$l zod>^P^nv)e^<arPDB=`8^VhLnPXp~R&p+{E8z_FeyFn&EjwR&|;NcGBDRE2VFFTON zU+<zK@H)=}J#=|yVF}&YE5H6nY^S<8la=9h7U<9`&_Vg#22f{On}fK=T~tIsga6$Q z9L+Zvv>iD*LDzS?sK|f>(QPYH5iq<2PE?4P)JL(abSB6-NKpt{YRR(_Z!Cg>92AT7 zpjn{KW>9o^fM@POcQ1f41ycG;g{Hrs%fV6i0~A{&#>biiKnu@a7(-H(hBCN<21Ow> z6hOPA5l*gIf!94Cvq0`y21!*QJ5W;<<op}(gp>kwf;J7>p-fy3=}<O++{52e$q1RC zy#eBaCPOkn^NpZn)%<|*`$f=A5Q?Wjiv&3h--46TYo^Yloi8~Lme_lCPf-E4ysB6_ z|9!uvYy@5(3R>;94-_?}T!!CT|2yzcJ=Xb2@dD@95+2SMoSzg=DPC2)@S4f+ZRgAH z7h4aOaP9`p<Qcww&D8n2^9JXO5(m&ktAGjv!#>b0G`m2j{=b+54v!v{8_J+1PI0U) zDXa{J2h#ZU82P6kP(0lFwuFQ8wc=65!ytpdUu}KO-vaI$^wy{dfXW_`6i^b@IPu~$ z$e_;SSgf#I0b1}A$EukEIx9fXMTG+t8XyZjx*0q=SyViG*Qf;Kf(rk~KD{9-93H*A zj?-Bg_Jf=c_V6x{uN`-QHd2D`U-0dGn57V$Rg~r67z8H6v&ympLbJ+V)UE)fjUx#- zuPy@3OoCzr6v=VmLkC+_KoREAd_)1X-ZRcIJ{GZ?e!>J$*`eUk`FUSDH1$<41=+Bh zV+FXk06IAmRAS(YTJX9~*cB5e961k^sCjg6UZKdq&}|33V&Zz|weQyy|AAt+L>TO6 z!%MGuI(<|?*^%e9a_4pMbsZd>7dl;3cuIsh4{~02Q9KS_b;tugJb(kVlTr~h!3bVM z_~OJ8$od56s>#V8v_ZS34?kEAQc|OWeEz!ZlCS?ki{(m0K|>y(QX8^#9$dJ+R`*C| z@W?z678)Ar(RtA0;7bLMgD>piDtlWPe=#sHAa*!{3O0ckCzpa(Og;UFytvt;^S(#t z%@=zi@uLt83u93Dg6>Az2fDQ!R4_|}9N)aV0}{RyK%w5<j5F(C4_^r-9N{Yj3t#R| z9+gfP6_(eE;Nay^0f#P2i4bz=vM8SKv;p-z1(ZOE6V&s{T?`3YP_4z_(G3n-$XdlJ z76x#jm9lj+F&+S2#57qU9vtooD;OB~w-vE)o-5%7g&hZIA;D`I{%u_R>rd=hy=v7e z5B~KBJr2H5WCaB$XcGh|AUR%KfCS_pYynvUE(DJVzylIgSWZA`KWBo%uJitjlZzlJ zb|nJ?LrMLy<^&MKqr3S5D9L~W5R}n8lsP<_e=>qEYPz8ay;w-yqjxum11(BDdQB%x zMl0lBWPvgSy0SzRWsW;R)$R+1#dynJkh?(HG73`ma;#)vKrMSgUPP)7vY`HZxe)BX z2_SEQ{PzOH@aS$10L2<s|9L1O`47ZF_;14`bpLgM5@hdgRAr4Q%Ao#JScKPqAa^18 z59D4f{zJPjEF0=c7LX^qn<Z93_uhay>;E4dYc5#Dz`*cY`dD)Xhyg2#AX~)wTegC9 z_wLpZg*UZ)dTozRMDrqOO;ZZk{M`|fC>KNVE&d9-yemKw8fIFc<=m2R13h|8OTY$# z7dRm*+F)>G`)PRevc`iVwDlzD9yw13kJghV?8hA?K()_KkfV>Wa5H*zLVO0lb_tq1 zVSDeKL0uJ5_)rvoi#m9f57_h{pr%9XN&c2cAkTJ#ZQyUY3R=?wwFMMLuV;94H@`4J zG6L+XZm^F%y1PFZf$wMHc4y&l0d?fSHS$i-uKLy!(53CLu}(;SuSeu}cF-<wpWfY| zAOhvyPS9=yP(=p42JOZ1h2UW1^z3E_-+!h8PjBD?8#UxWttK&0mPLs-k6u&W39JmS zO?QHP^YZw=|Npzi4G&lg^3OQ{E_Yf_@V7>S0vcW0y?(Uleo-<1>;IPt|G;a;VeMm3 z84Ox&mIDgJ&igN>%mZht6`)kb-_pkf+QHAT8YJ1>4K9sgn|&awvs?{Nf|@ey;HC4g z^IZ)uwH|QfpLz&f-hpawkM3?zegvJ-30k^u2(IqHcVKb67HmBL*=zzjW*EFM4Z14L z1+prw^Oy_gwa)V{oYzZ4IsdtIUiyB?h4Yf)ukRN)4=P@C>^$iLI;L3h19%tZ3dYhP z!*8ywCqeBakM3>`P@FrmbRPeHUD*=6#f1}637mY*X?U{r0BDE*dB@Hlj+`GlPjG%H z5q0D|(D~{6CC*QZhrVCu{Hb{H`^C;vj;$B?TUtQg@7+B?9G>`ndTq=5(1I6~o5Vn& z*S&iJYHm6(ADj#XJ-VAapt*^^#TeAF=-mwxfTs#mC9t8W>EZ?Bg0KHyvVfGM90bAN z@&V*7h;1I--5SP-lnmNmj+&A|i>2U^f-@z9T!S?w|D6Z+0`V!?XFhmwFjAO#^qM;N zvNF8Z#!SD-ko1eHSgsc>fM4vGgSVUoB~ws2>kZm|(b)`2!Z_}8gB|mc3ktx_`!D9t z1}Az0P&zNsIo8|(VxX3(B|)H(FH=xU%Cx2j<gyo$v++6yWC+MPYeAmtYz7$)>0rYz ze)8z<-T+Od@ay3?4G(~;E<_?d0p1Q{@6o+^hB&x+lgHBhl=1uZ&Uc{ZBREZiG8MSN zT*}h<zVn^pPtFe|Je&tP|0!OBT}}q-cC?;CyZa2>Fm>?g-fRFWWA&g7)2pCfuHt)e zmIh@U$PH{PomW9OpK-n@;pIHY`OZc07|dwMy=Qm-{r~UNz4!zuh`J{;m@zOocKfKX zfKy>Ns1XFdw+`&wQa;CS6BWb%)<zEe^N$<8?R?k#jnUQcZR>Z?1#53RUpRIibme?m z664Xm`GYnCL${uzv5pG2A4})C@7KU4fDYIC3U(LRm8D#UZ^0S=Xy?1mm!Nyz&VfvN z(fMBShb!mN5&;*^7cQK~T@=qdD*jdc@tWDy@U2Vd+wWJwK@C~pd6d7k9F)gkr9Ef` zA;&(@^=;rA(m<ti#`LfML6c2jKRI&ysIY+bm-0Jyv#1!Jv<B^Snsd<b06d>{9s(V~ zez6o((20Nygco$M<(9}ho&pfT2l6$@6mY{Fq)`CXCHJO%{on1PBGB!lBI0Pwq5^gd zXs1`}g%UxqqYMwc7HodV2-*#m06n<9^B~+v42QwD`#~KB+HA<tU82I%4cqwl6Lf^x z1<ns8{NQ`<IDaXAQoNve5p?k#C~ZNzv^zjeO2bR9IYAWx|I|aB7dj8RaK7xk=EC`| zM3nQRBj;O3#joEla2|3|eD(cO=e5_Y;NXX5uGWwIEwe$_G4`6ucd|0PoCZJk8r1&t z?EL1@-KGJ`1svT0EZ;AJcH&+5enIhstKrG!2aJyVt{0m>FoKdVq;_gO4$c+e04WXi z>}~_+AOleA;u>hX*op5K6h9b#16`EsdJd%X^!Lk-;68Wj;oYFxtCY3#v}5Nfm(Giv z7dvloUMK-={raVN$PrW%T~@r@d9M?+Zu!It#?nN?OReAdryla`Zku2VK8wEq<icl+ zo!`G-S3D1L;d77+KQ@17?ELusA~>X4e?ktOVd?zX`N6RhG;9PurA7o)D_#G7o%6cl zcW`a@6IR=~C>{W<jRp-<f`a<BscY-W5)F@D(f94F3_iWA587E7JUX8vl8Yla`Mx+a z?d$*793X8Ve|58{fWoV@t>7-?q$dck<SuBz_dW{~1_sZ@V-X-8<g_`T&TlVHO#u~> zu)BUo;{($AfW!wUIMEm$c%2A3J?JF=)PtVgZ4sbkV9;H`^8FfURr{~+mlQvN4iRMJ zcm2`)i4ioy15KvaV2SOwW9JVSP<h{ZkMmrK2<InI0|#{Y5O}ToVa3CpH$Y>GiWk2f zWGvwV#U?lrn6w@Mr-9e4;52Zj6?+;uF$HTHP`HPj22}2W(*Oe`4RC;Xh%|6)5^@>< z^~+$`r@QS#7O2c;=xn=i55#a_>1?}l4^(09+W;EjZ#=f+9%z8t@Z`(-NniiNG(u@m zdlYuvDyU7mFArKbGlP;{@9qS4(3u0KKU+XmFDN<Qo(yhaIB<Z>1PPpi2vooYc0vSx z2*FgWfCv}}!vv;71Tx?PZ4iM4aDh^Yzzw)S3PeCa1ZGAsM8E?s-~<t9fD0Hx1a`m$ z6d(c#qA)Y~Ap$et0{=ka+`Ib%T;MH4fI|!v3bwzSL7@O{CW2a>4AUV&AqFxJF8XR3 zShNBx3JRc=5HmKw1!h15Ucd#~Ap#2GAcH_!${+#^5-@>Oh=2uLAOs>%02gqE2&{k$ z7(oOMfZD{DK!z$p1RA74X~Xn3!Y?n(Ax=(^fm!!$BG?Z<6kq~RAp)S$UPG{9S0DnQ zNd<_&VTb@|Vh19y2_gWRpz!E5z0d>>I?&9-ixX49rf<*&1*&Qk!XZAL&t9yCNWRbp zSq%-;XD_BgL_t^ILQD^Vm=3yd5F+3V5dhr?1`#lV2!O8afe0u<1VHyzKm-IJ0-!_a zAp-v=fE@-pB^n~|4k7?L#uXxP7bE~{s(_YRID!0-f^=5IvllO?eEshUZX_`<Jh1oa z%mIzC?zV73@z9YeU;o3TR-j6)gyg&%-e3)&L0C}iO@@dH_@Ic^LPR}KMbjao4XC1l z5YZi|qSg@652&K55K#?Z6x;YAq6w&?KcTq@RrE1Lv>*T!K)M?nK+z969b<MsIE+^W zfJ_DnbU_3jzy&HH0uq5R6&VnL0JuOnM4$sM;06&m02eTY2>gHxs6Ye^f?$RUg9N~% z+YAgJ?7@ePfY!W(f#l)IP7dM;iFmLm=okf%i#Z^o1_@wMP)z_5{RGKg4#^-<P>gNt z1N(FXTwno2;00WuA0nWT0@G3l5tslM$OQ=?rMDAc8MyoEAa*jOf((F){(F%D5w!q| zf?T2wGNpHS0ayU8%n_n&1*)hvMDzhz6r}l8FW4IrX&^g50yiN70dRp65P=T3z;=kh z0k8nL6$lETi;(R011t(MXaYppgw+fTFQ$O31rJ9-yJ^q?YsmZ$^gi$ikIv5?-E9v* z6{kl)a}6U0Ly46~_wE<q>Q2<Bj+Mdi8`!=VNBTh>=MqPc?%g2e9=)b|AlXY0S+hxB z|G#GU=w+3xV`b>(Xnx4((LEVtN+(yh155J*Mvv}pFxQdAqjz@#=%kxo)4#Q#Gz<<t zkIotujTa0c4|P5OrJVquUfrv;tPG$dJS0E@4j!NrWIQ@!R4iU=LhD)(1v{M3@DjwJ z?iv*h&>m`m7n>%0{qNJ=oB^^7wtEkJx?zblhzm+)Ak#%&i-XL8VaH|*HjuDDJsW%w z2Yw*JBPIrh?rxp?;3+zV`wR@7Cl3CQKlnnL@kHnGga26%K4%8CWxy>{9lPcS4>S*6 ze%q;|(j8;Ra`|;<j0#KhPsuu!UU!ae5w;zmKAGl;&V!d9alYylQE5KT(=B3m`4Q)> z&JY!rW)+qC<`fl{da#pAc|a#a{$S&8;{Y8b1a5PKJpj6S+oL;1#iH9sMFrHzas+oI zT@C-Yx~M3Cvm>}kSIP<NJRO2uAbzTp&9U>iW9Ko~&M&T<fB0LN84-S)jOMpv2mi4i ze8vpwc7Z1jx^0Xgo_pU}qr%ahW5ja#ZD)=O56E{~y>1-cGE4~HJ?8w~8Kc4hc4hN1 zo^BB%u!lobc$!mGI6$7{sRxZw7=p%USr|&WyBV56K6MB6Vo`i50Zs$pyX8w%WI#>N z@87S3RT!RZ{RN(61NW*+LA^FmAExtq=e6c<jIG~GSvoIvUT{=A=E!-zM8}o$ALj`d z#Rrax#~l@qy_Sc?J%|Qd@tOz31Y=jj|Bm0UySDx-Ndk@O-UAK5LUM{v=Zg~1d^9Ls zLvx8w=c^a9d%zjR1e#F{AvwgS^W6)<{;&TD<c;phD9IHvz6G0^;cw;t`~QD86KJ&d zCClIc|2q$Tztwtx-}M40VnC@0a+WG+@<qX;^Vy3By<h*oyoat3JgWxIwjhO&F!kts z_hJ)BHRx~=kZIr!JG3O|c4q-K)|L4|jdk#lC+K{g10}*J*$9$bT)IP41iD>RL_po; zi~KE{7#J8jeN=opT~th5AX&$!dp9U~xpba9_*4GiOKBIzla8Hd91s3sbv*b4o`0OX zS=5^!K5*1L>C$=f@{3Lu73b~{b(YJoK$*i4l+ZLCdwo<m9J@{U!97D4&6Ag(aUSb5 zQE}{bQQ>jyW?{el05U7q&7;zJqWM96CyNR=OMyHH4z3a<)RS8jz=`R#l4J8D(1^p! z-7E|Y%@6Ag4>bQ|D*}yqD1b6uw~LAjESrM^#z#fr+d;-s(bfYcGN7`91yo=K9A^QY zQ_A4c?V=I@2^`Pf7!{Uo6P4}|6&A<N3yz#0K;@Z6NtkEnYv*nj8?aBEx^=8CzXkhH z9qL0FgbyEc-f--V0eMeG{_<nayP#a9;qdKfeW_COgZkzl;>A*iCn3I&@c}7>Y*FTD ze#H1%%kU&fC1}9-gyONz5)}^4Q=rqtN<=uXf%3xv#X}&j;s?+%v%;W20w)#FR$8bx zIY8bNYdugR3-YE6$eRwZ(`!Kfbb$ENr8`DN!lk=JMaH%Dc8RcS>$g%q$L7b3h6h|a zZ@%PWWMFXaHUazgom01r_2t(f-*(>Z{7|O^iaQQa+`;4Y0p|zD-Vl(Fb;K_}<-F_C z8KU9<@<*vq^Mi`!AL3<PhL<3N9j|!|FM(wEryg?byyOZB;R_`RAj7d6d<<k8ETtU& zcDTM&u=!bi^FQfg_~<2<;el_5>%mK492HM-9xCB?<h;ar&_(f};weYPQ=p@wIxl{| z2p$tOJmAuL5j;>+%F=nQ^J?cy7tWiVpIkUUfpUdIi3sOK$M2V2Iqx|tUi*F(H1znb z^8@H0AjNZ=7+np&!ACzgGM1LK9srI1J9o=~!|63BbTyp1bu6Gs18YDXM)uFq=EwET zPwSgMYjnQ&enIgD|CEERZ~3PlRyggz@zH_fa|yo#$4Abi{8JAo+~D8#;Wd-tH~wu0 zK_fb?2TRz&>FRZ1>o<riM8K|i1r|k0pjcgT2-Ovjz^?e@2X@5;{waq*t~jW0(ShTW z1INb_J_n9Z5LcXV;otUwf7>A!kQ+b&a-j7fcvNWvV`;T(=VjN{Z=e|&=WZRaW8OM- zn^<3dhdXwUBD>=lC@7xQH-Gg9nXdQ}bpOFOP-q->;ke+!ak+%wh2sL}IsT~!6>jox zJNcT)@T6<!EwF$2w;ceD*R~!jVe5`jF?pR29-%$v+zkyBr0`G#S&5!}4>>j;;Q<wA zmmhE*1)0zc4U!U~g5&`IwoBj@S>Phu@IdP|a79<j=F)k{rSl*tRbDO;aOAwjc~RL% z#Yge9qvEN~8z7fke81q>dI(W#b-OTflxVjeD2emv6)h-1wC!HBf~%}jXqDyBYZ_F- z%J5pV^+1V@N3W<alG42prCtc7`XE_ICHF$V<Lm#|0v^4rLLmL1_Ml)1q4uER0gvV* z5ul|jv7l}BD2rFn?+5ho?7ZsP`K7xr<RPdD*5>h$fuZx%!C&$RUr95b>b!>0OfhJD z^nihZLG$8eNK3`VfaUTF&>&Wg3P<w;M$m$k?-yE+mw0-Bn@X^rivZ~0fC-O4{d&l< zF?rAm!Nj(&|6%GLKzcYJbqtRo>NsASi-5+9dQ?gtfbJ%3QOS5f9p~}4yaWv*L7fP( z8tg=n)!<QeSHo}61~6zGWk>7R|Bl@~Dm@QD?wF#|0175VOO^Q6oK&4Ej@BG%Lk@JT zAE=sWerVt60}5GtP{@L65O6yJG_Kxyk-zmb1L#mdSgV1*1$0b0Xbm5zQiZfzUtVBf zU~ui8qhj)ifx)-CM@8ci1A|NFO;}6ereo(R$AiCE9S=T2v__q~Sp>mNh0`vbH!r^c z&HFibhX_L23OtU@zoqIl9DBV$O$AP9wQ>{OR47s5aO`ygRRE08rUFkl^dOPuNA{f| zDjX0G@gRJ1?)x>v1FfI<TOHXL7+Oyv{G!VSJ}y!O<QH)L`%<0_bXH7>iU>$IxY_Ii z8e~^?ft(5g>V$$6zZP+A{Z@j0gdC{G;OMSV;c@Kz;mUadvJwImr$-(_0%iwloE|#( zixnCs;7Z%ETSpMmL<cp@B^<j;1X(V>>jX8Mf6CUW_d0PncFQm#H_}1f6N%<SJdWKW zf|nn0e(DTSkpVZgeN<$cAF+3as7N$FWbgD*kpcM!+<XERi=fab)c}VCxN%e>aoj}( z-0%U1!fRe|Tk1LE>u^Z3$wdY1K4^mi)NU%_f;Ayt3xkiZ18W2Y4XAw~(e0xm(e0ul z11ji_gR0+OkhaQkNAO9F|G!^%?4F`>2Gkk52uUW47dy`#{Kx9j%kve~6LW0+1zOa1 z;64Kbe+y`U8svThkc>;`*=`p7gU?tsKXqONCmaKh=Cdr_Ci>u@GHL!LTc_sO>&elr z18IS2UcCI2^Jr&|ih*PEK^~`W7XHf*I6r{ew%sl&2Hid?Ce07nJ6%)^AR%Sa>7ruM z>7!x;S}!Q^1krWiZ;59FWz9V*3Qs_VM~I3AY^g;|1E?(KZ;@k#C;({#^=B<!ZeV6$ z0F7{IfZPvGPp+M(oVr=Wn;$;VJO%Xthi~U)&*sxCPTeN*U{COXJfVu!6C94cjy#ZN zGRO}+-7%oPoC;6#V|Gx7t@8!MGd!-{dsHCFu0;iu>|8p3LIT=_@uy?wS;vEaSsf2P z1?NX_c6aVJ(E#Vi^Ddn~!Hy7c?=I0`alHJ_rL#mu#IgCmY@McKuPdmgWPs+#pU{vL zaO`yj<w%Ch4_v@OE7I+wBG6r;A_56h0nV?@&)7l6fPw*>mK?qvWiOFNZOKc#76Ua= zEne<nW?-;9T?9I~6?Aw9gJ*9n3n)2#2X~sl!RXj+V%2#O>`)HJZcyvH)kQ@D)Su;V z0p({<2&y^uI&yTgu!CKzc@gSZ4y0rNDt92oM~w;(B*SntKWFc(Q9%?R&fPhz44^;( zWl903ZWCru1LnUh$UC4i3L`{7h6*?~9|g4^G@zD>z$Q!~(IWr}7ZJ@vh6gx*@=t-R zrr~!v_5VbtkBUO4i;4<n@+(D4ehRSUcefUl{Cv7|R5ZX93xCU0Ce(ze@KT+Tfq|4T zfv$pSe!$-RkiGdQqvWOUmpBhKpW^s_Q}RD(9d~C9QXz>FMjR+%#Dg=8IMBj~ryF4@ zs6K#%5l?50ibAK4iVF6yk^tQVju}?jXkjG*3#*Ma=wX!!RSL_rpfh_xCFKjT`mg_A z8iEEidR<f$Udn>TT3nDk4J{4@zJaF0;57r5;*i6o8#Lkqs~CRpw;TYSMcdt@k^`<W zx2PmMLTPkdIQWD0-~({x1ZNh<ZXLGfM-MbFf=6sX6$2Z*Vvwp+?+pM|3}U-Lt_Bw> zk2xU~!vRpHWP>I_q>2GlO-ewjNz96Yzx6q+ngmx4{4JLu)g+>VczKkKfx)r)Ib-v4 z#+N+opwiGqMFNokyE#CM$w9>=cqkEc{1cet$O4Kf5EE1mfb%hU9k3v3Il%Fnx7mWF z7L@(L3$sA26v(;FAngT@!DTYIVGKSI9CQjXsIsU4x9LQKGZBsC!&RWz=5GO=Hw#h% z(hJ)60a5~O{u<PN{r@_s^#Ei)21pUq9A=Or=s;>U)SNhIT7jDLAOk!Y3aa*Ayr}v5 z|D_x_wXj~ufHt5(!2mK291P757(pQc<~y>0+66Y?Hs=%-15lfjoVK1Uv28u<Im<;w zpwmZ11Qe<kkWhsTC)<L8!2ukcAu0l}tW#DA3Cu`nAb_-hYB|sr4N%g1@wEEuf6vb2 zj@|4ae}eRPGCFp<v%Hjn1k1+sK^QEM0-2-v1c%|t<`fkkcK#`l`-=EoF8n_UF6poZ zkp<Qu3a$VJQHd2u5+ityRek;cniW*T2|zLkID|lB?eO5DbFu)9<+6i44H{MC*vHJk zzyR5y@v989eIk;z${4zRLI9LVRv;%5a0qNbPb7imh!6lNM@b+@D$zm!q#c|$Kp_C; zK#B-Z$Pg|fIO`BaM2QN|_iLa$1u9aJM);2&{L9)a@)p!`Y%Kw80)Z5^{4Lu-y)#f( z03_9Uq1(pb;8Rx3m*769fJgHQmTnybaB(Zr{1eora^mQgF+gs6g9gY2nhzn3jU!d^ zpuUs<q=Xd#ZEylL!9gMT04W6dTYiAfIPBh|@&X!)@LGzy3>1p|Eq|d3K-xedDe)5K zY;fV(d9}O7lmXIZ0QZ%_E#Vwf2C&0<@Hm_U#o^$ZxA_6c(Hx+GYEY7}$C(d6j_HQY zJlTViI?Vm>qQbir<o*&zkR(Vs$nEfAVqf{!|F3yK`9K0CJAh1qCk8MFlo%kP^WtSO zC_#d5G60zgDnr3@CXm$XQvzBH*`fkUL7(^`hxtaF{KOw|;uC)a2jnsXP_G|0I>7PL zkp;Z$L<N+{z*Q`?GAF5TbDk62xA_n6+Z=z*WC-fpwEizqgbg|*IDmRY2FTVMykuu$ zVDRmAVgXqXj!EZk5iU?i7&KDO;oQx_arp(P4-D#&gW69R{ore$kzmK(AXv{2JP6zk zUC;+fcN~xiMKoj~J^E6S)&rnq5300EIAA?_(CGj73$Xm-*$v4hoo5gJl|T4an(=Js zd)Q1F^sb9;5xeH64>Vtb$M!k8bL?0yzikE8CpjuSCHl=jrTF_m;~$_|GZ~~=v&Wp5 zLEV&Q*tFTJm-`tQ7$6DNoueDCQqVXzC0;C15&3=%Ux>Gss0e^UoWI4J1=PO5F$Mt% z`{qMD&X8U=IP5`1sXaK~K#Ec}cu~5(5R`HwSikE+i&6>Xv;b;tf(NR06o35>lK?NE zd$Ftlq)~v?4y-W_a*+pk8(RQUx3mOY4o5({q#Ury`eY$E2ZC!NkaAEC1YI!!uBdHF zVC66eq$UDshi5%72T~J(Msz@J7|@6gQlU!y!6@9pR{#zLP{s$PP8sm%Sc{4PXe0&e zAR@Ro+iin7h$zsFG>8Z~rN0x@kngQg5kM+M*a{$}2+05FrAQ>C6ahyaXaElsobago zTZkTYuk*lB$MA_ilC@kL6z>AO_BtRs4tlo=s50olh{g%%(a2PQh(?fdkiGC|EG<Hd zMv!)RG=e#x60}7H!~|Uq1Gx$Y6pnNoWyBq^)4^dR=%V5Pau8^&0+iA~V-?s_8Z=^& zCb0$Jlh`87KXEpN1yF`PMVeDo1VC*b5q3~U6+mk9uz?*U;G^R3i9eF}fF>xqKsAVf z3+QT`$b;a}lkjXjasoQY3`+o@Bj11KfBo-xtQEBPfe}19?twHq4l2s=6yrn}B`LX} zWChC$pq36O$iSUPti1;UK?Z8pV4e5J5@evVO~f7&WQeluat_Er&<YolvL#;1{{D}) zz!GKsiiBt9HP6nk-F+d?K=T39Jf5K}$soRL5g@Yj!@nJL!xLzI{B{==9#8=fsszAc z10F5`=c!%X3=9lAK@DKgV*BX4um2GV#j|(shbIgSu7*zxFYN?vAp?!qbL4@>>w7_- z@a&$7+Y?}WP}W|cBwL)GK#K#|_!0m13!py9!53VhsgP3#UvV`*Vr+c)|37%j9@N(4 zaO8Kn0;(%Ld-rNQ1@+Cr`@IZLz6i}F&dJ~w35t_B;5m(_`6o^%bD-oj9?Wp>c2VJM ze!$%Aqr%(#kQufL$g_8E0@R%Xu7>}ii!WX*&mqpWv<hH$XaKWg3*hU}0G<JLI0tCM z|BEl#1M6fqsFT^6A2Aw!LroR@F4v%LzJTIp2O`}}r9=T6<AbDQ4*u;IL9S|kU=M1v zg4&Ou&UWKb4p7<93z^4*EdG4|Vp<lch;2g@u@Xo{EO^}yjKE&rxV!+DHx@5&l{ZvM zNuYEOYDWry7@!=9m`nndH{koB1a^V$OoNv<uQS2r4F{-V;$ipf-Miu$dU-P=6P&g{ zp789RirW*kiKl}vxS?r_8#z0GN>c%7c_ZT4yY~Si+6+&=c$zV=PDU;wk+K8W(U9x_ za<jy9NEs;r&ccR7x|wEarQ1bC5K=~pLdr;vP9GH>&)&TOP<L`5m66Tq0~^9v%Sdqe zI`X@K%1BTEcR(Eu3E&H91M6fQWhB_qh(vJ!#mzEAx|vFe0<Erxl#wFvG7^#?8jt>f zx)IbLfR&L&sl=3#kn$0Ho{RvvHTI>uujeJWbZmHuy>w(z0gaO(H41E0;B5jHP@6yn z+oA&*6_h3c3;%W&l};8FP{GIoItioOMTHTP*jZ5$`yQ1Upu!q-y$MokpPB+nIz1{N zr-B;3xZDaGqQJS}mDm;xT4i<c1&;L2q5@6sETA6z1(4H0XLA{zeDNrGpq-2}y|bu5 zk~`SV9H7{Tx>=7%H&Z7`bhD^{w(5e~10pJrq|Sn#)Q>oToCit`&@1dgJ+Ag7w4^Qo zY7T&p(t)IJ=z4j0f8)w4a0);13VRBtTB-r1ZqPA093Td$TtN)Mf$Dz&NPmMDxxeu` z5nTU6JEowD^d(09p9o4xy&z9`c2C9a3EGrF2Vd|&>wg~P`XAJ~LGEuzfPxqjPoVlg zfjB2qsmTHgZo=UX>b&j*5ukovaRMaVA-!8rCHo4r!DA<+IQ{oRnZ7<iD{mmVfd`%& zAd_{CM?0XQ3>r~~xb*#t%6PQgfZRAn$qyQk65peDpTipl2FOt3>1a?t9`!yz&)zvI zC*DHZMxZJNG)f6ND~TUuQ+JO_$!pLWgBF#H*C=z>WUuGrZ^>qYx(8&<ifG88N8t3l z_y7O@;3K2Jr;dP++$iPkX6QV4@TENC!HYi)FM+Pf><m#60TsY6-auRp3Lj8Rzle$Z z`XB63kiq^?gTbi|H2n-V6KvekgKy;-k6!$1coMW<4btw~qoVK@w0o;Y1r!J%<3PQC zuDGxN9ruC;Q{m=*j{>Fk9+f|DK;be)<-;2WhR)Lmf6E_yEzNkk^B%@JN2BJ)4>WIr zC!9FCV~il1O~BhRlzQ_xx^=W1HP5<qzP$XD^DoFb@R}5~)r1E;5MH9f)BLa=yn63h zJ@^i5(8xZxqOM@+tWl8wtsQd&`;YUVBY0y%DW^*}hok06SJ1j{9~A)?&`2m~0M6ts z$k}^TG~S{VkD&Q8=sF>AfOX60L*|=6D-1ZgOY|WN5x~w??RDko7GXzBl0mk`a5Nv~ z=@!w4u1^EcHGvK?0IltJ0j<^pd4R`J^Pu4Y7tVvQwJ?tSE+<hJ!!W!9&-;UCsQFvC zp_9-Y??7=Kq5@wGb0G>eV#?pb2~_~n1}bqC3@?G#0llz~{rdmqMeqWQ7L_O9L_9_1 z1}G69gshNaJP2NjhQ4>^;REo#AMiXIyy?T!{6nfvxz~@QTZRj4yyn5n(7iLgbv)fR zR+pc1f^>k6GT`V2?Y4Pt588gy{L~(_RtY{31wK9Re5pw5TTl$5&CU3zh=8x`65wx{ z#sb<Py+;L-#7%=eSs7k_V*v$$i;4ndEFTmi@IhcO2Qml@VuI`gM<XcRm+*tCBhU^B z@G6Vftj!h-b?neH7(mA_KqgdeKtY2TUIZlwv<X#%*PvB;;8W8;t_P(*0u!pB!CGP` zR3Q`e0?jEZBJ3ddgQx5GTfTwT6o3{Xf{F%^IJlqyr*UwCfB6xr0PJ6aGo?6Pgk`1_ zba@+SQ6<O47tIft@h%$x-LisI8iB?aU!04C6cnI<1vO(q!H0dw8|-wXHaBP$5z@Xb zkejiN-=Yn~xq$1H5)~fD<_GMc49@{sjmHDZ_dRbQYf~FQ#T9BaL-Rd2U>&<<G!YqJ zz_B|-6S7uUr1_^zojQ13pbgU6)aRV{L09QDALViEw$Z%&obx(p!5FM((|M@*5kF`g zv-u%EXax!={=o6tSpr$?#rcuHMTL!l!D9zM=*UCRTs>$S{be0!8QTd^Ee~3<3OO?r zmgX+LKpYAPss<|113ol@&H)b&cOMlVY^&&8B@ciC02Cb}#Ki+RHK4`=hhuk%CcFg3 z9S@))7#a`XJ8M*s%3yxTN;^<2@POQ+09$H@R2IY5B|_HPH6Gaj4Or0p2Pj3qj0BfJ zb%^QgZXK~s&@Rdx6^?Ej@yqX<e@KC>#VjeowFszYV==n?0DR3glH0)R5kTXDKHy<{ zNb?7DF9p<oc>P`z28!4g6&YyND*#H%<dx=<m~I3u^x(i%n%6^0^LjE$^AbT&Dh0JJ zJbFz#omm-PCwTPY4Ma(z0}(Xs2HB;Fumd~|0B-ue@D2q97+PBek@<<AgOMb14hCEV zxqzyD$L0t1poGTZ*!-~G1=Pj@rGCP#4{#}j()tkS4$+5~K_G{?a&(&@wLYG4-s>z; z5dgJ5O!O~5;{?syBQ4RX2hBe~nor;XT5$Q}!g;bp4qO_+suggqLsToTWn35!fQq8y zup^5>D?dS19_ai{P-X&osnbUVUOxRl0bf4*{U#*SgW6o6c!_{EuR#eJ)VzMNIhfAz z0&YfvcJ)50Z+=+Mc(VByqvV0_7dTIRza@DI6hNRhH7J7CfE!9%R2G0*1LqI^mp}Mk zn(=(+--CZyq16O9pt@!B8lQuA@B9Sso#yB+(PO#%uK6(|c-hA@Mo=r2@vz|m&TFui z9>2?Ja6=DPx=eru3aE4e1q!IIKRXDmccTI7>qGY*Icgq&MTTSZ1Ab8Al6V=4JPC=? z|H<&^yz0^U*{64z!$)X;17yVsKg=!===8>qh*oEbnMe2T4<A9Ttq>LXN{jdaP=m9? z9JKKkEC<PFpuWM2%Rxvp^q`YlKQb_Y+h(A{A3<zK7I6Oo<N$ZD0|X$;JS3ntfn~v) z!C$2NgB&Q}qayK%KL#?_%Hh%7tndlVff}FC9JtRP?m&=YP-7U>l>s}@2+e^Y%`gXo z*^Vq8-OV5tX#IdkZ?nLA28J~L@RMo$dIv!Zn=Hye$JbxCWn};zC185Z7PKPP@T5oQ z@fXhmpuH}T?=pSCu4nkfAHizN4VqeyWR2wl(K?{)1X_p$nh{~>bWw2t<v$ltmOAdD z;sc^v4^)B_c!2X{Z;grrQm_PogDsNv0@yG?7Zm}I?&b*eKuLfGiU4eV%t}9apnw#E z0tJ){!GR(h2#Ym=ZVr#`$so<JKmoJCfdXQE;z#W>6O~}}5LUlHPcVxDU{-U0tp=qw znAKo5$ZAL$>~4m*0G48q!o&s=*rX?w$-eMV!AL6XXiftq3YgQtY)2N4&Kwnq?rxR$ zpn<k#iTBhR7_b60<$E^={AXa;e`2RN!!FP{%`c95ftDK|@#$U-3K@^y-4(F>{NlG4 zEVV_ls&jx&q{vZ$oMPwE`Tj+%=hy!py}LKSm7hUU{(v2Hj8%<F0608BgG7EH<?u|v zdYv7VY9kqZdP`Ii_HlvFVgLR@6Qrnj_l=+6@gvwFDUW@@HVOO!i-Jls(5C&f5K#|= zC}>DwJ4CbrBFYIi_uGqwzTlJfLAk)AS5#OTG!)^Y65$Fu>p4e7!lU!OPv<kxA=fW_ zzy43-4?mE`uj!(q;L$yK#ZLx?m!PwqJ$g46{A6I*uK*9Z9#3${d0+{-gPw?xd&>q2 ziV_vbNrXO~-(NU;fc=9Axk*UMmw}ao)>MIV5h&zDAj%_tfV~e26p;7N`G5_X01*WR z3g{e^9T3qI$fEyVEb>A2{t_98_X9A!|I!D|`x-w$YI8sX1EAXh)WG5S{sp5i=*Xex zFCsm@{_kp?#lXP8)jAt=Mm5)t84L^zFTFrb+3w~E$OQ<fXrBQsKtSURAhTX%c!9G@ zhDY~qkYZ2)0vcif%iZw-h3`?vZdV46?rxA)&_Z}u78nPViJL*f-5wmx4-YtYhjKJO zvgbV282~ELArd?g2_C2f4-K-FHYi)cdQYGuK0kqitVBg%mm*?t`uht%Z^$4iXmT2~ zYHD}JJ8*nLR>FLH@z3k)e~)g2e;7dnQr!;V0b>^x9?&`Q??48F4~Q~62|C~EG)N1q z;RLmL7pOM}oe_Wj;-(kaQs|7hNAK<%$d*2P(FrmEYAMITm(rjU6+z2iL06_gxUC2H zT|m33ctG>I9^Ex679g7gQT0io>H~4%`aF7fd%Oqv6zt~LsUE#xpZoNhelP>oa?qtf z-(LKM<oyL;7lIUjd+`b)gyQILFRplg{g33DZ!Zo*lp(D7_F@A_2oW^UU}f%hU~hiN z-28wU6u96uOuf4s-h+Y_GB61mG6Iz%g&^&Sa1lrKABc<Wza4P@{d-}Ks#6SACy0xz z^8=F3zo2Z6;bf+62e#&i_MlbB&}#)edUtDl00k&~eEl%0&ds2tzYAq%<e~>!I!J(+ z07-oRUNnMC=-oZz1E|qs>Te2)7{ik<vOvNJCqWDb4c>zLKcKRy0%|a5=%2^lqj&cO zh{2En^?xrkP)&fu14p+53!0xA4|9Bk`^ls8J}462xPSe>1GG-%m<uZdWI134QiF8@ zN_$kov-7)8?>d9e@W$x-7uGJI0_2!)@A3?U@beccE|7~8N`<;Pc7SRK%@fT(<oVmG zKp7cj2d+SOj*7_ltKBisYR{+h{flSLU_)D9ml#6pw&yP{IYUO-!HswDpkNWG^##5y z06feN?)ki~_v~)}fl?%TcJF8SjC5@Krx)R_paPM<<qmky!hVo)=#VR@ct7b5w%oCs z&9l27q!Uyky0N?j-H)^rREcVXrnNz>Ja97*?3v3C3@<hRl;?LxoahZ6+H>R%V*xv^ zl--e;&G1s^L2#xBQQ<*%rWw?k9>@oyuX6!8w8Y%A8|+9>$qjE0E4d@JzdXCAgIx;Q zq62ZYBMW$SC-UqHxDB9r4%|xD{M7u9k>4M@PZiu_1+SO{p8^321!lLe|6e9T4nd!Q z0O;xAx@YGXpWbZ_U*JjN`wI;xP)tGQT)`0~io9u#MFkvUulWs6Hd`?8PdV7hqtfl7 zf*6qj?{DBy0qs=;p9X~g0QA-aB|@Oidh5wj&>|YclP^0NklZrI5#$yZ6|fJ%juAt4 zjEjl@#5KZ(CmSv1w=pvCPX|R2<cuNEY11Llh1cK%+#yF}fR2AU06Mhy8f;5*=MBye zo$ooXmk4nF_<ou5?)Ph)pA=sy{sNud(t4sK2AYV!zu*Nqr}L;s^Bd4DF8)SXZgBw> z7vQ59d^>+;DS$3*IROgK<|7Io`(E)gFo4=7;A5x^FTIF!h4)*&zu4#i@+u_QyEzU% z<N^h(1Ixh&T%h#}2Vb&!WS%@4Rm0_R@TGe556CvzQ{QiZ`}v?@N*>Tc?}{%-eKhcT z{e~}~@(QUJDd_}Gl;HLHAmu2jvd0A_RZdX>X$QBxLHnk_9LRconJ=J8oGB^-Ur;7- z;Nv+^e;^h{pswPOs3UF_$2K07P97EhZ7wR{RUF_F1Jpuo{>X^3$pk#FPy)`>p!x~1 zkFz8a6f7H%f`z{Ylw?4Adv<_>MzlpAyp0nSF)#i&fC7iV<ur6o2c*%X*EA8N<kC*C zl1%5X|6kUC<a@WMEcnd8uwP?4tfMg34pjCW1r1}+D}Y$Q%UrgVsIYXFAmu{Pa6c%z zz{MDM5exYE=~8z7^-PA}pru#|XtCEG6;O2m>S2INCRh`Bsv~5d0jN&!=ryg<166k5 z6ZBqGfwBNN{fo~3`TxI1Z;T3uE2t^*->37PPv=9=&Kp?_FOGm!9T5OU7&z~O+yg4& zKnJJ^7=8maltFEGP$%hzBdDSL{)K_<*Z+=P?Vy&jBUd}9sm$MUjtO+jR6D4_%=mHx z=y1DU)+@TK44~8qioR~a=0^?P2F=gvIj?mZ!bJmFK*FG-1?xF4bV6pO6uu&54I5DW zYkUP|4bdK5aQuVP&5J*Fp!hFw0ZD^2c=Vd)f~28acyk@02N_1_B5g1QnFG!v(0#XH zKE%=&NC~e5Z0QF`qT>gd`VEqz+98$(gDeGU@aQ%DpaTwZaDw~c0JZeC4#eXiBQQM< z7RBcA2n>%WKs??JvIM&Cm>J>*u*X3fJbF!YK+;f;m%!W*u7l)pkU7X62lGLl^T(ig z2iNqV+y{0UmIe*1LjY0(j!YCapoOg>zTGV<px^{08xGLzSpxg`K>M9Q#7-8_)GD~f z0~fd+o%gfYLbKQ$gR&SM|8G$Nx#oqmJ@_bR1CSjTJ|m?7Q&4E%_{_lI(fP>m+fI;y zFaFtpW8WJj1ybw*TBih&Dh8R;c^G62NYhS+1ONYb3n-r2_urm@q1#&VJLmOI3z)33 z2a9qs3+K7c0?axbq-Fwgj97wfn*ojyq%F|J5T}@fBtgnSr8Iol=$YNu{~n!3yIsNg z0Hn8*vD=jel>EUQM;6!tbfEopAfa8Lh7UNkffgn_v;F!Xeu5;(L7-v|zR?mKGNAZ? zgbX+hKCuRej3>xBAjOc70ZT=K9Rm&-kS5R(h7H}q&5!CiPj!O#@`6P@SehR;bO*CQ z!~?+F^Fa>l<~aD0v-u$-q_XQg2;srn|6paHd<b`(3CIQD6akJlaHe&KI1Ze>K#C!b z1553({rdkkvq$Fxkh2&;g%C(Da+(G6kppax3fRH!ETClr2ftqg9c)-%D%^SidSV~A zmI2*U!T@Uae814jqQc+u5!@7QQ2~Vw!mS>?qUxI9!UY^s^R2*v2+rpqg&w`893W|E z#UcQ5E4xQ8>t_u}J_oyl3I*J14X_))0U`}?12~_9!>ZS`2P6&6=RG#ivZh=EDW8MG z2-G%3E#MrxTU0>R94M25+X3*2Fvrf5jt76TIv#w8bYi0o2k0mo(21t+J8e{)yK^`o z=Yp~{|B!$jq~q8v!U0>`@QCwQr-+JUZy;#nsQ~m$P!|4eIx3wyD*W4GR9HX<rXrOy z(0CK?E(awt!&jg>;U4HXx7JgoBCX#*T{KV#fTNEG!~!)t!K)oO_*>$@^?4vX-#B;6 zFm@ii{JK*{#i?6|>GIp=AD|;&Sg^VC7Ra5=2SJCha9n=C2|AR8g?}5gUiML8>GV-y z!8JA0jh18#K>Y{M&@ni{<XM0dj6W#NLlO*Rp8{C&p%tvDCIHI#)JiO6YKX-0&Kzt% za$*SwNkbD$Jjey01nZ<mOk(K)Cl*j11Sb|OlYrD|G<LJ7@NYW-ZZ<+gAD&7&eN+TM z4gd#M5Gb91W}P@sl?Zz5_|L$=0K%ZT29DQq@G#|Ueps)0;<$?nxVs2C?hks!BdDr| z=XG!?4yx!t5eS;G0mso=GjIU<f+7&498`*f+F4*p1xrw4@ffK51!)A8Pz{dVVvrIN zTylbi!6m07_|h6skqRj~K~*%|Zg3?5s_i^_Mfa;9qE8=eJAX^gkN^KcE&yrr=rx_A z!piVc`3I=&#ySDS*7*V7Mu*xz^Z=iy=hM3{;~TtB_WuQk38?lt3=dR~UeQ8T(D-c* zbo>^ag+b#0a)zKT4Yc<LYLjz2vy@=(z=?D-gD#c<AH^a7&YdnQBA{j|cu6a`DFN<S z`lx_T!71ej-Kho3(!QNv9gn**Ky`6|P6-3$?bef}pjFg{mtN;UJ8=JB_!xiv4{kAl z`jX&o7<gN5DWBoDMhk~JRt5+D`Ns_Zdv?C){L%b@(eP61G1!rv|2ltm=csUW$Efgh zzTiC3d7tw;xW9On^Y!<OoaYqpDgNvH<kNZd`=!<k@Gj&37q^Tcp#^R-^9HEk>oR(F zz64$TS^i=wD2TybMgsxVE~B<Nyvz9iMIFd&P$+=AL=`LyC4wLxC?Fh<vw^7BoS;s6 z$05*hVV&<lZvTE6<O_I!SQ~x)-+H%178IM{hB~;z01BNFf5QXdv5(jOAW3i(K>DSS z(N9Rnl*c3aq(|n-%f3kh9-XH=4!*MYIQUbI@gjN;Rp7PZE>QR~3v6IK_=w9R`I1NG zC5WzT9tYpqdmQ|w#`vk_0Ke-g{%r@q)3o3QASid-_=ePO19xg4d;_)Ik(x^`#^8(w z?$m;mgEAVtxwPFBUQ$99u7R|J+W??U4(32QwJzTvomz`;*gLfZ7j8=sxkv%jp#}BX zIrz7QsPKUHrGbJN9R4sTaR;(M)&~fH=ORJz3W{a$C>c18UTg5L2OUu<06JL#Bn2`8 z97_jZFneSk5YIU%=5g?a5~y$X6}DUe6r2k%f^!8lI6>tWs8{ylt05vdLCQhF2`ab1 zk{Kqj;Dqk!2Wf`~Cz#{N;)&TgZqNsncSk|X5kP%TP}3Bo7<w}03q@m;KIbF_a1RL7 zBYnYU3~I!K##}_TU;qCPT1+SanwaT)59xFIcK*m>co6_rg|*Kaqk_`sy#FG_7}Dq5 zqlY#*!*i?^(%Gy7A8gj5vg12a;sclR2fm{vzT*bq&@uy+u^{E3(1IsEE0C)?4|g+z zA{L~zld;<w+*Aj1KrK&bvH}GNNNg9Vc7RS#{C{C(ggh(_ioXU77j-~g1d2b<GN~7) z5Ep^#9guR6i$L)QmRw;3iihJMqd*!#_0A8+Zt><v?64L)SQu3A{BZ0JXMsoruz<Vz z@I(f8Ex2a^8t?}7EP4zfu7!+sgRJntaBTpRYeD*6wCjVz58UknDTlchEcpvmhd?@B zAdMi`9sqSOK$BSDN)jvza_j+c_W~pyzyhi{IDC3pH^{Ow>;rAMM(nzF1ka{`dyGDv z-?P|2T}6-?S<E07$m=hj8GzE;5e3ig7L^|ueKiK8+yXNC#c@5b`@zjLkaC#&!ID;n zpwI@_@*s^n8GgVzj_jc0VC@h+N079#2aBU}8IG<a*i$c+e!*+&38>@y7U1>vUp;!a zo%qVYu>S&lSc**t<c8yp-Mu+KKqcJNgdf-oIO;UO;EiACsGVo;UeIJKxXyWTN*h!k z9`)?q%K;h*0r#*$3I2s5sCekz3mS@s773s!TyA|(RDiR-!%I-scTrIQ4>x`H>HO!@ z`7(>)MFdz0B;SL}KhN%|pguZy%m6af1nS*`hMIEqLD~NQi=$egp{6#-P*WRtsHt@m zs0+~D%J35@zngn@@8$Rj$?l-c1v2Nw4IOZFdwX{81u2HbHdxLF<d)8(p53h=ZD^we zwG6Px2Frp*2}&VR?b*Ba!*>RT{S`Z4BZ<LU107|cS#wB~iEF{4%m9@1J0BZDdaNFu z_g-Y{f=cbZpavpRtcB{rV{O$}P^_h(#+q;EgDi#@^TDc6BMy`aKr<N}kcb0kq3ybm zSmW13kF^p>$75~a@)|r>Vu3XEwc|fK0|RK$nId>XKpAo%gNSE$s|9iln|XHcb%4e& zsNW?BI?DN*7C46eJiGUT6oX<IRGx$7vUFj!pMYm~FG%Yy28MtCyA2hu?f?Iuk)c~d z@h|7sPEDw!av+Ox1q<i(&Ps5Zq=6Wi0jGhT|M?jhK;uZt9xR<ADxE%%AzbhZLC@~3 zVB<l>AhbGo{z6*^T);|zrpRT$tJI-Mz7?dl8)XCy)WQHapP>zlt)Mgs$`#N(;h?Ds zP_DSH4K`DN8MN{pd=@0Ao@apC52|eyc7f&q!38I1MspcNg91o{3bRiytEU7j!#>c0 z1n|j_;Bh8L@cbvdnB@u1Vss41Vs;G4Vgpqq4#8PWp;=6h;V&vd1wu3EPWx7cA4qx6 z+OvBvXlVtgL#1K(Z70b17crWknBi~X1>J4my%(eb6gwJ*mmu<Iv_aYC7<lrp7o;09 z`RCaU;eZBZTS3CG<q%;U&Cul#p50Ih$a07<_;QGs3;!YtT%`U{;~S9Yz(<aM_vu{* zT6qJ`E}%7NPt`y<<}fU>J$gm`B|w+eJq4=(_m4mU|4$jxKLSS~Xfz2+|A+%LTfy*J zxSQFUMFreF0?&1TdQ+hK06t;??Hz&dVBl*#P$CE&uJY;p3hNv3sI;Ce5eM1RdZ3ga zH0b7|BGLSS@#R{?l-u(cnjp8shGxMX0USLxNQVi0G9J9g25KIF6A#ompw3zecp6Xv zaSyV}aTgWvfuE53AV61M_^7aS=cp)v4uS4`1wLI`qVqWC`OX>@nG!M1U!dcqzhC40 zsQB~yRn8ZRCqPHBLXPPLoy809Z9RXHqY4Um*aY1pF?_u(Ur=u=G^_lDx;m(|0!`4Z zLhEhq1(i76{ZoE{YR%~#zsRaJ#fY2h+WDWEfk7EO-_@9+!UE!fLKB=ez^#68XRK5_ z^P(yn1H-{r0-6{3w;#Otg7Lz^7oe$W(9tuHiD`#FNZAN9G41gOQH6n<fTC)kY*gao z*}Wg67?g$J&BIO&SOLf3+1(G)3Yu&90h(lChfK0S1VK{`KR~M#9<YPwSRA|i-~0rJ z(}SO6g%i<pg3zHgSnHy@odem!MxNdK1wbAK4-kWb?}eHw*t^D_-TOgGA>+efnK|mv z@nO&Ievqavfet|!(}AS})H;W>i9Ea8LGs`N_TtOthxMQxIrW`9DxEGWES}x%73fZA zKy|`m6}S^XN|Br(iR1*3Ca4p@Ot2F?yQhOVp55&mkS#Is?B2iQ4+H25F0fY|AvTzL zc5jC$-w#r5cxfkCb~7kfcOLTWZU^b<;_BdlF}+wiJm94W%$p7@@PV#=kZIkXEd1L} zf)<=}94rw)9xP)~d947|<=G8U%b?A8+(iX6JHr4ng#~=}A-E0#l|3LK7ZnB1?tYL< z;0CL394tW_g%fx!-OZu`RR}gy1k}%k7$D%;-TneOJU|Kk11QjYA>#sIZ|f+7gB`R2 z1Ed&~&_OjhSZ;wTETIc{cK3s{LW3U61P48=0Qc<P4iW@s!-hH*25<))k{@}y`3^p0 zZhZKI9kezFlpsPNbvVcl2G8#8pd18WM#9F-XLzX-RDN-Qdi|c=?FxU<GONa4L}mq< z@ghSB?gx-!kRL#q6)bm01?C4(W(8@5`T@*D^FupGumLno!~?G!KD_4N`Hz8tL7AiZ zCucdQN9O}bp$sp2JiFV$2>_NNK$kH?(}D<QlySV4hG}5XW&suSsH3LsJAR>g_rNbS z?^Y_py$e!|;@!8($le8Mg?bmvB+9$o{M#6se{kUNDmY1!;#IH)>|XtV?$saYUhPwW zdljS@#j8vxUIl4|dKJtBd({^-;l4zr0kmiBID8PH9h6eR<y7;-de9sed`+D0B0g3I z!`mL6&wYARR5<o=f@V@d1h`@62yV84+B6KH<~dX7i~EY;dJ#N{53baqsoAGDM@0d= z2nnnaG}1do5!{4?wg^EDcmas<pi~N0h14GO?0k{M<QV#*9?5)gix+e@D7T9WO9{{J z|BMU_;OzFA9ki8?nMFkvBm~+I(;1^8<JsLFfu3;^pcw~rwk^oo7y9z>-~}lL1utl; z2Uu>gA}l3Icy{-Lv_gXy%mfE7QsxI`oaQ<f2A|Fnm5LG^>!A)b+cEM_KhVhnUJnIo zoPitPAnO>w%^~ojDD<K0FOIOh*qfpPI-r6B)U*R#j$EP&%7ox@6J&RZng@8S8Z@ly z0orZ^=Dk)#wBtanqSx7m|C{Z&9QY?42knXk-HZS-1sr{#E4r0yR0Ke`-IwyWegj|8 z25yM?c0PrVpx3A<beE{8DE<U(Edt-MAX6g3d64s;;x$FkMG!KI=Q>MNBtSQ!gG|-{ z70F=l`KU<17kz0UT6;RjT~stcj)oL0-99QB-7YFRttU%_5zWvDP&2d*w7BrNi;4xv zNYC!+ppvuOs5^+I`5AljH%8E{3D>`0Z~a%o)*Yf^^IEd?5=b$~=#qEGT~us9EO4>} zxyu7&NawZh*OW_CEI@Z>ftsNqDju%|TQ8LegE|#H$6ZuBKzfcdfynL>70}vUAIHw0 zj+`G$!1rhbfDG~Mo(`(|`L}5`e`Vs|7RAze>-(kFt0m&yIVvHqwS0PAR7@cEs2Mar zXMA1Rdi%JGN&v{nlDo%UR6;;3@C{%fXE}gO?0oh8l5&Vjz_&w;5LY?87HoYBah1z) z7ZnGP7O1OoR2;fPR9qB6x3d_4_qLjpNP_G%;QYt=%0=;%B51#>iQ=cu>yDik-6bj} zou9y_8-Psb1X~QfsUCdi;nmJ}oS+Dd=)BGeN+K~OVx0dvOH?AhU*tTY_~ZLk&R2>T zI$cy^JiFU9exnuE2EQSNb%Y^w*~l4ba2B)m?A{Mj49a2=uw(Tc<X~AW0yJvJ=-E9T zq#ry|44z2t2Xm3zSleM44No3p0A05R$zz}ZMay0#?4XRt@tOxT3j`_@K|u+f3;~S> z;L2S3STmOv$U`!S9OlzqqEg}6`Q&vOD3@^}=Q6Mq$Uzuc4dkR06@}M4t=~#OgZ1DX z2g~)~UQdmRisDOfcKZR!!=9Z_O2k1nOK=|Ke6M(25iF{BzVk;Xn`d`>0(z`uKw||| z@PWMh!b}PtD<H*SqhPVJN(L4y60leS=|_qcFc&l-LZ9}0H;YP_j|$7d2h6IVHdF)b z29R$zAsd%DK-=ti93j0h-|l|2UKr?<0Pwy*NITsTY4^B{3S!eTOY={$IyJ1D$8A&) zd&fb0mRa_J`d-Q=DxD@O$UQM|Ner%&z|Ft?pm~{6@yvhV?$~<)&42vc&s}`U`19aP zHpBm*ndZ(K6%oc$h9@04fACK^$nSFK|H)1k@EDJ0cYDD<q`U>{nN<9PtwjQ*pch3F z;5an(?A{Mj>H!`o1<SmU0*!GTafGyuLE1or9N=z9KbVKeQQ+YQpYAo_HUg-g_7Q`u z>n`B})ovgkyyoa;Xg<Kz32CK+a}%gL19lw?w~q=7WNr|=P8*~LRDK}>;k98m1OIv! zP%j9)_!1<091;_-F2KPTY#x~h%4g{@KxU&5L9haB3FNxe5>U5o18NZDi-Usz6gVKI zNI~#a654G8&F4)AX#=NU&+dLO8x#PD_7F&jf13gSwgAu)Ne)oE8<bDM(b;+Z`*p*U zt^Z2p!IcSk9HWFEG@}N}NZ^^`*DT#Wpu1QgzUn*$E?fmbg)6H^=fl?mttU%_pjEg> zua64LaYm5VW*ZfT60vR{6_(bMrF<a!z(M=+DRN_te;Wt?HV4oG5%^FYxE<u#y&dFe z&^VdIE>MRZyo~`gzoH`nZf8lzH>aq`$b;Hh3j06??*b9fb{1$~0k|0lT8hc+(W|?f zg_R-ng`fnYH3zP=K}8{?F9p6H7}CG9;W)_Oa*6|V9s+1F1PFr`L})bEsIV~bw_F0r z^omBZfOfjosBnNPA`P$$z}-woF!{nw7<9gMI|Fk3g1ToMp!kI@kOw*VMXxA0hC$sk zkYZ3l1@GE0O27&#P}c^e71}}rGr=u1Nb>G{|Dsg*>;G;KmQEf}j|1HCY&}`Rlg3|m zAdSD?MJ3_2W;aLkL9R|8l?1~}%@$wzryl~bkGrU(fKqH{h)TwB7nK|k+qb(Nl!-ig z_o#pdmO*ilun$yg?*tK!(6PH)FD8k6{qNJudYFZk0aN<EN9Umz0peg48^K|<M+LN# z5L4x$7n<VWAuG@B_7li0T2QLL@E6>@2U+aV`RYZy2spGrqc$L=hL^xj0?m?K6$6_A zUJ%$1(gj@)2w^+2bi0DW5G>)y(e29P*$tK9fn{mW?skwgEJeb{H6|dt36w`?fLhsz zp_S9ZU^jtW3sMTnqu{u86ockb&+dMZCTQ$|nc&!iX9~~mc2Jx`#~Q(z4wQvFKzl8~ zyw?Jt%?9j{wf!8RwH+{LL$f0XC_4&3b740R_zK<EGOZ^|K6`)-fEdT40_K35h@ICs z&z0DLoB%Ed__q~+3I_$y?R212050KLzd=?_^Ftj7>Ny_xVfYQaTfIa@r1fM8OY5Z) z2e`BWI5(H5fH#=8UMf)oWl#-JTT=j(Q6#|W6`X<~>F9;5z}Nq;MO#mTZd7$q5qQn& z(d`1-YU!e);o03j1HGbO0Ildj!{OjC_7wt$J}Ccy6oc{)Xeb;kcTfbDe>6P1`$1Zv zF#u*lV*pa9L3=<@e{=`1fEFL{>;z?ga6r6f>t<kPG&~6^E#MWH1#<2Nr3?p9?nb1H zje=mefl>xYDN@Q%2e}NiDATjMAEXKDE-(|jyQYKEM|Tkmc##%&A<I6H(@HtJnV1>* zw;eG22C7q_tKY%V>Dj#<WEv>*3ZNFCuZ6$`r~s${6#*BZ9N^*$ZvmS1pM@dx#RVZm z0Sc;!!RtRkLE@qUx`vPkQh>@}4vHwe7VBnF(RNV*Rgo&7<OR_M8aKOu9>+JJaSYo2 z369510dP2i;uxeD6vv?LpJ2JWLa;anji-UMLc<cw1c#+(?{>7=;2*ru_5*#|cbK!m z`$7AW!Fl1u9$rwvb=b3aKiX`tupnq&aX-pz@Vys*1wc^%o((oS4VqVUQ2{Lk@#*~T z)A`J&^Jf;r3ok*C63C<?G%ZYr%?3jkfq-UeLDMhsf}nZD=P$PNV9YD>!sZoUGJ=*) zb+<o2PVJz$cmYc7(4j_<fiDj7f#b>BvwJ^CF({ruLycfLQ;?%T)57f_Z8)ce!Lmrx z!oHnfJbSmJ%?w-efTHghdBg3n!{1n%e?o`bb(nU55;OS3G0^#MI*5Z*LC3!#R<c5e z+rjY$YDzNlz@iQ^EBp*PEBqpY9~^ZkQ^NONIPrtx1srwLuY;m42O4#ceLA1}bbj;c z{OQ~IB8%ZgCs-kB1cGLTL1R|X2n5XvgQnT$@q;4p`-^W}U;lSCgJ$!&nrAaGFqF6- zYX()`j4z#;!ME>lXuGI@%61(@=7Y9qTJ^sF{|{=c@wbM5`~M$Q{%U|4JRC2rzyJTg z13HN;0G>ovP<BxPokOYO+1)PjAF25cYTGFMhjfiW`4&7;ypac-9{fDJ_k$FJ(gP^p zg5^~Ck@GD`E3Qf8evl;6Br>ex^XzU1jd?=H`RqY^Um<lis7eEs4Gf?iil7llyi>yM zpvnMh2s`NfGavB9G~i=uK*<7R3aG}0DgoOAy*3hL5v;WeO4Xo#w*=&{anQsvXcK=O zFSxLk0L>H2fG3v04NuSR?I2%)W}-C^6U(3+=?l@I0n(rY)&Ly~Y6p)6LA(NWr$wiW ziVesS;E^8B?sia_2X!Y@2`D0cK)Xg1JbGp4{$ybQ)yD~tiE7YvGI(nT@_L|N*7~0; z3|VYGpj}Ol!C7pMp@?Z~$Dk}G$1u>eHKXJI?Vw(A7GrQ0vq$e570|uJ5S1V)(69zX z9Mqo(eK8%BQyPze6hSsGs)9NJoh>RI3=9m%TU0>j*?|(KM=wOkqgR&!>{#B`Ul2D3 zfKrbGs3BqC2+ppK;Fe4lV-`~uV-`a|7Gst|=!^Mmpe%O8q1#2ph1*3Xgxf{Mq!e@% zk^$&YqyWeN2VAs4ho1D#fi`VJR1yrofw~o;pfaWL_yW+%h!7QrZXcBZ&5J&r|3ND} zI=R38H$33ccm&j^Kw4k{-c*V-AKv^X!=p1q#R7cd%|1{~4J|7EzqrPZG|vrg=3%>s z(E&V_44K`|QQ`T1)ukJ8BE<g}6WPE1cWixEA`fcl`>23MQoz$ettU(L;Vu1u*WTR% z-5xB>pBOt&e813oh`(hb6Zq<7aGo$c@DjuYpXd2gzBk}N^ACs45S0MXFdZlcnr||6 z`lv)4cTtG}aY4x?;KdDg(18!vTvQ<KH_!qIR*;7}kH6T<4nD&IeEbRYz8wY70R;?< z4Di+2prd>iFfcNJVh>a}fU?z#DIDO!0es#ASgu3`R5*a;IJn`31NdYXkY;fA)}y-{ z%!VK3^Z!K+D9Sqzg0cbFgW%>`b0rHy2|IX@;<Z?}Ve2<AvxM95<PK0*!tm1ff3WqS zpghO{%6XsJKt6#UYI6bI{WrjFgv_gf-FSr^?tYMBQ1S#-c3?RVE|~ivhuVNN!`u&M zBe{PME2t7V{-OkIy#Vi&!z>J+_@O}wI--Ju5h*A^!6m>52};m%EU<N+5T}@UbngZ! z1~~=PhXl**<pdcI+9>7G-3`(S?iaz>;KMOMA?nf104gd#_EjL;XXVkoy8+$4n`|Jj z^S7L32Aw^#8>9inPESsl_aO)CfDC|nAH;q+k(q(P@IdQH{;8nTq`>JNbj$+e-qDf( zP+SUtQ(t%U4CIIa9RLD$Ewt4E_Mtb}H6@uI-Mc}GK`wzu#D0#i|6iNKb724rC@`?3 z75)}b)d@}{FPDLerd}5nNYsI>gSj2dMjBc1=xzr29iGmy2G$x@NMIcS4efVh29^xS ziQt<maRgQe=q_B8B>Vyte8@?-yEy?lm_Ye01Dampi9`kL4)D=gAjP0yf+vz0?5Gh2 z(hT!7m<>uNyFsiMXPH5{B#zbg04SLXx~O0z8IbLuSO(>Gxb4eWK%oG(9Ta3Jwo9Se z4$=&>9n40`EqzR&7(D*M4eSg7-m?85XCUPkP!fPg2*@d*NJDXoG{Pw$#VAhcXG0AL zkY<=uz-&hrkG-Ij$-wZz9&`c|$oHT;hhilto1s{_f*J06kYW@oWzehyX@*$|W`lN< z=7574RL-DS1S(5VEV4&f1X7G*(PmauzkxKvECRC~S$ujIfA|mI=kol80wXB01sFWK zn?X)71f4_%JzoISkpoX`!!v6cNC;HjgL;Jmtp`eYL8FGPCreqIA27c5f@Z?!FHSRn zj<D$l#q1}30Y<}1Y5aN&py8+xa03<GI|NO5a$bPwFX87r@%<v_#nuz0LN5=14$A=z z+P0qHZ`lmask=287#a301g%;uU;uXv;8rDotpb;94j$djpcsS}bQ&Jr%^*)hxd|S< zs{?Ww7(mAwfLco#pyrPOXqMN*qnDTS6AJ@mrwl0S!AB@K?f|Vx1hoeke7b#99I_M~ zgR+XU6dZ%WWN21V2FOK@VOeEa0iYugjxv7z@6mV!JX77>yaBz8-2pCRMY%t)Fu*33 ziW$L)#?hmDH%Pfhuj$+OEDW$ojHk@dZHhPEvoL_CF+92_gG>QOY4Za{kM3?T7b)`u z{{QkHbXXiG12|fF1NWe19tUJ+fijN=*jY$NC){HII|@_{ffS=8upp3=kV-O;X0U5K zy1T(_Py$;$!w}R<Fja*31+=*V)Rj^L<?G%Y&~U0L-+LB@*Gk~V)r1KXVD&U~ZLLq| zd(d#-H&AeP?_OZY!0^KA|Cj%e`{JMx|Nlk$zc2qm2@~8R02k|^HWy^M3R^b^<4N!# z=8%E#&i5~VfRw}12iUEj_yssV@yBs~;*U89PN6O;5|A_sZ*}pvEoWq403Fo_u^g0` z!7Kw0sKXZigF4Iu>agc8&iw@m3Am_ueBzIEQ8D<$AK?N%WRSt|KpMXu>%84844@fw zNM{Y47x=-qFhP?q!a9%cW>BEQ1>m-7W7--4vDF7`s}I;#aDy#|;}gFCYt=3m2JjJs zpm|qN3J2$8@C<CXi;4s2E&>-7jpHsV;J)K)aahbiXizYN`!t{_ZEy{)QIh0=n#6rN zKa_ynw}X)pmb`sBKfRdv@5}$z10|q#3n+y{lQ!t=7Iwz3|M4ekkM7B!$OqXDj$}x) zuL`;_iNE#e-~a!+nf@OzJo$3h-~Wij;L+U-as{}T^!*~pZ->5LQalA3J>`N;mcGVz zuRLh30PH;u{ua<W43A!<g6I41FaJF|kHMq)`R_0Pp+$=TTG1lm(aS6T4oiUo8nj0* zP|ymIhQD9_do&*j@aQ#t_!?Xm!1B*CPzr|RpA;1ZpI%emcPtFAWpU=8Z6Ni~Wcuqj zC`}3?x9-(J?gs@2C^-<$KRZCmNzXr^ULQRDN?_)nM6kn<vxy++xV`2#9N^N}@SEW! z#~q-aHYC_OKSGLP2gi`Cq8A~5z+nYCoeyt9x$_U6P%i!h?Fi+)`UXqvfX2@a4}dJN zc<~eB-3H_V5K!B%13UmCYW50Ih_Cw%PU4_K9HiW%*Hi)|3*A1f@bAli&{3YO%&!oI zILH)mu?Z=}!CdG`NGJzeL9!px{+9rcP7xK)&Tl@w`vRC4A-7F{x@u8BLB;-I-`-^h z5W>%2IRE_ezdL{h+>PcAW&tmg08b2*a(5dWUa~gepL59YZRd;T4~)CPHSVF(5@-Vd z|AOr&D8V1~?A`YRX6B1eKfZvbpulE0a{EF|1~1Vm<#X)zWib3^%>mwAdb0C;^LIwW z1Fio`SvpU2p6a~F`LgpE=erUS&X1k%zhCEkulW7@CC&?qKfYh+JluMdzXeq1diL(? z0NDkqS6{UK0NW3DPZ^kh9Lj(GA`Q$xg5tiQAK-BC>|F<|>OFdSzrDf|*(h~82Y5qj zmV#qQR(Y0!V|Z3MBv*#Qs`gi)@P$_G`}71+cdOR^`SRbhyG?=#sS{`A*}YGJ3Dk)b z<$8fgD@{KkX~na9A4s`Juj!lT;7krmdoRBHhNhM4&!K6>vwIrI6j)mE?Ct||p=pH! zeV_uGUL3<6!yKX4^(c6DUIPzSEStd$PcYA4XnqG32;j3$SyX&_S97qyCEvf`fl8+F z>#?Ymo54f;%QqxV9=*FAV47asg2=F_fE#*kHz2LH3m_Z8ak=XoC@v9kx9S_b@Pv&w zynitjAt-=idJRH?!>4yLENVdwI02vD6cq_jD^9_qm$&vMzL@sy{0MKog`l?K!a))3 z7?f4^f&r9*U@>iv7Soe|ft=l(z>FNz9^Jb$n87iv@eC2uGrmJ&+M|0nNV!L^DHli< zS}Jh;g2wdMr_h-8=$;HR1s2mD-Q8d=G^Q2cF@1Q#gb4xNekDHLZW*138=J4g?h}X1 zb-8x)STsHY9~^zrrSsI~SD@1yx@#<0I)7Y#;lg>o`4MBMh|1;npvn<6<lW{1x(MJo zW9L3lo4}*DPQ#<|7-&74XXgXZ35zdge){r10%SKRiFq7vI{?}c36AA`3s@N#JQ|O! z-~yeV$g1)boSs41>t)KPFaM$H3qYgU5cL@l^%2})^<SQV)q}1jcxeJvj~H(YaP2(i z*m>5o^SejqZ=c@f6J)s=n)fe|<z`?gQSj*9{XvGC0bIJdXufn|{OHs9&!zL#i#6bq z;kZlZR~OB<|Bw1~K6e2vBK_6*@&)6!FaMi=FqS%iHVi|B9XnsVumnXr#5|A2!v+(@ z7$9c3bbj>gyy4M&1Szk*-18A!?;r`mLI&hp<o$~1?)Q)bxj#Y<=KdA3*xdi-J2<ys zbHC$P?C!7p{snXfFUUNP#={(w@Vfuc2XfudAP;iCfIQ6o33AxnuY=qDjbE_4e<vu5 z_wELn=h1k0$7H<jw;|j88JP?W&HF1dLDMIo^m8JE0ZaPHfs|I*!vElBu=`8oJbHJ7 zG#j3DH9QGPU7%!S^c9@zvm16d@JKQ+mV!=eW#Exyc;Wf=%YU>ackJtz|31BoL8iDG z9zaf{e?EWt@6mX8!W2*_Jjas|jo)L9NZk3SA`9gIjx3n}Z)9Ti|5u#p@8Bnd|3RA3 z{r?4*|G!}R{|ngvAXCu&{|W5>f~f@k|BgiecVvV7KO-CF{})+U{r?5G|34!957Lb8 z|IfJm{~6Q&pTYhInS$<rQ2Ek$IA9t<|Gy>C{}H($|7YaF{J$dytN%aa_WuWj|3RA3 z{r?H<|1h+qe)|*Hs~`<%UKNCR^#QoR!k5%N-eC1AxWGk8@5dcG&v<lx_UQcEdBUR; z)VNt=abP+qB;UJq9(rN$5#&PtmNVeWXzvUONro4HK*89%_kxrp!;23Pc7?Pg!;2>n zc7d!U!;2dbwuGD{!;3Qz_5l$40EC?(FUjy?3xw^VB+2k%1%y3AS(4$!90=P%MUvsg zgtuS*gSIsEt~G!-%A@n1OXnk(&Yv$tL1EE(*rS_8#iMtR#tpFiH=oX5FS<W|`41iy zfhj%p79{Z9qw^j}ItQe&@hHeZ575{NR25pSwe16>Hl8rSF)TR1qw}-pzB!=tx*0&r zuRs%-s;|IxNCP8C09@;Te)Z*l%K`qDm7s&adg~YszrA1pDe7+i0UELHW?*7q=wjjM zX!hU&jri=o06x2LvjG<a!wdEIU;cac)-f4=dvWF^xY=}riGiWJ`vFW(21E}BXojJ8 za{x%s=XYQJdo~|qHv9&1&s2yu1F$v=n6?gxHV2Tl#=`|5UgtfCSL0r8f)@yJNL4bZ zJsj-O_y*J-0{Of1pU3{iaIe46efj0TZ|}Ynhr~gnpdO&f^zHy=h|gS9JV3*UU?;#_ z-l76&-%bHfFoKt5{CnZ?`^*0h7Zp$NSS?h{<j0r)9gvw%(C{kQU<s(fEh-biDKJDO z0IHe|q`KLF8yu1wAl{Pz@jCClxCKf<jYmKph<1!~j7Rd^gb5R{`44muFsO}Y`0a)7 z3)1|D=8L@V_<VsSC~SX$HK6-X4P;L94Ums|cZ23PJQ@!l0P#BSz4!&n-MIY+ORu2S zg%D4H(nslY^z`cd0+L=qQ_h}{^cnzC)ZLuG2}*kzoD2*NHXO{Q;-1aNm>{W4|M{2y z$C?{J1KqC+JbUYy4ZppRhG^OWj<EyGNSat6ntnZlX<~Y9?b%z$YWVHNvuEH`et`vK z(+w5|hGrWMh7t~F(md?he2fjEc`sZuqet&<1<(okjfXRMKntVc3Hjw~SY`#qFU<d2 z;fWUPe~^W}yOHv%^K*#*uOsqn07y}HGa^+t*l;kFsG%fku#m6^MkrY!LW%R)m;c9` zHFy~qYQf2N_X&uz1$Y@4c7cYqJP?`i?<;W52L<G%r{FL_v7Ncp8r^p0QVq}MW6-dj z2hoEF$8Hvm|0g=ZmcMR=1t+-RhZ4b{@_zq8c;LK<h8TfjEmNrsXwsSu6gvyppi#<s ztoZ^j0|WDGb_j#Xqjz@!xPNkZ2QN56qF=_nLW&BojS%~eBkX(q1e-VY(Y*<ALIT(c z8Awj3;Db5=!~i*A1;hynd{8Iceu?4)c=>%E?gUVJNCmk9B|R8Fg`@}2G9hSwZ~!Ul zZq5LgXa!8*a9}9a^5||p04~x`FoK1ckh2KS6Hsbb;Adc9dTk8NB0nC3y^NxXxzq>| zjn^N;G%<Vh?vCIDbrKJA@PmB>jl`GCpb{S3w?Zzi5%tqu6#un?tVHo&@Dq^#O3I;r zO93h9ZsuSG#jyY@GzTVnpnJE(59$eHh=vNVh6Yxs&ymui5JUmOL}*$(!Oy_(S^??} zP}YVPCXXJ0vI$5QG-~V7yPE+LlN<Okv&psRut<f+=W7)IPJM)4z9l~f`Io<CBO-qn zfE0B%JAl350rN8`Go$$mDKp!EU0EQ&z@V^@ox!7bw*|zN5dxU5G<Xir%&ZWrP|QWi zxlbN~(*(jT(9i<e^m+y?v|#mB^BV<lW&GcB-)|Iu&jlHS63^L>ApUL#on8Ye*DFAZ zx|@H1GZW~%aZtHl0`j*3=tz`q3wCg9GM9>bpr;7_7Eg#dT!!CX7(mSN-~gqW01k*I z{#IG=R6bf#;%@~VH|N<~$8GrS#rFqa{_h8!=>tAG4?OP#J`BXw@BrkB*cVqHfyM>f z!Qr)mN0@=3dxC^80|RJr8)$gMV+Tk#XxJ6DEaL?@5<jql21a~17*UE3mu?Xb&(6o7 z#X17u5f8^5i3|)3;9>EsRL7vKWXIqa#h~O0I#Ca_)T3EK5Zsh_0E*n+%?yGJ4B+*@ zFJhm9TUk7i+DQKaIMOu06{G=(gcP?H5ZwxpjH>|B4K3$i$bgF1<|7J_rU<l=_xwKC z9072INPzB|>}KKc=)~!Y>rdbbYt4O7t^rvH3K3A92(q+yGu+Y_t00O&)oeY)9@K~j zmsZf~HSRvB;E50fl~$sj&Br(({&a^6gUX{9pe-JahaEtk1lL>;&%7*r^5y>oNcj#r zJ{8i~c)<;^6D?)&gD31j=?2s;dClw5-F$)p#09T`gZQ372pk6-pzvrs`~nn;pt&rM z&U?`>uRewr01*557#SF#_RYQr4ogIO05`H%K+LLuIDG<$2Qp?K%ouRp1R@~jf#&I< z=6R!==K*nD04O>3?tTHP(i;z32!l5FgEzOh8Xf>U@z4X16XE5P1l)<B`sV9h^z!NO zJy1R^NrRThS3nB7o6&;J1JSD33{kKE9BQCIgu3eo#9aoEP<tQ@O}R*IjG3Ua2v&1I z{3{Q4+>2<4xfbBG=)lIn(B;Cx-qE~31RNO~AOY9`;(-FN_7TXFpn!v7NPjW})MWrU z&9n2nXXihU-Rf`)K&uJA-vOoH{Yb5^qjy2R=WqD}3eMhYP;coHNKtn?sJ3<N?uS*k z{4Eb5N<dAd^$;bXD#o$9A69Gex2^*zX+F#XS-aSI=gWV`?)DpO3=E#k?H51+)9Ip; z0h${v0L>kE?g34bfeI6!&J>l3<1OILa3C2_heX1&ciV;I;tZfz>%Rk@BI(XiNdQgl zM}Uj~FV6yL6abYk9N_7l7h!k5{O8}!oaWfs?jZ`gCVBq}knN4f4MZ6jc7dz|-OVEK z@XP<s_b)m@A>Mcd6kMR8>Nv;v*ux&phnXO*KYjble@Fi9ZEFn78StCufojIfji3Yw zPk%=67y$XC^fr3>bG`$Kfk;UC4vK*QkfQG91>pA13RoxdgD5y9BtZJBH$)j2UX(uo z#V5G=F9XqY16+|jV2AVsn+3$cdLlq!-@Ew-NRKl_4~yZq7cXvsv#bEP9+BXH>M?-m znE=rvA;!S)f*+y>RFrLj=*a-vQvkCk0HOz!eLZ?NTZn-(B`79c4G+99ybE?Eo8h+? zWf0v9z`9q!bQeH$dw}Y%-pvuHx<7-`TJte>NQr0)F<=I`!?J({>f{L!10o>p`w9>b zTtIR}JI1{X0u_X?YzNIP$m87}jc>p<cYgNl{O<{#On?+Yp!hm^6C6Z{_Aj_pvk(VW zcdIY3gF<(;0*D71!+7`N**&mVL6O)E(K!R0pFxdxu+9jO+TO(+pzPec*#X4seD2X{ zqVi%jL@Q|QA>bycHmDG1U;s6&6Tr4?P7nt*Lu*t7Kt~L4yr_XF2jxaZu<{vD<uf44 z8=%S!K*}{<_(GI}V*S$%h?l@Ym;npI9U%3+yC;Bxuy^wU5U;aFMFFH+;srlMH#q)p zfZTQiYMBGX9|xey13=0>UOYfVcq>@>52$hth}#}Ol_!9dN4(ewQO<7o?L`<^xr7A7 z=N}+RkU;|Ca|e)eix*80<(!7!UZ_L70xGJyyP+i&BY!I+BrAcMN~{nSpu(rS8&ncG z@^7EM4wR*>ML0^5LA(tR9%D&9h_?yK<8J}2i2{jifr+$2hm=GOzr9#{9TW_pbif22 z<a+nwH7K3-)`=N@dockl35sf_*EycObv%aOUetiaKpL1K8eT_w_SW$metVIGF7sjm z#3+H+ik`i7LWbX7*u#~;Y^#MR5jOnxLJ2GhvW?lN^SMX2iOP$3h^(~Xw-+qe!Lf}9 zqAnH=8`csI8!rA<$n<rcjN!Kzcdvn!p@!2oh|f7n>_OqM1Hxl0@dojBL3yPWp1pM{ zhTmSyz6J{ss0Ux%ybaC_s^HNEIm2%+D&R_>-gs^1*;}V*`0Yg;y3C6?5Y0-4-(EO^ zB~d(B2a(k>{Psc_;&K!Z^03&j@wYC5^f<H)zrFZ(6^r-wK)k?FVh8fjJ_wJo#0$hb z0OL7<c!!|8(jd>?I&;HsFV<ZJc^2d(rq@QEy>+^V-(JiDiy_Cbyk~En;cFJp-Z~S* zZ!fCA@*q9%c-npo96~mR-(JK(T!`=nsBggE3hg!78h(3W1yO+-MMoemgZkkZgvVGC z33Bxb7%vdSI|b#HCVKYPc^ZCu@#+e~500L_b@qneUR=8Z4yOiijnDzB5kP)|CR&h} zoWWjlGyL{qIot@im!8}Nd&$r6+lx+!VJKc=hewOQ;kOs*5EZCiIs<Vi)Jx|eJZQ9B zfbqc5atX=<M@zKfw-@4Y_dud0(D2&}X0RB@3$R27@<S-t4-tmnUOc`G3kJ9!6d-;` zF#Pu7=w)z#ApFq9!okC6!wL6BqT#m}3m}S6y>SKNIH)(SL3mJa+<@`G-na$jfxVGy z`0Ygi+({5`BpZHvVFMNec>|igUW2@m4)#Wt;kOquV0o0(6?p?36-A)*e+kVS4K^HX zSi703ETCpnU9I7_7bmf3V&ZQ-3eHyBL2a|{W>Asp!Q2e;x<_XVcq_HX@fMX03=H5? z-#x(Vpg>JLkSwU<=h@qS&%m4k#04#y^#F-Mly<hLfVv_OEugjoNX-RMi?TOFB?Gi# zh~vta|2B-J?ijt}QWMY(#h`v*H>fuV8pJFGm7R5<_`d{-Ur=Sr3_3+dzyq{*<%R8a zP}uBi1WzQqxPK8dc$iD;LCXcf-P;|IVHW1n9M9f;O%Oe+FJd$I={2yq%@8&1U^Sqc z8Em%!$Zm}n2O(-&AZn7qYCzR5BglOUAT<&%=0eo8L)6$~b02>z=z2knu;6b6IncA0 zf(Uv*ZUm)Y0!0vi3uvn!$_N%H*MQ69rFaq#sHX->JfLG(!10fgc)%+=dfOjC-DUu4 zETSYH3$O^NjStq`*#bVT$D?-&c<KX`eokBjHML7rJbU+n?y>`of1d|MBB(V3j-UWg z;CsAKxC)NM9!TIHI**w+7)wEh^g<LY1RDZs<A4oG02vbT;`SA=A)v*ChTmS)Vljk` zzZDc5<Yl5K&~P9r6G2l2C@l6|0EdOTXX7!@qz9yRef=CLI6%!WuumL7KCyTq2r8u; zkAND<png&;Xfh}EFw`vaTz`ig*MpqR3U)SsOXTnWpqaX2!*4H?&Vl0xF;fSsIZHD< zd+SO-?H{n0(6hhYWl-eQm4eFuvmigC=TTXRf-=KzFAjkffHEipQU?8V>C69@mw$m> zQEvF{#hkNXS9}21oIfCAa!mZK8zCwxLG?e_9CVj#0h!Z{d~!IXivsD-gBQd#z5x$* zfchtYJ@?!~>Q6p@p$f4UrGE10U41};fuW-rMEdmZp1}m_t!!Q)!NB0t`5&~7+5Qs9 z`!y<{&c@?2V22}2;NQl=;nDnqlfV56xU0!~a6b!!M>0#J2jhwV4?KHoR6xCmHDGPd z8QtCmpz!8zoeXN*cQ<Q*yA=j3;6X`7{?;0ZFv^%a6Mt(eI|GAf^AT21x_ka2{>+#E z$6Og0UxG#yKsJCH_g-f}j@*i+o&im8!cOvnR2PsM#ziH<v$ypbIBmJ8Bp}x<pm7Iq zaRMnDTvQ4`V+<UiHU68<f(xzIOQ7Bc#<*+iZqNWi^ARqHOShf|yOjCmOpxkM9Tkvk zO+oR08syrwB)j$<X|4rrGGK%{SP|p|Ob2s8gb{<I%{CnD{H>os+vR&}RLl&&y?B2L z9Ooz#j7<Ek8$hG3-AHjdAF2n`<lPO{<809FZ2^k#l9KLb&?uD$b2Dhj3RG8vN@Gy@ z4JwkrgQ7b?!-kNkfz<8Y-QWQ;=5ElKi$`Y<czZ5L3us0btfjL>1vFs{iku2alk>%) zGoX@+za<Y65f+e$&;dJ#MdcU+=SyCwGx-d^y$}J5H-o&=-3_a^`CCteE{$kD!v7MK z89bYhgud(tiS*W}7#MzgasMPJ@<>l=Z%B*07w)GaNi7}J%f^hk08rbj`G_IJhh8Va zNsZy99!NDbse$^QhG5r{p448E=2}ovn|2D~;BBC(eM|?>X9hJEYg9m;-q|NG(-d=w zghzKXVo125hJ&4%zqJaat@(&J#GgrEji8uh1)mB4%27^+-(Gl~ATLrrkmk)7n@&Rf zcnMV6Vft}5D4jGPafUc{>v3?TFuj}!67H>00d;g190z+HJ<WmIGoH;y{9mhsyyR~9 z?L|3ug)>3p70pLHAXWrHtbmr)9*svpr9YzLec1#q7i&Nlr@T-*PF@(jATf;kPC&wF z9jJ|k8Aj6~!YD-!1Ai-MoY}Mah#<sYRmZ?V$M!M~<lWvHl>ozUFH(<v`H$9wz+bw5 zA;IOIz2GbjD&)@|hj`#Es6T<}foq^<Y4edlh)XXV1-q2><ra`|Z;cA5yS)1-c|rY& z1P6m$stk51)=rLR^ARtI3-ur_WPB~^*?hznA|`bdlnO!lhyz;9M}W#g^z?HWlo%yJ z{r@8%_01?P7UohbkhGNHw-=`&(x5WDyZZp7LBkB~{WTwvhS<3btO#T$)9WsfPI<#` zFD8Nok*bl^&|p+B{Pv;*A_=cX;?SxQSnPvq1CZqmkP5^_B?7JX@KH$s%>#f&qQP4! z;Wav_;OadFsx(T%J)4gxLY(^hFvz<gr!u|-ZLaZbJ|YW|c?g$bet8IVeL?dPt(OU) z24?dSD~OVPa3$<7=Yy2=)~F~OetWSDEZz)qCnAtaIX#<?sJy%dQrCP$?e$Dhiw-j1 zW5xuZFUy8#MT{(iYSmKCW6c?o3=Axw#T_69XaM*FD0ej;4v<71RR>RXT|Ww+1%j9l zT5$#$ynewAF&{Dh3^QLq3Ti%x0Wx0$RPZ$({()(JIl_F<dM(G0V2|cE5}uu3JUjn+ z?l)p!U;rIbyf2>t)Utg3;>HosB<&s*3D7}z{4Fa%_vjpJQ2{MZVR*rP{L6pGhJDvT zawXiJz4f3$^0Y&sOw|Sw1l|4z@@Y3$cMuEc5HS9hD~t>bo#&drF>+qxZ+Q&mFo8Iq zpd4lphmi@Qj0MDzfpS<u9CIj#4a5n8a@awfTquVF#OZ)?I6<7nP!1P}vk%JQ261je zIXocF7bu4p#NlU#xPTAD(SmaLK^#{oM*zf0fN}&uoN6dX2*jBN<p_f~8=)K#5a$e( zBMRa?hjPR~93~csrQ#rtER-Vw;#fdAk|0hnlp_V=<Uu*oAWkQgBLm_rfpTO)oc&Oa z9Efur%8>_g-at7DAP(pRCQ$q+f;eKJbsQg+I4?rlkqs6M;8<2O{Pv>Z0B9+#sP7II zhL>!hp%kbbsQZxuk$bs~h2iBZP_My)p~T;_w;nXH;Q^7m3X(eqm5cW5tq1i#bRcpE zL2{d*a_OGE^`JQl0f^jMklZvDQ0GT<B8c6Eqz*J2^<qERT~k4FppfzD6)gm@laSPb zW+e_l)YXFI+#u!!g4k9_>NpI)y_f+}7YmY;gQ&9vv4xP-fqFIt5OuB~xo^y1_bGzd zuRyEzEEq~a{s2v0ctF&tg5=IY)G>nChmh2PmUSpV)Ukr(mO<3L+{(i6au$+0Uc+xM ze(VGL_bEuO4x;WPh+TxF4%CLe08w`oBo_uzw-UtmLQ)5sxmW>Fw-h9&2T|7vVk;r3 z69C0OL|rRLjt!zN6U6?-#J~VbCm{a{8h(2b0a2F<lDh})w2FFy*r1jfOdV)C!~mks z7bLe0qD~XUUWH^HXl8^1qRtc~*9TF@31T-PsS`2$_Ts@_aJYzq<kBGOK5k)Qco~JH z4%G180a5oCBxeUvcN4@mLQ)563QvHjy9<&NgQ(jHVsjy>lQ8`DA_JmsFG%hkBRCx_ z1hF51*0Vv=0jQ>SfT)`bk~;=b*9c;R=DcCy0;;klAnIyCa`PbS5<%=qNalg&gg)#6 z`!^OOR|Zk%2x4a;sRK<4oq(vb1<CnA)G314PDtuxLF3;Lb+RBiHHbP!5L*gK9eDl+ zqVDfz7KWFgs||d5MIVCLpBND70Mvj8fT+6*k^{BOe0oI>g4m~^>JmMB>p^V^4T!p< zAUV*|1D{^eg&;O);s+Eipt1rqyTkxdw-hAT2CA!iMH@G>Fubk>DT?sytq09A-QEoj zln#(Yicha-K8O(wQUR*0K$ZPYh>ARrgp*INXefwb2~z=@1Dg&}5dxA>@aYw`1~J58 zDpU=>y(opKu-eSR;M2>xdL8H#sTdWGeTtwH|3T-*K#z(A4O4*n(+r@y)8!q5Uc5O7 z-b)|=y2=nV5@+~rCrFP+=dBkH_k*?vl=6Wt#RF}HYpzIPDA5Pq<_O|}&W->rnh*fZ z_Ax-txZDBSy5?$lGE3lv$^nSE@TwU+(DDDpnO&fTU~U>7tp`d3Jh~kmKn(Wd4icaN zR>xzITAk6OmsRS=|NowyE#OMuqxpygsHcLl+Is?ceNw}IP({yBBIvOnw3`Xkb^y(P z?gAZ(&}_iLz+eq(o%ilu0qSiw9tN#Q@abih+r+{EO6d&XrE1`I&;DKTb`Pj+1;U{A z50d$y-7-+~nIPsr01ZZ<n16x;l;v3U)`E8P8J+~2oC-4;+TH>0IRG_wKud^02JR~W znbCRw#p~UmfZGk)Nyt!Ad93*ds9^&SMNpanHE>#Yf?A!;pas+29xUH4wsJUjftx%0 zEufL%mIL5Q5>ydJgH%FKX!hw{3>pjY=-v!o($M)F?Ee=p_I~-l3$#cRG|$_4?8S+l zNKGneyov0@6K@b#f@00*|Ns9Uoy{QEL)JLPfJSu>L)`<aU{CJ=uT%lMqt|rd1{Mae z)h~jPEG_MRJsA}Ep!MFM{ktK-0kFnlE39!?2+DAs&tL2YC8*{-DjJ}5g#0a_Ws#s{ z)BxhXc((`1C$O;d+yU}RiwbB}Nw)y#LZe6g&7YW>AMke``+m*U@IdP){wW7r5AaVt ztZ*94+jhBx#qir}SI3SMpi4bL+cdf(Svv21zou*m-kT4)ZeS<q@PJZI!;`JI`KKQ2 zJm2}xMe!KtsS;k!Yn<m@6pt(ZQvCOt$?y{B1ZwcA#n$hoES(oRFM{q)=R8;v4Qi=^ zwsLeEbyu-`zt;SmvGdpWONyVsd&*r64}3es2+nJc;8-hV?fm7~`K$AK^LIwa)?cNp zj-3}euPJ_X;XF{n$9d3&^O%d`ImHi(A3^sve813oup}QP6@k)*{dQ1DOi=;tRRI+M zpq&VY-@vQhUOe87$o&tP85qF1Uk8-?K|Ii9pdekxSyVvjA9@m`Bdh>;p^6;v&?Izm z8^|_1SsxT<phRQ?>fv;@fTI*S6@iij()e}r8x8QH*?*q<LF-Pzxu^)5i<W{?S@Z4< zpj5!$62!y6aIBdF)c5o4T?Z;GP=ex|;kOqi+rIqo_Ac@0c1GSxeC^;r)`QQOVcUnh zMGU%23|KC|y8Q0@HN|U=U9JqEnCEX<&%nR{P2=YczrA?A6`aOFOUa-C<k4$-YbB^W z0N?9}2&cU}Knb*#0n(_wVEFCDYKUG5(BNFJ>B|)$O)t#0AuGFR`0YgtMA-{Q1_p!` zyTPi#<r%_?D6kcf4ibNBAU6ZU%P4NRbFUbFdtm`FYXa!pJV<<c^qTU6i!bmMF>tdy zI%`xUUU=?8cFa}K`rj>J|3;t~pa*u0;Yk$T3NN@(bYC<4_F^Yk_i6<c-5-{NJdUFK z{fqP9G6EJ_H$d}WTR^qz;tEh#w%2qwSQF@=P}Z%;ZoFyu?L{!ymS#|#fYulbfX{?u zVCZJ-_GEz_y3=`z^ALXvJE#+Q2y~!ehzbYj1}x4~{4FU^L8eY5!GlmiW@JG*P!H}< z^Cx~}K~_-z=1}t|78F5N28PZ<&7WA21($I`1lf=Uz1bKTnm@3^+{t-@zqO8&fx)o> z78anzD21Crv9KDNa<(r61t938zn`0t!$QaK+Y5J)%I;=RB>*~G@znQAtpfZljhraw zCW5#K3vL^Jdm#YP1}^>}l@5PPC=;?X?tsRBHi4@qa7hMH1<EJ<EngVniSDl9w-<*u zeff__xrr-T7+$_-L^kW0;kOs_AZDT1n9Pi<>N#lr4@4D)jZDmN8($cHdl86Y<NFmX z3@`tpxc-CTw--7Pvruf50}VL9gZiW4w-;;>RTwt9BW(Nx8voyj?8jP=ji7_H5TOd{ zRqomd4lg7dOA-+(K>f)X5EU4<$s=q7btel^Y~u%KKh)v|G|wpT!gC99dH{7m%t02w z&c6Ylw8X#wI~X2%nG`&#N>o7S=z)q-M8@t06$?hNGK#-tFK9}ow_fb!S~hqL+&BF8 z;`|2W@c6qJltQt&a5+kfeQ5aY#ncU;#vJsD2e=D8nEAIkuy+#e#D0`g;gR9D7q%!a zY6iOqxzOpZQBimifuij(DE?8j`Cw@4eE&ijtO-`^-eP57c=?zWIpu<SVEfji$31@w zsJDrTe^CEx21FG`{ChINLl@NjDqN2oy8O#n7+$&{RJ=0$_QD@Ug(le9*ur0Z6LJc9 zWBBcbD98yIDa3<`f13jv@!`)8OL_b)vp{oFz4dQjf(B2(-sNur?F{hjtyg{tYV$&? z(=VX$k9F80Ux0s`2fR3io&9=~5xR-n@W9J!hyn*RIuZmjrFZuRNL4XoAt>>^xVsM7 zr=Y<RZICk1VPl}<o;e^l0daIY@Ia0S;Q_a#l)(-LH?culeyjx*yr!;TBSE!KJBq2G zp_Hp2B?Q8+`6CnOK}cD765({vfXH;1ZY5Cjrn?zDo(VY{xxV?MQuBj)&Jz%gQiuo# zjcCNJMNSebOIR3QzWDwBKiK*FEuhuTp1t)NFFRP^2?^Bi)<Dq}wg41T*pdmu2IRm4 z^|0Tq!4^}bX44lNq2}_p6f=Ql0_zoCCNsg$Q0+VjiUg2<OO&9g<g4Mg7e#B3{Ti}> zh2f<)A{f7e*1v&V2TuXh=7aq8;`SP-W#H)iY547hCP*15t_X(qIYhJXkKwl$A66r~ zVA~=VhL<N1DnP4s&#eZfl-;0K8)%LJ)O2R>=$;IUPt+6LO4%T%xxs3DwBi@ktc4f9 zFDg)y8)!r>4aFrTAeVgj`TsvOv_NBLE+{HKEo5PMc@ClC7ij$pii!*1#EmT#{8|eO zKxjMv<tlKY1{zHO-@42QDj?8ukO?>mmOyoEUxgfSf9HZC2DudOeE;IgdYCP+Zd?<{ z8c;NW`dx@Bfsuck11rcz&=I?6!G@*QszEUq)G5+JaZCY*W588{D_9$(bT8raY(9P# zG@<kV#oLv5`Z$m-2&jjX{~6p(26az7nvZCJ#x|hKpKzZOJOL79An${g1vjq*B_-1n zb3lOwYUT;8LJlE4!*4GVKuSOd0D_7#@E`$y%OnQmI!GV1{uiXGmxMIw(YqQ{h!T}F z@2*C66lhJv=@npi3&7nSwGvs0k>R%&D^?&UhkLU@KE#spUMhb6{~u*M<-g}XUD)_Q zF{r5Qy#Hc0I9Q+~MBw!H{e?T&4ZFd8fnHNbuv5SmyyyjIC8%m{&)z!Fkc9+9IVjiq z^s4$n+ylyjKAq2AL?i3q^K3o_UP$u&#pC7RTmWi~!w<2w_UMM}aqku70GR^4Q?FtT zsH<IK@6o**q}-#|^wlh|pFyK_FJ7$!oj?M<{R?tL9%#@9dI1=cfFp}X<6!~Ns;JKU zNS)@Fv)7@FX`%L?VWTRblQ)GycY>EdM^#cm>9~213TO(8fxiV*m4Zf9K*v5XVCzDI z#-u(j1MSQ5Q7Q54c2U97iw2c=0-(&=ZDRoIQD1%!zO>TCfaUTF(11sciU6dd75RRl z^*E@E=WkhyD8)hfvuhb>Zf}nYDE8p_+w{OpaEO79E<hBF@hC|PH1*>P)eIWJL}+ft zrdbI^GbkMhKsAGUn+VNr*fc*~ft;v8&HT$tA<hP69fW31Y??voACcKXJ%DLY&7dUc z(QA5n1`7lD;)NHopfHDbO+dYXY^YMu3TK2p3ul0X2efMy5j+c)f*c7g#K2kO{|g<E z_HI0bG@v8^D#G6Wg^bUFQV3cZ2CZg5O{2R@Kxv{!WesSmzI%@fXn|JeiGx4n55ACQ zJkfdh;BVH0kC~evJkUJYEh67tA`c#1Y5pl)C)?}H(XGP{zWe}sSIMj9!#v$K@|T}; z{_FhE{6N0*BBXgH(EN<C^#H%iht3ie5zpQh70^%usFSY%8oCBeLw9o+o`R^A0FC!O zU<BQ|$ob*>1;s<4<KHeee`IXE1Rhr7ce&7d3X<*)A?j{W6HaIeq-_IE!k}S5(D>nw z|MCnB-GYjT8b2-IXJAlvWC7ib+Ip%~q!o15J4gvb3FxF~5l~(RU3UvUR8;_CgCAlX z2Gly(uozT%w5UK_jNx9;*c(c$mZ(U8j;29G==sH<2(4vc;BS4-z`*blv?>J}8K8Nq z0Fe2;=sBlt8aQd7<{VX&bOoBs5(b&w-9uDNn#gyD$g^C2cli~Ln0yD1$zPopnjgqR zZc`O#eguxk3!Nb<BJi|Zq9TCeOHe0P;l+{VP_KfUZJ^n)qD3@KYM?vdK`j}9i|<g9 zTQ@Ae3{PErdGQq@);bS@wSW@liB2CC8P1R2FDjk_CASOB9}vmyV(TGDVgN-gG_u4E zzr9$z5G}cZ+EbJzw=hn4Gaocf=(rF$xq%%Ex{|cpfd$>^*is%iw;(mrUIZ<K#t47w zJ5b^SZT*G%2h=sVyZ}=EIiQSKa!$dL_*Q_D8oW>g&B#q#Kz!ViocO>I3c6qU;wxMU z5Z$woH0S^>KVd^~N`~KFyqizFJIN^S4!&f9q%VPk@0f6<!h<iF4!(k_1C@522O-*s zPKcKf<({(Pw--|L(GntP`5k2m(S;qJ5JA(MJLVxLM2KtQDG|bjJ05FN1kD{HC&lCQ z;7RcVC@FqJ_y{ym>H)H^caI8cJzh5vOH!1DC2DXn0~$N!2ANH(au5=&ptJ~zLL8+b zc)vN4cOi*!GpP83XBtrRKXERN6C*P`F*4&$jLZjLLDj($BZv!+PeP?4Qep&kR(j{4 zCB_|$pljnPsf6`d;fWE{Khd6pnixT@MM{hyF5K~06C>#QR;1W@VKoP5Vg#>$pA9J& zQQL(d`>-U&38170k75hMZ!hX*6CcGSmx~AAfua?X7@2XFjA-74Bt|t*c@1}|mEpG+ zS7s6KQnE@$7I<Q0!JQac4!(k_gC#}~7apI45+i7e7h0uRgU<h(g_am0<2aNgM$nOX zP#ZwIcjwJSO^hJdA|*x;7w&khi4oM`H$3^0<I8{8;EywC{s&}i?;aIsccP^S)}1&v z6Pi}R#hnXi|0hU<cEueit{^Fl1!oF__yOL^g`}_}pu!Eh?32IM;q(9hFI_*wJqa2# zO_~A8SfG9)yz2>?V+S?LagMeLbo!vTJ$Irujk!R14wSa}TbsWA|NpY%E8JSpDE#Z` z5Nkp0929FI?YIB`|2M;~Z9p32BW&$tPzHin+yDLl|Cdw0!>v^Zt$&7E3#!vltcBFp zWLqnPuy*Os|NmdEMhp@f8-9E7cN)aE8$hG#$6ZvQouer#kaC%9YnOtO9mKc0fB*mg z^5Ac{Z$bOX+o0Bh6EL#1kQ|85TF9CuP?WxSFb$O2A^l(e);}Nq|9{E&@jv*OZqQN# zFoumUfk#UId+w8CU|;}`l$1h8N~~vq41taqfjiOPUp$`*$+n=R2Os>g0j;nAr9F>c zQLaw#>FB(mTYRc!fk%HrLDC=%9=)coJHVwsSo-yxFOW+Uu63|5z`C8g7#{rp-)*RP zZ9lmGDWUkA^K+*pOcv?(NJrS(C8*1Mp)LcZPE?nHlBY+nXaUITZ#%(OKbVPd8AyXi zuW2Ai`VvGs5au!)sLMb`;C2~E7LUs|Pl1FPC;_9o43vUBdPT3bBf`uL$z>o79=)d9 zLDEo{ZJ!MdvqkNYFasHZ+hrhGJT8-jx(t-YQC$W~<Q~1EHXy5^VYX%lBFsP<JbF!K zLDEo{$--R50d*P32;43M$zpREujl_`paJLaFKQ-3f(<m}f$BKWD1t|?==?Ttuz^;Q zz2Jv9E*O;5KpH%HO&dVcm%!J}zi5~R4YuqyNU(v7=oV~#^q||I`I$WDwN67&5(A3{ zvVepgSehTmb6)6lWbte~@&dFZwevah;G^6ul)*>ndahv4&TpQb|2=kBGcYiKu5>g6 z-$}V~5~wVx(*Rv`VtDdJ(`3+A3;vca&_FKa2&sOMitaX0CUWfV1MP!y?4JJ3z?{Ka zgrg+ev3vS2Fq^TY*0Fo~KM0$@r5iNl-94Sj5TuTgzhxSP&j#azZe?)np3Vj1gT|^H zyQlNP_}f7aXg<vOaykP81LT}H#Bol4CVu(vc)V=_2LtFh8HSgjvvfV04>Lo&bPpj5 zDj8pbR<C)$53)LdkOh@Tud_Uw53@ovE=5RzCW&7Ado&+rgGhBDq(EcPuT4Cf53@t0 z@)1&?O7pd(NAqD0h*Std3e;Qj?A^Bkv{kn880ZK!@TsLfo!`Kod+9k1DbhgYA82<5 zWWnNp&wYLHI0Kzy^mhViG`|`aXXhqD;>-lR6$^Yw@@<d`#O6=%F(IH~+`|*V2i<{I zZGpnKcQ+{cc=T=t9kK^@&x?bgvI>3z^5hAi{nVhdGeLVxIzU@W8xK2x4#xu@$rJ!_ z`(BV9$f$i1*y3i;$%5V82S6u7v2cL;!t#s<FaG?0(xbci1Lz>E$v>DF7%X`N_*+4H z_dOx!*Qyb8oC?UIX4o;d-}=FW{S&~aI?P~&93KVRa?~55!U4LF`w-~BvHvgh7Jd2O z(G2p0NAGS_KfGX?3R?Ji1mY3UIhxSJ`es4Q20OMJ<XF&2k_S4QEkJ&U23zA{c(8dO z2S?o$q^JbfXQ1`09{WLuT|+Y73u}n+#0FETlSg+m!k^&HKj6b%!ROY2_Sk}iz=uzQ z#(E)pt3X1aEl8j<{lMqTf*7D^JONpe`vT+@(D8HsCS!N+TzH~;(cK3QYVzI7R7$ja zLHBro-3wxX+{*x3mDhN90_3Fa=$C#t+zVPM4h`Siy<qoV0H2?H1A5|ha{%bD=ic2B z5dT|%cwqle!tQ_2c@9wXx*_IqfcL`-z>eAa0WzU?_XLP}4?sMSdFybP2ih(THP0Gi z9%!d@cQ?NH18uoQL_GM^u?0K~44@rlAR2U%BnM>2R|gNqj<VK%sK+qM6VTF0h*Lo2 z$=V*2@}#a8Ql8i#%9C!83M}PGaSy0G@c=bkAmxbyC@gw68}LF8a(z)a5wwjAcA~m- z52!rJ;DsCs23m3A(Rerja?mm8(lJPRk_*xUDNlsJ7B_=7TY)a50Ut!b%-;&CJ)ot= zhi-6GYk;HL0JLV-hC?1+u7Kuo&UF)V<e3RzM?#OKn+GwG0esjSXn71M*s+u=*XDxC z6_95<dUvDx<;5ycIoOO;u5dzH4o(oW5w3-nE1)0*ojVE&zQ)7w;6o`_K;<L2I03J} z_t?K5kw0H|fdc_G^MlI+)Ff64N>8XBYZl;xq$dy!%5Dyj^z?%lBR!e+LemqZJOdpK z07*nIvLW`PnY;sZe01;b1CVNC0f-06AAPW73GvJXl=J&w>x=(*?gt$p1Ti0USO^Em zie7l7ce4wUNI^5m(EW$cIzfSev{ls@oPg^=72l~&P{K<9ognSeyBmD2XQvJ5-YE}$ zWN-R(zI(C07t|sG?^&AyHgPrRfJ>L|&7d~#e$a}2(86biU7)S3J3&1YM^LfI06lXz zvHQz^uWlDp0guk+0)7St5SziP+sBl{qq92$eEM`V$Qj_l?VX^T-9bm4cI&8kbiN1K zZV0ly`8fC}^8YV{JHPw~TWR<WVjrmSfjHpzOV^kGj$JJ(5{Bjs9nER{3=EF^+gnt` z49ywRI-65K{O%SNAwzS9PKc$FpsP(m3o<~Kf-OXyMSQub2kd#!-0AcVP;fRQk}BvV zCjM4uP^YuIc?LMYEdXr}v*BRoZ`FZ>H7LKuK{TEK*UJ|`TLi%>KudHzd+R~dr8XTz z$A%)rL7*LZY#m?z`*d%f0Ximp^#Vo)25`vWi;OFspmw^89xO6EyIJ%+yM6SCjEkk+ zpkm=TIP3m@(cX?XE;2eHaUqWy7qX~vA!%sN@G>8?)V{YKG+tuV4i04W^6fEb=C=8` z0I1OT|AH50Gn!u!3E_1I$fGSPm`6RksBnPNsK*Xa!UZK+&=jqJM>qJOkM3^JsUJSQ zptGJq7dwIuUzGrFlW;^n+&POWD>?MVbWmmhpY6=y(H#H^LQp#P=$s5r)!iNf9-ZBw z6z|dPAmP#3Tmee!(5%*Y7@EyMo1(x;@7@a!P<}>ThU3{=51R9pYa=>!Fn58ID`+0~ zMJqUUfbwehYNE30wsugUk)1l)L5ZvRI4>lQGF$Pc4zG4d>QF;X9V)1)LjjaJ0{@_m zhCudPdF;Q3DDQu_fRhbsc@Hk!LFczXq7_tYH7f`}3U?3<D%>j|g*%4;a;XR2EEUlX zE!<)KMGx5d=>I(TKZRQjDvE1Cwj+vSzg9?5YzI0C1hN%88l(czUf^$KffNs*qS(F# z6zpyGhM<GvnjZ)-FnD&inL~ItAUt(La|X}uK7FuY_XPpak)h2eKveH;=<&B3Ku5)c zlG6)*P&`2P7(ZzS8yybT*DN3iHrgFxm_Jysn*)5{b~ETOa*y8Kpv^BHy_;Wv3<H(L zFAjk+61@1G0x>TithE_*R<~z&TRg<Pbg*DI=+JPF&SnFUvAw%jfR5{FJPbPcd>6<( zNc{~x+B*$oHe^$?bu&2r(92fP6fWo%3~<?+0WVu2w`tUa%2p<bM)b1vEF^eAW$S|` zXhK9PEI@hR5p=Ertd`mhj-O`4c?BNbA{-vwB^(?cos+>~)43TOQr#{bpu!Url-Po6 zGAIi*9|w1u{=Z0V0tbITIAmZk+YaGDW40U;c=e!!4!Y^Vv$r0!bW*zs93JSE${R>A z$Op+{Y*>5-D%%jnAT<6lOF<SDkV4c#5Ol3NA^;$TV0Sa<T&eEv156AIL>+kF1PVx$ zLJ^!yJ12t^Eu>Hcc^z6Pf>Jx^G73mCfu~`Q&U-IB+Q2<gNLo4U*;@}<$s^oIL}b2$ z1RlaK$dL(7n4O!!$rKuypmgj3O{=||;c4~7u~u+(#|;VX84cjbTn~vpki$K@+m=Ik zARl>lw@rsc=6tXqwrtvX81BWFACZbRNc-^zyij?e-2jgN4seqjd{{M7dITL>@6oyW z11O6@z3>CX?%fR<sq<(&d_fRgnt?JLq;iRV+0}$7&0yu{PlSED>%sPcmV$P7gLa1? z2iXGf!S<WMr`<#C+W->k-TeU6_GvuaA%tO{6pDS2^?o72uARpnJI{eGhw1#=dBUf6 z3Hynq;L|lg7lEm_f(I>=JbL#wI7u?R_}=*CfAii4pk8N*gh%gQjisPs@19HNC(zwo zm95}Y)4`iX!FO)`00}@<H6ATkC&mE2$P1*{v-1ULaVzKy^p}NgU;e{5@JpL;yYI#_ zxck~$P~8^}cHf6(psU+J?z32i?7o|zjL>-);l2on`@pIik51T%!+jT9NpfGqTDbe3 zHzVBVE6MO;djlx^Cai_I@4*^m_gSL5ZwE-AcQ05~<IxFQaJbKqH1~C^gS*ci;=Zuv zy)!`j*}-x8VlA?pmVyd%<S<i#xCyMP@o2z$9B!K1f)-}D<FjBr+&$Zyz~L3vyte_= z{Rg|}!a8L4h@!g(RR4MO?gguAJZi83hkJO5cTdCyxO>#$?#Te1Fbj6ij`hgyX$2K* z$l=2Ra$xUXu&TzR0vmC-r?#2s@Nw7(chB@jSoj2Ngr%Vc8<5@ewGk~3mVg}CyBDmg z@#qI!?s?lpw0kr*!QI0ScaOy;n0q=lBD*IQ-91l00=;{|sv3`8*n}f|Vu^Q;z-G96 z${S$eqp%s~o`Oxt?zsvo#gXGH1mYgBs>Y)mHsf&5*+#7413E7=z@_tO=V{0V7(Ttr z8P<z2H18K!FUG)7BJ0_^4^-@d`zx9^8DIKz{_DK;!k`hfa{IVr=UYe3yN=+?cfpqw zx^%vL(NYJwJW>&=6?}OlSk|@k#fxwCAP@4l)G{+LwB9aNbu~QT3cg1YY;fnx7p2u8 z1tmP5z56P<B^h39t^e}B`8Q*^e(T#3ZAVaL#NY^ajZY`&CdtlQoiATFBJ>zclVo_& z2-d??ZqWRfsYn}gwY($voCqXMJP1uYrb{xs@BwRL25DjjX#y?(a0HEfFd%8VUIllZ z!%Rtr7vf+|EFeuRAWfjj3CEov5=qk{gr*a-BpF^j0)^0TR*)uEkS5R!mLqtg3Q1Eg zLQ}vTNro3|!J61Wn%F>^K!X&H;0@78nk*2SZp@Wrcu@h?#17KL4$=e~m2d>_d`8m5 zjL?)YUy|X4GguP`ND~K06KI;o5xjLCNz=JXctE^ZAj$B853Gq3q=^%x2{ilRxD!Mo zX_|@9RIo^r;l<5bP~38XG;x76fezww+zBF)G^HRkG4x6@yjTL(#0}EK4blX<bJ}qy zh(yw)kI>Z7C&}<4AFPQ7q=^Tl2{bF^2wwhxr0G`$+)n}%BpF^<fi>}hH1UEofkt2* z!8622nvNng&6p_3@PZYri4UZS52T3?G$*$cL?UULfY78cS(4$!g&I&m@Pjn*gEWCo z8*$tTB9SyjBQ&j;BFXS#cFh;aZNu=)6<Pb`zh~nyP=*EH!1^65<I?#OHggHtiu1Cu z8r%#<z1kX9zqxcCcI-R{zKr{?Pw(;v;L_j&xHORW=-msdFv0oHMe`%$P4KnFpI#_{ zEbct+qWSqhsCDAf3A)g<^X7|+Do9x%2h|8J3m~$Noi|>*gk(WSQ0r`|B*Tl7)leTH zl(f}=ZV3ig)8J<3dx%u$O;~&D<(4Y6f(<(2iNik!Hh@aE3mZVC8^}MP3Kzpa0X5+A z4Z}YxDiQtxX+-mnB*H%#9g++$epX@lXB#N!A=Nw%|2(NA$v+D=g8Z{#Bg{Xb3LC>e z4b|BFbE5*`ACN{g|9C=522lJwXp>}kp^53AXI0?%0cpnJ9}&|0)36ETp9z~_{sC3^ z82;H%h21|KNd5t7MDtH2q*MU;=R>C?!;8pDjQA0Q_y?pJhksluNQ$3?%^?32Y=-#< z)L_8y&x1<r{;?=W#1BX#ntxV8N(7L9IF?H?yy&dJ@Q+(1IDSByarmdCoFxA^YytTv zU<=GYpautqe*|#&C!-AEACN{g|J*DG7r-F@{8%i>@M32<hJVT`!2SVg#^IkOWhD7W zVJpZ#23ukN0d-O^{Nqr8J$`1CBK!l=h~^(oh<{AM4ID_p1uFJ7m4p2N(tyJc4@xop zfTKK9*amk0Hn{t@ioq_sN0iSE<=EYSqXfzQTg4dA-0xWijt_MAe=38xf2$Y+4)-fy zaX<WiFVLA)pg9uI5XkozQ}aQi1n>!^QZe`fpz3_k5H)D_gW<K4C*+dpbdYMqsHjB& zXjHVs8F8Jo8%RNSGw1}L?rzWt_uvs)kRcwuyFur`cr+gFU<M5>K!y#$7hW|M!0JP! z8%p8sbz@*)K)Cm59>~4Tpi{BCyFnL@K~H~Y;cveRo}T4>orrS!JNUA(^$=aCW6Auj zplcrxm#KE;fjsQN!oa}zax-XD4sr)&F+?xgBxAB?^D*e<jzMsJ%&+S_n~#BKG`_#E zh6}U2PWSAs18tO2hnR)p4#+LAB9Q1{gKJ`VZ3JmH#DSJ<fRCR34i$L$AQv=>1-o?d zQZCHhpwaIWkkN0@f%b-%kOEmIA9=J0HeQqr58M~sxo9EVV8g)(T3qpg1$uKXhyk(! zyqK`@FzEVauoclS_vfJ+J^^Na3c`GCT;_w8wSX5xfEXb2!Ak-g4>zzv(@FHpcwFYE zBFsOXgXw-Iko!UNKVb7g43PPtnG=u3!=Qt`pzi;li^KhC2=lYCm=6x07i`e*0Wm=4 zgO}$u9tPbp12um>F7wk7=5u2)AME}K>`?PT43PQY#i@;lE7;-TV~@-H421csvoXVm z85BMi98mK?43PQY6?~0{6*%DL-_OAjKA>aqAer-pH!kx*2ibwcAH)Ee4?51tqwz54 zI6G+g)MJ_tDQ}_u<HMb2Jv;yT^e#WK6?6pNevPdR3@)86DlD3(K`q|@ou@i)zK|*c zm9j^DI-hsG^XNPTYX9bg%h%Ejk6zm~Nh}Qez>_ILAa#w$E`W^c{NDM_r}I(gOHjoy zvk+R}fy7>cMA{2Mr$Dh5B(pHUx-0*EI^T7^>h@7#f#k**M`)|Q8svZQwS6!l_*f*U zNsQzl*Un>(AP;@_==|-|yL`qr28QPSE4G0K927vyEVe?A8gbEl>B9IC+{S<P!ln@9 zyW=jMUtKicg1h`KAZPySeEDKx9>kjt(2DMz59kE97cWkN%GKW8KemFeh5m6*i~(Yn zOXo+=&KqdX_sN14!dRU@0k``Dwu9WC09wsh0&@R`ZP?sjQ-IU`7jv<@pA+JKka-@B zhb6Azb$?GL(e8KY1g9s^g`+;b%PsbUobLfzX#yT^nXwP*e9f2O4p!%@7YFjeZg=dw z>!|q_I^F^bWYBnv2-NZEt#3<fQHE1qb>4iTmIbcDQ(zJ*D$pJ!NHQ|x%YVntBd#6G ztTxLqIDR`)P+|aDKe<VU;l<gkFaKRTmI?n?VestT*YQt<;l-}3FaKYQp+?(bs2ZPc z6_w_{jOC%Nw@U)C4AX$Do0SQ6ixCd#)9GLSJ9f04W>;lcQ2-tQa$r|wc;S-u<$v>E zrt+}n-%Le;SO#-IM%%-U2CV@_8S4Q_7eI_|7JwW5gI|^5#mh{P(aa#DnL$Ql4Eccc zKFNT&8mqJ@#As_txX~LVR2g3MfsJP8Z=c7=z|j1gg}-Sk=nSwOprIj@5g3pajbJPI zTZ+IljQc7qWf@-V%>lWMr999Pst2SKDeip1dP<CNNY|x-1M{;Y+*uilsthl_XMjv) z1^Jj2<YSDXCy;YKrNexTRazNhbh0tr=o?0=3@_$@jb;NG%?2_WV}J@|^mMq<Sfx*- zf?X|b12=ktwJO64N08CK+4-AhgHA|;t^@*&Wg&%!E!<>~1V#|&K}^2v0yo*iMU~;j z^K?*naKoaB0~Sq?F)yS+C6ME9rGdf)9!&?%$uPVS%LW<10g5Jw9uSG-tYu(5plAX~ zpbVpdjJ%!#_Hef^+*u61sthjzz|P_Xg(W8_EJ5RFD5Gg0z20!6u}arKjCKx#8@(e` zmEpzLG?0V2Kt^+cj0TOip^UkKjQ)@c@+rvGSfv#qM*oh38(k2m%J5<q$mrkP{7s+( z@f>%6LeCL-U=C#RG`PuFrH?0r!(($g++>Y(RfZQ1Ad~;{^S93e=O!NhritL(1R2Id z8Ycr;VGOo{za<Znn|6GWVR(@b&W=2wXoBbgkx0?>KLzGtkOZu%1rIQTj5L5aE4u*h ztOxn33@=uug2Iv)6qdZ8u*4W31nFG@HyW$-)g-Wwl`G*!&!|vkc;N>&nh#_&AINCX z0HP!EFe1okPq@)orK=!D-)@8(9nh%C@Zxg{c(4&<Dk$Vo#u-7Teolsk1y*Slh^gL_ z;iev#q{{GOZVFP{<riqE|8xdu-OxTzbp{%g{0go;J70C)^zHnBT8X?2Nc!?0QNM$( zOmOKuiqu5e?jg*`(7ZoFn2~{@L>@G+F2o4y<-P<@O1N~sdNB)RVdwGA92FjD6XiR+ ziSiDV|2vO>8Ym?y0zREknHS$cG28ryu~g5o^H@i-^=27R<zwK{yL$tu76he7myXTR z-&7bpdUs#=s>1MMOER<;1RvZCX?q=psxdqX+QTORvDLBj#f#(VpjE%SR|qjOcr+g7 z_yP_C7jW~92hs#WGUcUbB2p_C5gq|(?X?4vpuo5w2?`8Qdq+VM5g3T}T2DH-&48`F z_7Ic;elV7zx7Q>gt_7I~>Yf~fxfW}CZB7Ew?Hx4tS4e@}-vK)89Nb>Jfz|zI(r~&T zRQ@z$aerhQIJ80Lc{Cm_fTTE=&R1C7e<z+?_Zvuq-0vU_3;zjH*utL&xBC;5A?-~c zP)hzJ!|>t)Eb|~zPH!sMFCeo)aq$jsTnJ$C3-0t|Ap>&1hYZa9Go-P(-zODk_%BR? zxF0?J+)M$x8Dt(|H^;=08~zTmAomBz!rZ?=2AlhPQgFKeVIp?-OG4ZaGLNwP=fslh zeh)d2`y=FF?q4B`&HZPRak^g-hx;Rw!Ql@wkFfji#E|R$3Pq6nI}~B=zoCH5{XDqc zpO^p%e^C0*FqdU`aUl^}c_5|#B(PsVW_vUqZuo>h{bTV9?)2ZF1akibC7AmkC}MNJ zPZG}XUl<Q@KSugb1iKkz9$`1fM3Wo-9m*j0&rpWB|Ai7Z_xB{?bpOLR?CzI@xF2L5 zVfW98BG>&BR6y=upaOIM2W4#TKa+sd{fao;9|@|GdUu1&BkcY=k>t96hAPPYD^y|b z|Dl4-{XDqcpBM`Xe^C10a0XQV$6=;_P?6rd8)UXe<Kc>L_|rcYzu->)3)DdF-vGLJ z1YG|zs9|%zPdv`>Ul;>%KSufo73saZLFN&5b4&!e;lDy1<o+G%u<++l$L9W?IGpZ( z7>(Wik`VWU%p>gnIpO5Ge}e|d{RcqzGlRolKm(im&&1+%zakFzM}n%P-rXSc2)qAI z7`g8E&<D9cLLV0XEA+6rp9i=56Qi()|4dLZ-n$!Qo=4;17u)crc^R_Z-_Q+m|BP;! z`yX^+bH7gv&hTFtiQWA-K}CA+ZjgDP@IQyw{V}1$gnvjdcs<4$*m{iZ8M8o+Zvb_K zK|P_pCuYJO{}4P}=+gP<#e`^x+ch8mKMd~cJoD-N)p_&9iwKCrwLBV+I@CxqfKFEp ziGq|p-(5OEsvJ9Sz?W8VMk7r_Ldp}Ud(L}w{`KkIUa?(_!J~ISD5gLI;ownl5wP7y zz}EhQ41*U!t#$I~-766&#qi<*sJv=C+5pnt`QD}TpAUEeE@;GiD#AJcd^#T?JEtlN z$vM#RU{v?q*aLQt#~v{TxO-ZnKw*L6o`>O(U;w%21js!B5cfO)X-9JpD{l9^iX`M7 zj-z1rR2)Ha&zDFH_XHxjry@v-;l%+^+1Pkg0HhtwJ*AQG=t2*lD1z?UaSZGpjblje zNx^i_!7xPlXaq|!yfA>c=Kx4MntPr`;B?Qa2twg=<0RNU9w(99b0q>Jd<>D?a|7g_ z1)#FG@#q7Pb~N{d;&zV}LHBT+2fL@@9FlunFx|5-6cIihAyN!41R(B_0BJ{a&(Uz4 z;j=27Q21C}1-oa(6(sj;3C9Q@K_vGq2$N!X(EutN8;?4Gw4=Gl6t{b%2)bv*HL!a? zGa>N&rGn|6#t=mKd`OUDc<}*L)-@j80Md@;o~2<p!>22ZQ25Na3w963U8L}t5{3~z zAA=F@S&%5j@FD@?o&_N7Xzmfk?Vewugxu3{AM75`Ocy+SSTNm_h~yrIWGRLh7eXK_ zDnQ!N+|wG0GkmfLy64A3uzNBdB85*$C`R~P3_^s@29SFkAnxIK1X@gtT0Vab!Rek` zAsFEk8~~f^^6A~)u?tjn%>eDnEs^zTJpN)QwCX}kbX^YtIqtaTYjC{<nP0s5!Vu~{ z8PM<@c*69TBW(T<ya6Kt<X-U9Cx{D~_5{~gDE80T3$lO3UQoRcvj4{(4Ew{e+P^Un z5<WQXe-I3-%kkO2;sD709S30ca~#01|9UW1{}Zx5fhhZDoCevy;xx?uAEz+v565c% z#sEV84<g3@9oIni&$tG&|HV}d`>zLK^*<r|6Ns|E;vvZXj)yS&Z#=-TKOC$58~q9S zKad#zXS@N~U-1TJ|B2Ta_FoUg>VHD^ClF<S#CMSW8Q)>{@A!sce>hhAH~JCse*iK5 z_h4dTXx^W|#01({;L&(|1tSw=5FaW32VnI-A^Q`EvfqIhWPboJ%>D&D81{!_wSS{8 zA^-bh`rqSt8)%&g=va7<-hDGbYr`6kfzEvZo%#Iooe$DbKWKTz1khPT0v?^8yZuT$ zy4|pzGS31!&7J}Eka-)GZulAVHY$)K=2<+tCxe!Ffwr1+cyvB{Apmk;?`8q;!Z+~h zMvu<pFIIVd`QPoL!qM3blIV6(;puD^0UeIfTdm>Ico=jd56G>cjg$R;U=IpJL&{c= zgF*WX_mzRX)p`E~zZZDq3$$=5`X!S;$ZtN~ZJ;G<j@=BMZ3jT}wxHve+m3+EhXn`d z-b#=aFXcTzT0q9aF(N!{e7fBXI!_(^C4cahG~=mG=-qXW-7E%<pj+!;NA7!Ee&KQX zUGoFR=4Xr^2cIc;a31sMEK%X`=-uoAws!goZ*c~2puE`U1ztI52=YHD(3&ei`+;3l zc)*+*uySxHfKCknIS~{JmflFA0QP@5%>SNX|AQB%^_sF;flj%5@yY`%2nrOB-pxN) zK&zR+n=f84_=06PSQ!|iUtabF`@OsG2x#YHciRro-D?QnUO)Js_26@)oBAxeT`WL{ z$-iiR#0YZjG|)P-&Ndy;e%Ic8khNvU1lT~f8J>LE>IF7^!i4TV6VS@M?luk3HEyJs ze}j#Ip|kA{#C*uwuVV*b=BpyiUji{7v@{Yawg|hwgB@gk59o%6-hH4v<I#Am0KB%$ z@Z`&*o^bbr(`I)Y=sq!$%m*zi>uj^(U|{g*-3M8ocnq?(%<$yPRD}60pjhv21EmC# z%zwcFa{n8M`4%8U8joE7uU#`d`H~f3{uhu`cN^#~N|MZ<0lGM^Z4T%tsos5{EaTC5 ztbr36ze`~`r@Jo&bcR!R8|d;olFawu0=eIZ3miV+dpa7A8E`?(cSV?g1!DdQ(2akj zq@N#P^Z!812i?fz(Rl0u%>1YB(D((n*Brs??OZ#-`_aHh>GiJH(Bx+D==|P!t@D&i z=RwcT7cUx}!R0Z33+UWB-`?dXG`SfZoA*m-aWgQKNP){Y&6_TaFTuyXeCxdRqQMJP ztsHade5-jEymSq;G3Ha}%NN((!6uakHvg6=*8y*G2kmeMuMGIsc?-0@>WK?@I-K9L z@pwh66a(mN21QRu8~8cc7{|^RXw%sjL8Sq>If}HD4atA7A)RmFQIz!xTF4&s?R@cK zffLwSC27rj1+=+AD-QP_(Bft&kp}zCMH4*I^WLZP8)&d+u_wfHkink+;9;Ckoi|_n zb^{wyst9T|LxthZW|+fXs$z31s1U~O-VPmf_kM5$TLp4&g)YRs8oIdL``H7#d%cNr zZz-ryMGsqS?mZ7ai}9~V@A?mV$nFItAP1;>%Y1v6PS6J>p1lwBL5T+zz&{ypLK2Tl z=dTw|FbDqz^-7*YhO0VnzL@0-_I0U&XX8<gHc--d|ANgOnlvD)FvEMRJGc%(-Ft=2 z{bxKoq3Pp=KC=5k>7&5`Y%?glZx}$ryTbricsILa5AWM9U_%I`4_4CM8(@U)-V63% zt3d8`FowAIgAp$GUUtLoUTvb>8|p@6_#)EB4ijYeg3^Zq#J&724GatnzP(E$Ou=bn zg(=Q7A_sFcS{f;X6jh~GjtzSi%%vC@OZhz;k1jBSq?Qav0;#3l6^Cz-&+`KHu^l@R z{wXj+_fLQwIQ-%M5ike)C&L`4fBambk$~o(Wss5y?4J{sF#mX1Li{tsfq;LuyAbUk zMEW<dK=uzf{o8{514>UCmXP#x!2(x$YIcEo1w0rIEeJbrzPJr31PG;nTrS34K7O!7 zckcxoxO-n%LEJmR3YU8?J7agRHc{>kb;c3GxZV507TvuH2>0HwgSfZD4wrkCak#e{ zQb-X9-=$6@yEniA-Mt0Y@bGnTgt+&E11|R#J7Evs(~wM0z`b7`Np|l87j*X?u!6hy zf-A(m4X(J{d)N`Xd!>nTuPf>9W$-|EuK>cmA3PxLUEqPsy}~%$n+++12!!uc2a?0L z!5`hd36}8iEdU)z>eIVdApn<qlO3>!?`}vTL%_XH?TK_RqI_NugzR2WeY3#=;$BdD z#32}5Hctq~SvGI7hdLOoZ03SG7}Os5F%eQCm)Q|0kyS}||BPUC_kS=)xZffK?Ea1r zobLZ*hu!^NkWvTSo`Si5n=JwNr`i!2{)qZyLI|?^LG_6N)ctAAdmBO_>DwR_S9&pq zIUlV)>4p?S1k%e^Taw+|5sL2K1~W)_r#0`b2!ps+BMg^&n{A=Njpp9lkW5X$y{yE# z7m>aj!jRnyO5Ybu!S02(KNP~jX`~<=XBs(Y19dc78drll8q}ULuz<BcHkd<FyMzUS z)M9RfBlIUs@HpPa;SU<E19yW#{Zo&|V;}sW-C#RQXg3wqR0rb#kM1@J5e9~C$aTfw zp%@+&(4H{RD1$NR%$A3s1K(c=TYmW;ad-lXddQt*hmh{&;!)`gQDO1u-N#^p(0aoH ztQBk@Y&^`Pdzu93FyG!96%O#F4KI|eVB>fv0vH$|<9KHRK;8h4U~LEh`C+Fl0|R8c z?!6ZaO~9dmJemjEFWLMCH2&z(J?#eQ6j`wOLZEvb4q3v?uLy*hUlRy6Kf$B%a6%y1 zd_jcyj@Zoi=x$Sx1O-=TpGFV^19&u0Cy0Te`2i#6iOx2V7-F1MAP8h8Xgl(Om!FNn zL67AB6OtgyLH-AAig}>~ci#<=!QgSsJ3$~NyFpjac{CnA05%SMm<xEv^1T=HjM0O@ zqq}W^D8zjo!BF@01jF4267%TY2Oi;TJXR2la9=RQeIDIy0n!lt79mjmHX(5RATf{L zec-{s#$yU02>rqk{Yd@;p9<Lde4ha*^j$2z{O@K_>1=)hGSx+erL*}>2m=HEb{7?n zw9e)aP&Q9mXY-d3u%{tIfQK)D?bxZ0h?kW{NS?-J-xYJHeG5Xtk<HTCyd)H1-wG%j zWZ#-luzk?&njN7aKOx&^iEN)ociRkcNccpALBl5|3?4opF^}GT(BVagFpyc$_%{T{ zzeji51*m?GaHxKsaJYVum`CqE$kx|mACUBKhU@Qu#?KCz{yhl&ATba4@Z*ATg#E=3 z{XX4oFSr;Oz~k8&5g>*KOJ`qB1Or3!Lq^VnoqZrdkKTP6%Annj#{wcCCcJckXrB<^ zaU3#!@6p|NLY;vDlpnYm7`hpZ89P~2Ji4br1p5>~f}SkgUM!tHDl8?eoF_V2R6q%! zZAA$KLucQb5>TM;vycZ{Gogfm!L##$;U#e5esSFZUSJ^G$DjeWF9KvV+&+k4A6O8p zeF_yI`&25x_7y<v<EVhzXL#~O7Q(U#6Hxr;p$)cg0*ZYQ!9K7cR{IK?K=ze1f$iI& z1omG<6T-e<`f$&o*|!5?-w71^AcB2hL9F&&Xa?DLr5S8r0wmgYG(+tJAL8_)9bqMM zcus)C9|I3^{6Pf!z=BxqGiU|bXVMC`j{y>%60I=%KpWy-h$HL+ohR=Y5*z?Imup`I z_*S0(FP0jBw%+geFlS(3;BT?!U|?`O*1iD5^XzUnP=;QJ?c2NjhY$lpD+hmz4m<dC zu@^F+%-HR~;n8}ag#EZf0jR2bF<l>g+M3645Rbv5SJX=pbg2sHmN(F~uAo^W7Sk{P zLG>7D6!E!d=ZzP|#$W#X_AYnehnRcB5VWlu&0KqQb3ZA-%mwYD1ev?W1Y|Ds%0Hh@ zu+b6_qXUE>MrUF(`iUNzlc&Rt2JQR<865~Q8Wf^%SMN0fyV^qpVl*E%qdU=!wt*WB zs%St)e=`QV8srJM(Mb@aL3aiCbUuHv*#Jv`=%E|^P#zW_;8RmOkH44=F&b1)!;NN# z7=42W;_3)&Mqk!N3y?MpqaCmqy~Yq6APIaBqyK_p3_W>Npc}0XHyYG300qcXBXEF# zYJa$^10hDwfEv9Bo6)l9MqiMF1qjUOE-XfWGXT39bO0<UtlhB~y&rTT&5OGrna;x= z#~nZ$FBuRiya8?xsCor?K?!0HsG@{>p$}pY=tx(PJ@-M;hZZ^zdrEQHBY|PhE<<oo zq1dAau_r<R5)0)x?cv2`&jwjoxM_nYBagobg4m<Qz`y|a&pv%{xMfH|?BT~^&x<Z? zw1i{}HyTzLd^Z4j0W=>9&9P|^a~D9(-42RXv~YT1gl_Ir8JLq{=FUeqmk(m@4XC;C z*v!49h34K~40GMl&E2L44hI2gNI0-#Gq(xdTvH5l-|M4=Lmb512NDo-*Xv*@1GLc1 zy(^6#4xsWFrLbj#n42I9F*h8WxtBE2!l4zzTu^z8V(vO!a5%hx7UKUwQG_0ERp{pG z!p#L8xdF;L&-Fmz06vBrp45UNMkmNXoV)~^(emg<Uy_0a1<dFkEJpv(0lT_^7h<$0 zHlvSdpoK;`+-T5tc95$LAx4ABbhxYM>U{bC5_A?eD7zbIfwr#h2UT$|R3L0no%BKi z!Uk0$FL)qqP^tfd0m23q(=Wbgg7t#R)fX=yY*0b?;tqrjD!E=<fUrTO%ZnorHmC%6 zu>-;e<<b{xAZ$?1da(e)2IYnqQy^?m3VzW6VS`fLiy8<Ulu}+4K-i$zeUSoTgQDX_ z1cVI=zZX6rcDI`bs68Oy(e2;>Vz3`~kN}^c0BKV&di1i+{r>;IXJ<R8!2z4*jB|`X zJOR``f%PHwRf3D2`!CovK<AZgUSZC_;L*JM0;pM1lH}3d{6mC+!2>*b3C{7#93IU- z8B2LVS5=8HFf>=BFqCL{G#>sS!oUE(9^Leu1ZWurs4W88i0o>3(xdbKi=|p${`+)- zP9hS3Tx2r^lqGt1??7nv>9w7VuGOdW-wQ2J%4j^?fKUl4$Pm|WcHRf6V$t~W{}aCe z>yxL<3_iWA_W%F?-v@FuXxSozPv>`^&W|3=N1&EZ*9P}ZKpk}0c~PDJ_EkYc<EI*^ zzqLES0(7!U#<AuVAcjYG^9)sJGp&`QL>zSFJ1?@gkBhS~yjJz-ZVpgFDAR%}^XT53 zp$u1TcnRE0g?r&eJHAMTH~<u<h5!Hm_vmZ}ISdk~afc@$xgT_U9Vo0VA@08ca&}3~ zv1S8HkjJ`rgD(^6o(yt`;WzNXr7!w4K(plF7zJIk1C7z%-5?GDH(%BO9fFP{Awnz# zxw#hNW{_jxZU%)r3?sR}2IS1n`!6b0!Qr0(ayH2QJ3tJN?%ftVpzg`!23ZCM!*Ag0 zWnP?9hr8c^2c9B(cY`<{-J3y5p$XIQ5_l*D5$3}9-4C)9$^9V55ORMl)creE!0vwm zayH2Q4ptzE?#U4M?=Aq{Mg?_0AHw|^$nFPmJi0f7bl`G-usYuG2U&{bevo4bxxWtN z%+C8Sekz0AUjTA8$o(fk43F-~AcuQ&?*<KH!NT894Icgiyhz~>;&^m#2I;`%{>f^1 z-4C)9$^9V5V0C{es4&w2og?4f21?-IalOt{7hiQAz4*5IDI@4Q;uoFon!ho&UMt}P z-9!s+SiXG103L(rJk<P&vGr65C%BX|Jn)*S^I+#G&O;?CAf=#lpBOy4C$HdPVA%Kn z|9{4AE5+~1MJ$~)Dhiz?Dk`l9N?BS@md1e8f)=2F)PnqsrdFb}L`A0cK#2)x5u1QV zZ-|P5Pp^xL#6D1^xf4V<g2@;CN?-oBzAaVt=yp*N0NqBz@!G!iWC=Tnuke}`L`i^z z1zO*h-1g`d4HjmBEQ|vgCxBs`gA#lMuopZi#M9Ya(+ldy?%n|!i)=ic&<k3n;-bP~ zcnN&r5@@-cmjWpIk7z{4f>sS8<<EL(`nahGQn336C|Q8gM*!$(K#%U-plh)_x+i~t z<WEp{;Dw$FJbipXP9GqSNB3rsQbhUybw&{RvseXh`T$vqls-U?!J0mh+z(n604g6P zA?|MgIUD5u8z6>9_hg9scN_45%NMZw^OWK4*Wg1+-yn`h_hyg|T<+hjjMx1jOOf0U zattB&H$uZd5*$IhIcz}nQ_QjE1Q5fcdosx39^Jb^!@!2$c7ol%4wRq{fXnv_$nFPm zJi0f7bl`ITXC=Ju2U&{bevo6Zx*wE1A>-4q>>0pv@Fh#<g@Z3xnjbK>UgCE-1j~eC z9^K6ueBi-G9~IE}e5Z>F&ug9510^Xw-OV7!dUQ_)DFEdoj(s2>g1zYoCSL@~!;-s3 zA1t}+^nsK61sTw=)M0@>L~;kEHc)bpmIbBeBLdNfJs@_xZh+NIAQ~zCG(p4vrW{De z?g^k^FUdI8`~t)PwTV;^6+ftb0BSQHX8~V9?a^zxpC8&juuw!O1GNu8$~?L^UyuX0 z4?w+O!%N`dSVUe(SHv3^AP0cr0@^+RISd{bNbYZjx?d9FehFJ>`Y8Z0Ji2$!0No<g zJsIQ>!*4r5-Ru{63h?wlK>#WJgE$1-yjcOSn?aU>+zf3WfE)vNGbr3)7|H!DQ1?fI zV{G>Vkh4MI{{zGT-3^4+J_EI*p{d)W*HoPk8vZNL!XKmzl$Vvj;SZ`EpoJkK{GZF? z^$W-WAiqGvALKB&Uy$4nzHGPi{)?G1;Pj(m2MzxQ5W}N;_YTmtVBM2H@Po@AP_^*l zog6&;H}E5cKZpY=KlyRH*%-f@L6(Bt3=MyfW8iLvg*}K4bqoV#VFQ#J7L<jVL0Om? zJqvTW8h!)q)M0qdZTPnJfCK;3qmG^LTsl8+zTj^;28uxVaQfr}pgO4YIilKn;V%#B ze>X$xagZ%cAX}KwZ2=V&pwQ~(==4#M0L@9csK~rFZ#__=<<UJEB;(V|YQ_Vp95Jg| z4e2lcUvnZV?c**g8laKXZXXqy9iVES+ed|ECx}tX*X^Pr!OWtf3gQ`_>~vAl==4$1 zX?<J5>Du~@zl9aN_#3y2VY3IYBF^ME3+Mm^$Oa0J-e!<nn`=~97)s4sPx7}2fsJDT z`%3`gFOk=dtq1s9K7hgww8oqP)T{9T%{@SLdvpu<^s;(#Lp+Pw2(Xs=@*m_?Xj`Tm z6zbg}Dk2b%2C{%IPh>3R1+_Ss86n=h)ajz4&>5nl;@bMHL=9Znf*LIvpjL(gBD$cB zmRpiv{=XJ&eG6%(Xh51N3ZP~R2WXjoXY&nsX?zD#8h?-mm&ON>N@Gy#2~--N6$j;w zBL>lqaj}QHc~oBB`1c>|d{DW_@Y47{`UP-E`Kt{SM4k6vSW1G-*u4Ritw7}ihdoH5 zyEy@^d;s;W5#<9r7qomRKr0_W%0T6VH1521TpDlr0CE5*uR+TPki+144axoOAZLR5 zKN4W~TY#JmYM)F1F+epRs1obmy+8(0KAe++mk%>!kje)T2h@L)!R=;Y{B8zW3UV{F zd;mEH?q*QA0K<s&X&%jQJUlzUcy#{V*8!gOc>ZF&IB3>mkIDg%5BOU^S4KM?Yf+JK z03DOu-J+r(1gh*o>HJjlBmT}~&CmFoKe2Lt;%_<11e%~@ZhpYr{E@Nq(DzHOhHqOh zI`U6B)_Q<{>R}g!&xQwDFY<4@&EE>TI~bI}K_xeXXZIGcsXm~2aPTYz^a7FpFN&lg z&4X?q&~_2#P8Su9=7-FkAl?VY&QsqnwO&AU12_#2w4UUjdZ6>5YsXi(>5yD}u~e}2 zK#2&*3ZCOGDxkS#2FK&<pnTaKqQU_(zw?5N;tS5xCA^$BI4`;=zEnJ|c;Ypa;cd`S zpx>{8`x^T}H%>aX9_4Q}1!elq92E^uSda*Gd$2S=Vs3uO-292L^ThWH;3C!4@PF%} z9iV~=Jg89$cjKYXgUufqTThj;I(8lhoxt|amGd8e%Y0CJ>a9@`0J$HWe_)}52pA7g zSLQ{y6gX%Ex_wkcKtcYHxfvED{8J8r147{f+!TXDrD81yN@P8HT~tIs`CQ?+i;4iq z`=Abr!f|j3)$OAq(CwlkqIlMk^LPor3+G?X6E2D$92Ji%9(~R1YWN@Qf0xc5-!CY> zSixAr3HFoWf!ADy2V7hKgM$8$M|X~jM(0J&o1Mov@0AF0estk{t@!!-CC&?qH@;u! zJl^_~zXf!2ILKw70)zn`fS|@>^F#jT2mGK4qSHl122_e!fCh*TUt(rR<JUOxVv+cl z|NB5G5gZzz0mctvpfRL4)(4lE89wm~x~Ld<^v0+d`1FRTNO<(}GV-u6><4)ha(y5u zA{=*srj)>O;@kN!%ON<cD2v50D68y62(%#c=yt_5RtL!lpiK7s4`{Hi1)NVjnvZyZ zj>=Q3uIiA)q=q0a6Di$U!qr^F#LLk4()E*g^TD^&<b2gOCc>LE)@}!OId^k8aj= zplYEL)S2vNodsocyaXL?3J)_-t5=4B0bJd63n-pa_F(A@QIY8MQIYB9IQUYc`5|Mc zkBSB;lOBX{L0#$-pjyzQ`3;9hFK-|>3j-)KIQaDXs2G5o<>1JK92jeOz^C(n7UPRz zAyBDyL;xI`KD{L>9Q!~sAG<&VIFCYF@!+uZ>HO~7`6ElxG3dn|NH~J7WxXK-^7z4* zf)FPuK%E5Q!knZ6b&?({#7Pz)CuxAIEG$lXAP93(ib?>6Yd{S!sB4~sTqE><Gsp!m zykIWstx<6R>)HwOgCm&q>HOr|`QXJjkuU!pTHb;xPf;Z<NYxDSFIW{st!L+f7juQa z{C_Rz(aqrj8pa35R41tK1K!_fF#*<%v6;ZYu!G^p|Nq_H4igv{6c6tD&(6TmU7{kO zc#ZR1=Vp+ga<j_>1_tF26%o!uos&UqkKWxoAXTlx1VqONG{FR_YLf)OzE1!pwm8Rl zaBqJC@_1q=sQl=>|KcS$n09-B@(QRvT>xTubT?l>t4~2=;fVguc_wIm+JQD63R33L zz4-v7J_VIahL?7N9EE6amy6)7PeBd<WgBRH3UU~{ZbGV0yP)pZgt-3#$k`>%$C@=9 zK@#1~0{o!#)a}3m&q$za#swG{I8T&tLS6Wp-J{nufde$64R+&;Klq&nG8^QyX^>%0 zkezU+fvZf=_`4%GJA($TJUjpG>jt@|^Ztt_aD42J0J)C8C7OwW;aKwq5XYmt8Cp>W zuykJIJO`>49)T(@j?NGj9*1wonE6{k$53~kYyQT_d5ymXbbBO-!vx}N2c5n8k(u)% ze=9p^ryr=s0u^20fijR$4<JUlsPKTwNC{9GIsYuQjI0wvFC)(gLdwWJXQ5>zI718g z^u~a8Z1VcD<0~UQJ8xz=I0j`EWidGhXO+Fshm;8hFLOavVE66{@ZFW7Qy4(AN{}At z8$oajN6@3Yxj+!o!r^bR11ay_4HAH4c+*4%7KWFcAmQ$24FOOGo8#b1E=WNk(Cxs} zc@V;d6%-;6eY{^8AO(d0sGyM82byZaQc!H?1!oljpWYM|3Gn)^U7+qUwAcb^LM|w@ z|8E94;f10wM3)D|W6(aePv@f-;t)@PCQ?9t01vz8dLVnKL`C7Xtw;A{@R~9d{V#3_ zfI|dDGw9?~kVzihkh^J{-*D^#MKbC*LYBY_S#VxBBH)Q@3JH?tKuNgnFL<^AlpbL> zp@V0Tz{wa+K)Qz#9-W^-X}JfQmbZZeZ1)3D^5t)dWCW#U3n!2ysHp&QnMe0#P@)DU zIRS753=Yf}X9d6f?+$@99Xc;U+GhfshngRN+G`@;4l$Qhbe;k=ib7NbI$c0TT}e7f zfT<HMz~3?jG`9N@l&m3Y4)V8N1KHg@88XDNdjsfJROk>#H$ONyK?!UHJb^6-Dev74 z67cBW4AS+w+M^q+&!boL%U@>D3<M|%yzqf&2gL?RH8?7BKnH{hf}7r;;?1Mi^w3{s zhSv@-6S^ly=z-htU=z5om;f3V0vYGgy&0qwTB>4<3;FZoEhj-C4vNVJP#>zZ85A2H z%||4n9g*)#33m*Glt&)WIP3-az4QKyPu!s7yE_9EZTv05;PU7Ih=bI00LLMy?w8mF znwABxW&>@tWD@{4C?!BWYET2kMMa|dAv>r|0q$D*sHk}KicbB*3?98!*avDvf%U%d z<^1v=bVjVFNB3^<<+i<|ZGRA<eUBF&Sr6cm1ztGWyBj0`4JeOZ(||wB3@<%F1wyZ= z3Kol=a6l|_f?3o(d4?P!QcAH|#1A$OY!N6`$T2Xyd;kipUeP=(HZ(zO;BNtsyY-3& zVNnwYQB!K~0Z*GCUqg$E7w>tnco$qPL%a)e1?U#@SdU)O_5UzK^FKS-ll-myAZNl$ z6J#rTAXb3VFDL-P=@*pxKpbdk0u5A*)OV2wZ|Vc36;SFs1}R5C=?K=g1(hQny`nr= zoa_T}a;YhDDg(vLF3^DOPSADTpaiy*_sjo=6cy?Eh7=X~deG#o90SAaaF1TmdPYcd z3prJNXM=`=FQ{5R?xF(jEkM18YOoKw!Jrbj+k@laOMXagq5-W<KwMaDq5~b(@#y7! z@C!8moC4}K_^4>?134P4LV5logB>&(ZleOKioqW0tx>VSs8&E)!Hs87gYqg5Xk-kW zC7_AJr}Ni~^ITZcM<yt@L(&H{<~({!R4iWF`~!`hfZ89R&ID*o9#mrYfl@~2{TD18 z;N1QJl)?F1PBVZ?Y!7FUWOuU$QbRAmqjxuGfdzEn#HZKx+z)03!%N`e8{UEg&B$-! z0-u%uT7dyoY<LNL^ChyX*YO_R%@Rm0#1N?Y9^IQk9UX*D@cIVOa2bQE;mH@F2*W|q zC=V`dK_dX@cD&H$!kbD#egvgbK2XZ&YzFxszD@vb{tKLmI`6-@$p#Lp3Q*{P#%C^o z7#`ibLG4M8?#Uo$8-9ZnXL_9A2m<9U4&?D$5Ql&di#hSS8)PZS-O%wFkYi9An$Q{= z+<yc`IIOuj0Tid5_g@G@e8J!XUXl8p1r*^CAdUyNmNDlE{?^MZ3=BTq%?p$n7#zPb zFqW`8esf@9EY$^RkOeilSU{sF$^zdGF_v(H$2bfRa2|Xu0v}4@JouU&DvlTz{eB5F z{R+)MuZ3C<l<;|=jB|F2sJMXc&1t<<BIw$BvXrO!5u@ST&I>QW;~UVnu|;<VOY`&k z=I<WOPwPA1eZS^vc&YU}s7w5of9e5+6NYbFzw>YVQNrqKc<HsdW5+3w4|akYA|>pO zpwX6xjK^J6K(`1mfCij=R5%<JPqqFp;d12srFh;^@ziT3!~dYc3b6ZKS}&BcbpGx9 z*?EHVLg#xI&hsV0oIk!_a^$?|sQB~yMb5J>if3Ol8NTg&`Te5eLGYk~BWR%Y|F?sT z{H>rXdt41~x4w1cpL);{)B$<G=+WH`3fOMW?-xPcdBszpP~-&b1kXwzckKKR8cA{X z=<ePi&%n^F*<Hxe{EYGY)y_}fFDbqPyX)H_Mn`Z<w3OAc^F!xH#q%zl2TJ%jkGpUl zbW!}NctY{~YbL`3t{ta44}QPc`V%qU1)BCg@4|V%^N<VYtr7{&>n@%5zF%|Uyr+1r z`6DCe3B?cJFF1A{`hML-@w&se<BX6!L7>(fxWkZl5ZYnzWkc^U%w~mj7`hL_It-Ae zcMf<=<>7B;$e0RfnH%Jm7_bMxJ%!M$q8B{iWO@X2U_OT|XfDq*><g&8dEv|qDiOh{ z5tJC&z-ciU(N_X>>p%lQ%^z7o0or=8gcH0V$ner@PQy!}QN%-?*E+8^e`nml2patO z-}<jq+VETJCH|=gN`xFbj&U6Ley#K4Yj&u#2_yfu1FZ*3vf!SsJOK4{1S`6ym$5)R zJ?#M4(=5<&i5zer=`JBZOR$0pm?H`vkj#eg9w@7Mkeby%z6bl<5j5ogzx7mU9W3{C zZ?-UHVCe3)F=b$A{>IpO{`>XTe<f_7-1b_c^&~V?mb^diqQU|i_yQ-g&U4?dDVwM` zd^^Ti!UYy_H9Yy6$JOv;>q$_8cIiCXd5-g#OXqpc<0ZnJ|2PjR{%Zcn=)!qY@#6Q3 zj-4mIUvp7B2GWj}h&1;@!;^yrJv_shA>rw^9~_=8DjcvxB;e7@n@A`?kAu=mGdK}B zKoXJQCvYPA$AGtx1(k=OLiW)wa90RaRzkW$SjS5yLQB@244~-S-2p00LG{A}5W}N; zH@LskJ()uu+{^^6dVj&k46h#~gdn}!5>V?4#34|x1vBGyI>=Ix)1mbP$T3*!2c+?m zNl^Fy{QvpCNAqq0SJ3=q#<AuM5W}Op*#m8U8?>GhT0eXAnjZha3|ap+K>=JBe81Sr z0rm?>nMe0#2b4M*)Z&M?175T<;q?p10U*CX$9q5ygZl-^{ga{Yw}iNV2FTf<@c#f} zfEF%+Rx@@_206s=8+ZlYi#|qp_zNIbH1_TWaR|8iG9z9$gDeHP85;f|$H3hTt(w6U zxPEp7ouA{;{Kf*@1^d4b)PV)9RLTGM`9G*cb7Nre08Iksu`@9IfABJzoq^$H7>Ec2 z5k4To9Yi>R2wM<g0V0e+gdT{{1Q9AALLNj&fe29$A;1o*_bWh`WrE5z4bbS%!rjn( z*ue1Re|G^(XNiiyaTgU4P;I&E-~a#r!I_@BfTvWvxq^eCl&8^RK^r3jf14x+0|U60 zYdyu^a)=Ey<hc2VD+2>^Qy#SU5@eGEQm6k0Be>Hq(e0ul1MBolz&iah-wrYJw}2Xs zpng6hqMu(P+>F}C4*(r?)!hxsX5EaPE-Dh;o}kTGGNAQb{4JoM>O9o^2{KaPqawn2 ziofMN=nShv&7YXT#zF;OK?Mbn1p`2*MICDXB!n!u6)Gr<EC@P73S<#z234RFYNjFR zFsMV#pOlbQE`SOuBMa_^3K}2_DuT{pI@J8h2wAWVDrk%>xDYBBfGo()&A<S1QV_CW zAyhCJS+EBxn1C$!7Aly8EEvuMF*6xium~y$8kvOq{Vr6H3t6QTFGM>xvS2J!Py$(S zA5>5ZSx}V^qFowU&=xAFfh@QiDyW4lsKyV`u8k}>6)I?fEC^a@0SY=RWI-_jh<0ma z!3L;c1hU{Xs9+Sb;CHBCG_qitAjHfJWWgG!U>36ABhbjlhiuSf0XTV`;BWC40xgYg z?f`9U_Fw@Oz5FeX%-{?NS~m@v2mtM%>Q&Wz4XXEiI-k8@{`L94;U)0O=w~m!{rvnN zlq(_me>*Jy|M`qILw4@>=l`Gh<5>4?ht|Ua9=$m#pgMRWtPaLHIR<OaWwHMU4;f{Z zy)ga*s%4HCyqw9z!0@sIL^OklIuKC_B1%9+K8VNy5vd>|0Yret#$SekSb-qI2Sm7o z2qzF>3nDB)gfWQF0}+}aLIp&~g9s@QAqpY{Km<34U;`11AmR@r1H((uahoqcfmm-r z1Zacn%f}$rJrHpdL|g$8=Rw3N5OEYlfKG{hxf{gV1|l|sh&3Q$Ifz&UBIbgK86aXZ zi0A_mogktGMAU<bDiBc$A__o6Hi$?A5s4ro21JB|h#(N*3nDx~gfoZ$jg7yw1hGs& z1n9W`ms%he=;Di)3LqBf3Z$1}AeJDA-~kb!>FbwFAl6?728NfQDTJ4wL9BNm;w6Xx zEt!3JAH=!^B0%>hzXa{nehC^aczFyYb`V7D0TG~UJzs7DvDShJ&?NoK#UR!^5HS-( zfNHsypicEm&`ixs(DJC4pf#GW>t5Hqu6kYZy6kny>!Q~Muk&8#yv}-^@jC5w%Il=p z39sW`$Gnbu9q~Hsb;#?W*8#8nUi-ZEdhPMr?X}Bmr`Haz?Oxlwwt8*x+U&K-Yopf& zuk~K*yw-ZH@mlS*%4?<93a{l}%e<C)E%93Hwa9Cs*8;EkUh}->dd=~g?KR75rq>J} z-Mc;97#LnG{QCL7XSX}{>Dyz?ph^=|!|(k8ZX7CrD(U7U7NF@g=-ln=t*<w~-uQaG z52TX{nmth12fCVX7l?q2n1D}~1b2CSI-h4T|KAK6MR@V-7dUeWfG5;FKuZ-odPRLd zGK0rUIlyM@1ex(d_RDARc&WBW?`DY&3=I1-_J}j=Iso27_Ts}AP_E|vSq>@)ctLA> zUOIq}BNUZ-j%n1T&k&=suo<-!V$?c_Q4Ih7|9|-vd~zbDtyK`E%@Cz=fBye}c?#Ar zFg)3Miof;g_y7N2E&>lziB`PAbcqMVCH$@6sj=S89w3js*o*L(IK+wqh!tLc|Nq|y zl75*EaT135=bym=;Q&$p@*7OOIYd21z-@=9KTrk^ICGG1*}+OhAHKwN?#xe+fQts5 zSc>k{9EedzAx7=}1G9P$_}om)(6fQ4cZH~z{{8>|OUMzMqDId!t(JpW&EE=M`-CM4 zy!{0B`L|NAPu_uy1GNvax%3c3sWimqx?eDt3gK|+B#8Ri5Tj53`v3psBTx&hS9HQt zOqZ5?L<AB>c)LT43V;}O1?0-<V52ajUIwDH6r$7_9-cX1^`bLhU|Rk21K4W*R`Adl zmgIEeBRG&0A!g?NhWT6qY$m1~W<b<`ECC0q6x<CjSV036nCdei>JLKHKZBdU1FT*& z^9iP#T_J8R4a1fhB_QT0Ld?qpg?t9sD9q&a_5;{!--^LbEd+(EEm)~2`&&#~&%cL; z6t3hn4`SSMh;hLno8N(s7sV2&#So=uAWFkO!vgg%L_J0taE7S&fvD&E@&EtJ$zY|T zsc$e{stR#wDb_sq<2~5Zfe@o)e!{Hw0Go#yNGBlbFBX9V={%@(0-vYaEBfg*rq!$7 zK?4a_=~M|Z?h?c}O}O<ZK?liV2`NvA`b7}+-{7UwOo)1nkdlO`SAwVy2RSzrtW?w+ zi*tX!1v?iUQW!>^dj}4w>xE#S?*<tq2Q~^b5if=){R2@N_X8HFpFxWXdPQ$wDaRWi zR>RA24D0<NW=25F%mi6KA8Z_^^(qjhwGgGAaO**hL~Nzmm$zV_`9joh{tk1l3)p;7 zLoCj{_y!SD7*)s;h<Setz#-)dHxG1aF19>c0#RQJQJ)R+8u*~-UeSG6s*o^<)ws)X zRfutV5aV8b{r~?ZsC|gd=fB>7eI5)^`r<vz=U(91OU%@N3ZnjUKG<h7K}Lh_`1I%% zmBHfDHLoFogtcI*hZxlXG3p@5sPmvf87vi32t;WtL}}%h|Nmbu0V@?<houNNgV@U7 z3OOGgGkLH=jEjR9xBCm!)2}12h0u-H;1Du|C}xLcxYt-4QL7<}x8#9?XV1I;|M!8~ zSfI_UorgR-Z)7oKF}(N&%971T1YZ97`v1R2ujnT%?W>|!kdTYT<|J2$E%P9@Y=OIf z1~$bK5XFTM#oymTJ^L~PG>6qIT8qW<uP-5%Ctx%0#4B)kC_>CDhFShn0&E^;V9$W4 z|CkF7lBsa}UqG64tXS+Xh1d@-3NZ4Q3&hO15HqFWZkPf#6VnYM5cRnb^^S1$DG>D- zMa`3!U_ZXi0lRtj$N&Fdnt+vx#$jn!9eaT%xMo6(`UEjb241th1Wj~fDc>_8>JLHG zm%f2T*G{l{(Pvm%OKuSR`CGwzzOZB;QHYsx5HmM^`v3oBD%d#8{Qc?$*yo?K!9M2% zDYe3(bU#Gt35e29Af^0ZrJ|l#9J%m0B#=OLFh+QnK#Zz|7-b7G>c}5Z-oT7XPl(b* z5T#Y0VBs?ptX}jQmgbQf#A@8h>FaZ_r~M#iUik<!(-mwcW*{Afs6Uql4y5_7{{Md| z3Q>xYQfEPweugOB1utJ;{RVkYR3D3T%b(#1DKCh5!4UH*KK%dxau(Pq%#f0YD6N1f z<#+}2RX$j~=$^-zt(8AdAy#9}_~)O2LrDW--VeA}<iX})+CLwn{zoP_luBO0?0*lM zAL$i!!D4?6)P4hO1&=?(ycH1hEIz=@n-4MX&m&C#=-@N&|5LDkA|U211eMj`exX0a zye(MFyYmDRK3L2C^$_!RWPtrU;r;*rFaQ4p$8sSSqbA}rDg|OxF2pEzkWpJ8M(JTO z$_<}Uk`SYmAVyty_y7OPOo&mhA7TdB@5gvNc<u=}z^<o*J!k<kN)BSw3M@u#z-Lr5 z#Hb#KQ8pl>E`vr!dPP&Q7?q08C<};Dju4}m-v0mpvIAn25*DMR@EP^?G1!CO(!d^^ z^5*~lm$ne2?mxf`tMiXO;~q^}1Tktg#3*f$QJ=np6T=)VMzuhV!kX^GAx3S081?iu zEZr}Mm=}V@JQIB8u|v#DfS6YVHxJY|0IjpaV&0R75U*l&-}Xn~P!oWdHxFK8u|wQ< z<UVH5O@W$+H6Nuz%qvI*$AS$g=(c|Y2VEl;qdf5$B?B=^6=Iaq>;L~>=0J?H!(!CG z2M}Lkb=Ad(U|-%!0lUfzWRxPrsNeT6J-8X4QEd>T`XNRof{eNbX$kJYVpIk`qpTrD zxj>A{dinqV%WjBKrC5xT#b?xq2Vf8WOa^;!1IQ=`h*3sZjJk9mPgpI57_|;!R1&Cu z{Q3nPgYWNRhE+S%D6EMq3S!h|h*9D2N^BLxyyaNTGskBh7sR||h<Pn9V3{cjVqQEJ z^Pb;>conPrcHIYunlQw?KDc>Y5c9;bm^U4td07zi4kv+QAq{TcuFv4`JAVf={M_-G zCj~LD7-F93bC`d#Am+7WG4K0bh<~wWgH!jw{=J+CcHiX}|Np;~f*9q3#i%v-jH-ti z)d4Z83S`vzPhej%VlgTapHU_dqii8YEqV6;|H~GLQTuLV2ACMsD6A3s@-EneP7tH6 zzyr(@VqO^*^N!tt1Q=HHW<t#SlmHH}KDc==KZ3oghsC@yeCByV%v%I8@5EDBgwBMR z_v{v?f7S4r_wx?ezd;c5E<J;p=Ls=y9v1Vi-NxhJl@RloAm+8h-S_hY*uP;|%xlAE zUL?f4P4VFHGlRQtCB!^FEasWvGmjHuUJ}GSNx1tWA?6*si5Y&+ZsGCo&fDPd6M~rM z2se)tVqP5<^QPf5FB4+kp*XOA8Q|vad=CykGc4x0;WJMXVqOu%ywoSK_|1fv_wEL! zf4|+t<KL6F!2VT&n0N0n%sfemdCRbvw+x?ol@RkT#e)6I4R_zkcVPd<VKFZZpLv=P z^O_*$wLXHmuM%RO7#8!`@R@h>CfL755c8(M&C`UKckVi7_-(s^C;U1g<~@o5`*#*R z{BFJl`?n2?d1?5}vxJy831Z&0hcN$kLd>(nVxAa2^IqNn`_~C#-m?cV^DH6eeY=L~ z-(%PD_;)76yid_!|Ne)Y_wo(czw5A=SBB3#Pl$PoAm-V?<6|boyfiH4so^v4=XJ1u zgCOSpfQO$a#5_4H=3Tpn$G<Bf<}pFcTL(Aq=WDQkuU*9qzczg4MMBKm6a@~yyZ2%7 zu@Yil9~Se>@R`R6F)s;XUOPNKA|d9vVKML7RXqOPc?}$XLJ;$g-h;W16Jp-KE13SB zhR?iAh<S%1!Tv3SyKm<!aQJP*VxAj5^CThW6+z5ng1avhVqP8=^S)id<KL54!Twc( zn5P9dPZDCD8W!`GLCwS3psIwJ*AxNvZ^6C)|6iVb3HIva%a}nIjn61Oh*9Pcqr^Z) z)j^C}fW;^Qs8Lv3Esw8&eQ5(RYV#dftQteii@;*ufy;P8Z8F5XH{oDky28zS`~vJ% z0W9Vf;4{w|V%{8xd0g;Vm<%!R#3jt2Q^055=gVOK`asOvcNgYgXNY+XSj@X{36Fml zL(KaV2KMhVxOtzSgZ*oP#k>Znd010PFvL92S^7S`ytTLg|9`m{V$|1*m|iu-XA}p- zC_#wRRPX-(|1tt%)Fv!Oy}F3UmwPXPLrn}~)D3v7@<PnZ!eZVmsCig@nF}$mEEF7S zPPhL5f4TP=IKb4g80C-8C?$wd+7P3fKmk?+G3v<$%m8D-XVmqJU|&860lVt$&Hw*j zszZ!gh{dR#7a#$K)q_0{qozWPVgR}7&Qq`l<FFW&2Q><74t0bWH49=?*lk#fod_{c z42yYk_{{rw0qjdJh<Q(Lz{~@+XgzvG&z;8%wR7hoUd8IZg%I<81%pHF$Ss(8AD@8z z+lIxwI(+5@Ld;tQF>l*Vn0X5!=GkE}PY-Gy)~H~Fn8yV%PY0CN0wG5IK8NYm$LAnk z#cI^H^WdP{9|ZR5Sx^FDgBY~~i%~Q18I=t&ssv(G709UFkHG;}ip3~js8LuWKmlS@ zCB&$Z>#zVTfS9L?#k{{~@%Zw>Ij}Ep27-Ng`^NwOFBKq0y*i5-V4I*uVRc$7#Hf1^ zqk7<J?dl`2R~KP1F9~WM)(9|#m}dtuPxspY|1Uuee~(_#cq~SVLyf}fv{z@rzI28d zb>u26)T|&*6USoS@iUN6!)o3vh<Tp_z@f%}4QAe}hhVRsKZ6-`<@n6=f|$1$V%{9M z`({DRYsX@qI@CO@LHFwn*uTsW^LAhP|No^I#3&amM%_6L@hVoM)<cZi;Scuesq>)u zj|X61GGZ}mB0i&1AV%dvj0y%t=oW}k`%Ys9m>WK$Bq2sAL5zBJ6*T_=F{&DiQNK^& z@!+}B;IO*x2lk*UD6HflMp<AnY6Cu_njuE@K#V$l`Tzfym+yna>gy>?52oTX$^v4P zBg80HkOw;;Ms31kloURr-kt(`@S88#gO@-aw1pUzkHx6-Cm~^lHQg_Q81)-sRP|+8 zy8m<!>`Qel=GEgfF9>4ZYKVEDu!k(-Tm&)i{z=SG)5m8X6U4k|h<O*`?hAsLHyw+4 z_fJ6li#73YItdQC-9F%;TX6CJ|CgY?hDWbx2o|HJ;4>;6VpIXdsK`tI|G(UR7aVGW zSd8+-XOs-YC{>72M=pToKOjb(JAoNs|BmDF;KdVQ58m<yd+_Ax|NmbqLX7IhV$^1Q zMzukV>W3Ir5Axu(J75obU@<BKpHbEjqg)_HHGzV?8)6hI7NcaLMqy2{AC7}P=m{}u z^F>&Sb%2<+_c&&NojnE#Fs$Y+fSC8w3mjmpFTl+Ea2xE^QY_}x;xjJ*V%|!Kd7^Ol zEr6J(i^V)$eC9Dg%!`DW_xL=_eE|^jo*u*W@7<$#{JY^8IQ%#v<|V?-1GREJdPV1A zF|QYD9@ZR{05LDq6C8fQC;$I{x#1Q#=%TO~<%G{DQHW7;5Tj&3Mx{cG631fH_ahKr zVs+K2qhMcN_5i!;GpLf2f*5t>C}x1Iff|K1fz(5cx&bk220Xyd-vs-z2a9<z_{`IX znAZU@@Ag?(gw{jMbHQRB4?gqm9|3#S0%G2Ub1?JtA?E!#g6ZEqhao|S)xZ4^^Io`v zgD&(8%)I+I!2aEW#k?GR=GjBcn*lK|{y5CMeu#NFSj>~bXWsk6VE=kR%xi+X&mLl) z3KsLu9Kz$@`4IDdxPkq91s)&ouY>)2=P+jY)!;MFA7b7Lh<SN%^X5a$n}WqW9en2f zKLqw~1jIZBxOx5%^L((Fcjq7;|E`Ca#{n_#&nZ}Z{J#eFFAEm)dhnSS4>50tD>(cV z;PJ5@V&0xZnBixG&pdvJd7%BlKE1quPQ%<64>7L<i+OJj;PLPNgW&L!fVl4g+&oYt z-=kMl2a9=g@R^qnG4F&6*uSkuVD8(06&!v~4r2P(2cLQJ5c4V^=50R-GcO-v-W)9E z{n?MlzvmBt{i^{nj|J{ud5C!-Sj=04&%Anwc{iNF{@nw2-}x(G|MFllF9x4^`VjLv zAm$aFfcdu`V&0JhnBm8R&%FEl!Tz;?nD+r*zUV{DtHEO4o_%=2uODLG3n#FDkHg(} z|1#LWCRohN!DpU5#Jm|0^Mc^v*AFr8&3;V(%HT8a{XVdNJs{?NJO&FtdyskEsM|$_ zPX7Pz)4LgEyQuE34Up}k?_ONl58p2O?#1!_pZ~)*wUwv{fH$P!*wpqNzNt+RRJu1G z5rA(8-|?TBfdO<-tm47uk4(xgDk7k>Dq1g=O1B;W9nu6ka-xL)xQmJmhy^|r<~0jw zlQ_r((8+2HpkqvweN-gA9b_!w0v#;}Qutc9^*{+f@*y%VDgvM*WCUD52Vc8(UU20+ z!QTQptFF5nd;rE|@BtVf89T3izt;MZf64*SDIf<G4ub3fpPOxPqExWuWQizf3m@p% zCeSuY21n@m7~M503f&<pDxKFj|CKnqbkDwH!oc9tdH4HO!vo5zZ<sJJ@J~75+IpLR z>OqBr{M$|{9_Kt&qHK7e^)3ID1Dw|tk12jq{Qg?RvH2mRWAh`%*A~ss89_9Y;ib+C z-!FjA?l3&r`U7;vBSR@m=k?BOj*1^0Ie(Q1x^iCR{O6*0%u(@^qvA)GPS61xttU%t zJ$k|RdO!|n-Ln^Z$O`h_T+n$+;C+Xny}1gZS>-Plf^r-9l#Ch`@J8Sn)zFQ=<p<C= z0w3KE*$BL~8om)2VwcN4EOvp;hJ)MX;24%w1WOcIWk_3s6(9}>c-eLgbW|1id<58e zRiFdtLFZLX1#J@Oy#FF(FKCD4Zcx?9z~92c1v<un!5t*o-3&Topc}LeTA71C`~bfu z=$_VQ@Y#~xlR-!SbqgsAbc(2WbWer|HiHEnSh$^7z8wUe9KgcgvYCZ}q4hwCA2*8% z=&bebZjjRMKo)L6<q#Fn3ArqwgLniP7`h8txD6Grb(W}rMBu6v4}oof812Er&7pX) zlSjp)8|sd3u$7)1${wI2>^VFj=6iHQ)I0ESJMwh8sPL48af42R1)X;SQPCa9!yU+> z9HPPlI{Opu1Rm}}4zL?|pe8}oDIV$!QQ?4@>cPY90dj^9NN6(X5I7Ie>CoVV#~_Do z{@?TYKRCo(R6vK%fZfQT>;gV&5ia1s!VSu)E-ES|F5IAl;$2h}KnF*2gHC)7QBhGm z1v)z3qkA)0dmRh6o#OS*8Wk1AgPlGIV*^;Y1;8p1=5i>zs7QcL*oQgTfrlG(B7}>I zPKg^g=;VDD6%Ejdg501(|3g%C!1{IIHt}%Rae%GT0b8X3GbVtCJAebMRs)ow!RJ_j z3Kh_K7TrE73f(R$D%?COojxi8+@On^T~tI$6ggc~1iD#NzFz<dfr=)O%I_DseV`r@ z=>{E&?4qK>?V|#ULJ4lr1=cPqG9^l!pra>TR5-wTd6ZpLWI$@cdLb^8@#uyGKlHL_ zNZ>c^Mh<*PdJ|FUc2Qwb7VHcGg&bIn+mWRc6!w;|u!qMuByFmIPVeF7fky*4jdvHZ za2qKeRQw2u0<b~dAu1f8TgR0HL8monK#hSUJe?97Sb#&tAqh%3L`4U5zB$O#;1Jb; zW}@yQ9_}Izs14v?2IYAM*qK_Ozyk-fkBUGij|wyav6LusvVeRj01he<Wfv6|kV<e+ zLDCC|uh9(&X3&|`9MBZO0}f`8`z647Wt3f1c+i5G$D?=m0X@(~z0aX%7lMwZvj!C$ zknl&Oe9)1COuOLW4?1lcoRb-hSwMHg!};K}4+?*g5*APjf#&CK2M%LMK9zurfYL4~ z56gg6N`SK!xF7;&3kG9Qu0rs^28)2Mp)X<PL=py>3(6DlObpKxJYaJ<JbHKk&;wmP z|Lo=GVO?Z_0}y<YIk=4U=<WuUVBHMLpaL5dL7;Gji8`=AvWZAZ5H~2G!TKN?phdVy zXNZajy!?a};UE#Pu^?68(i3KKH?$1*QGtoT?cz{&<NzHv11)dh;?P1|23&}PB2fY+ z1uMj5Izv=s;EsS7;vgZ2D?o)k*cqU71@d4wq7at=6=o`+LhQJUiVlbdHKjmj?n4SQ z1yIQaE-FBUnF4ZQ1}Y;2z`0BWTvUSi;L~?N`5JWEJG3y<0p~JM{zDXIp!_cZ)++<n zs{@W0aFPXO8JHJ2l{vum9k{6R=xzr42No?X1nO0=V*K?gSP3*vkn2^jIF@=9EKWkb z3RYhTj}jd9Dp(aFN;p7Kf?B`ufXX)(ZXXo|X#D~zPhj<ni;4oc^ily=Fgz;YU}XUZ zE2w?}6>mJ;KHw{7K=q3b=pqAflz<{j1FTgCTEB3B_40uA%0LS~(7B%i(4y~!^A2e7 zh7nb;tL0%$6R@WcQ3WlJkR#WD!x)_8L8TIkI5f#a%O-Hj0B1dLmhDC=O~GjyT<D>e zrVw#ZsfV1Uy1}I$QfUe+^?FNG;2uoc4mz#_=Y^D@#v$mqj;o**@SV+|hNDL__@Wum zwW#2>CWrtXl?gf<)uX!&+>GSt4q!R>68kkooL~>KsJymmJ>b*bd_s?bp+wQ6SM<ta zW(E)By4?{{!*AI1`TuJH&u#|KZVwI*&^iC0dwjZCR63hKK#uxn>1_Tofq{X4yNe1( zT4(bQD4Qp(v-!^i(BT5R4fMfx=iHb8s@EPsBNud>|Ktsz1~KR!Gmtmn7`uO%LDwo_ zT;3$<0r3RVbp#w9ojfY9wOS99lzVhf2KmsZyO{yx1}y%&u<`SMuy0mO1Ycah(%HOb zBEmNtplpzDwoC;3CIe(r<KYPt(S37tJ<K;A5c^)HL$3aTo;U8<`OTxd?Se7r*rR<M zCJYSS;@#ye$^zXHEUtzJIzMvWEaBrk#Vx^Yu6R%JqvEI6Ook^x7pW*-0H4OYg0Ymx z6?87CBmdL`E}e%uFMtlpGyr8^aKF;CyRE~3fdPEO1mxIX5zp>Eun_0>i<}1`VgjzM z2TC-2A!<QwX%5geNdn*w8~BVgM=<$f$A-`UU#qlUD&Ya0=K{UhQ3PfOsPzcCuLRWI z2VI!d{D9H1vn^o~I0$(lyp%}{44%FF7U(lDcs3sMm;@Sc0N>gOx(NB(i|OmYp(TNG zvNt3N&@H?&3^BqBEQBq*KoWSut7AQm@B--r-;ISCUILSm!%Ji`a(MlKg%>#PAwib1 z7JHD<ExbI8Fv1Hgge|;45_rNZVjYg~0_npMUI$RZ>j+ACt(Xi=U*I$PAmL@QW`M&> z!x$sHz(Ux<3nYOjyfoJ02rrO69N|?k1v!0{OhHax5mR8{1v=Ie5?(B;v4<DryvLAW zkH$Bkv#ual`oL?Ni!VDbTzr9k-5r;!;eYTAP{^0+y?5#S0lHKVbTk*J-0}dm06n_9 z0}L1#cKiojZ6c_6sM{0Nng~$=RW?2#RiHai7(BYW4M4dSNr6MBkBSRO0qD|bkOBcv z@<dW#(dnaN15yCGvjC*v15|;sBWN_lpwmUg1a!5J2k0gc2hcc~1?ZBW0??gt2H=hs z<jM?Cx6pAX=%8irfRIn;_beXApe!E8;4B`;kQZ+u<-P$Z_7cF_z*VPD=cgA*Yd-&n z+`IQ5a>WVAHQ;;uaQPa1RUb$dcnlAVuR(1x@I}AS^WeMDeGTez%79D&*9sop-Kf3> zUoQdjwFb!73Lp<lfLtB`^0ffi`B;68<mIpzzaWLTfotpk5*3fm=b$<y0c1b`*Z{Eq zp$&-qRiFRAR&IR@sYnt$x_wkKUUPvel>iVs0u;?0@HU0QRB)1I>1<Y+il~J(plnbr ztTPo{3u}mi+Y}sA(Q9G*6`%imG#&vZRM4?zh*NJNbqk1uw=a&ffcqjI-P=G1aC&q; zN8AYU;``dq|GObAVbC=YJSvboAy}G!vXqLo9w-%po^%Y)d#|-U(C=~KQRxog0X18= z;MoH-SOXe;0d@UB-S6g(X<#4obT;=)1N-a(#AgN5pcxc&b3CZAl(rn4I|ZT-!^RgC zJUhR8bhm-r4!s!%<?v|GN#dZ3=M+vG+$`Y&*CQ`MC)t702I$y%2G8#8pj-?}0vzCC z6MVdzPv`d+zt(*I531Ke<0g=MOknrUfsRWDT{;Ig&hWr%#D#AB+fKtx?mPy%y!ulq z^wPN!6{Jh&*g)gm-6fELoX$_2r#KImaB-gcevR`3_`<mZpaF8li=g3gL603E#UKni zP)^`=pl5gg3VjBKZoz}En42GgPF4k<n0gArZ9U2Fa<TOQsE1mT=g}*wzW_4%AOK35 z5*TfqE6c&Y6acqZ+AXGoBSHkivzZPmE%)C5w_c7bOov2<z%E#6`R~QGrQrBbh;{^D z4FS4z^JN&g!3Vv6RKcV3E78#dZgst!1{w^8r^>CM*zxRqh8j0<tC12Wb0;Waf-ah2 zfy_g|E~Pv9n$z$k=)~}You~M>9fqBr4Z329vGr4_K<mj8VNk@gKqmwok3-@`M5Q}M zg{AW%=RwXJC0v{bzhC0Ktauo74`Anw?-vwLtY9n=L5&rTm+u)E7<L&M289|=T>(0q zyLXK#0|V&DZNmetpTKwefCuqQ^>_KZF)$cU-2hUwcMC|-zwg&jl<*i{;ylkk^$<9& zz$cx91E%#~DNE;t&WoU9aZA)ax~GB@fziQtOwG?2J4;j~x`S9cuR*w=>zO}6ZZGF= z0Ug@t)61$h51J4_1r=sOIKA}q|JK|5E#PZpdPRTCg{bBLB^%79@!X~0WW&+?fU&dn z1tisg+Ps~uZ>EEC@Lo`&@n}4H0h(wadCTymN9Vg23m3x^P3&RVHR%$sxln^tp!GI? zYcc2~n{F2sftS<3w_t!uE(j(geeoFH1_$KJ=|rTkKP&L3FvCk=vv8y^(0tUnQi0Y> z)JtI9j0_CjdqHW-r5lpCewdmV`G>oJ5*g^$gb(0r5x})`sX=!uD1o_jw}Mhw^M?=@ zaL%}Zq=eV-BxpKq>OpYv0zRV|a`9j51+YkIP2+b^dIlN&9c(IO9?M_{I01vr@7@YB z9(0jG>jfkwyoLu{IM4A<JpjH20dxv4BRDO$UI*Q8aH#WG=LgOcE}hpo&zFdB{s5Jx zoTn6jeZS_&`N>i766lu6OW-Ln#e;?iz8z#N<py0X2|C~3vGagS=RwYcC8{3Xz2M|8 zc<~i-5eVjiOF&Th2ReEin)o$mLz1%q_QZc~5hU@0Z&m3P{XGk!8b{(^um~yf&zJ!& zG9ih7&J5(l-!KDGVsbz#3UK0IvH&UZgZiQDpk@H})L#To{XhT0C)Oaze*zilA9CnF zQRVADQ1*sZ{DY!=eZ;`PuoILXje9|PpnEE~e7*Mln&E-gkKkktEnjznlV>j|`FA7A zS7aqTh9^0%^G`hpPLrMIo4+%H;|E;6p6EOUD)&pIU<u3+R=9eyKn4$FK&c8;NBQ)! zUY-F-Cpc17#R5pG0v(g<(JQ(Xsv1YC@>+nDsyt>Qr7EA9$f?R;CNxz+8b$A31kOWB zQmvOD_c>!vQDyKHwG6F1MLLfk<T=nO_ABRu%-wAOnw>34J=WX-Vt8~n??Agx;D-?W z79WpZ)A9+>`ve{!-N&pB8u$aBb-lR)`91-|lZeX%tQO%N2L#y;8V4)^d7-lz<SOKY z`H|cYF5W@+UxLGB_YRP=OX7|-3xK*>prJCbL%Kl@@#yCCFy=t2cv=sZf-e0z0K3!y z<ZuwjqZ_xw8yDhrILKO%!>b_<2RR4X;n4gH9lroyB=B$F3{VZ#dH;nP#0w4}m-Dx9 zgYV;;0ph%f0hJ@Dap4HMYf+ei!2?_~fg6mi9HpQO@-l=WcU17VoB$czyBj2cxB}ux zA2Y*C@P)kH%}BR?^0&+asqStz0AFC;4Z1~@e_H@EXmst^!FLkP&lo`$X?^Hs?Dk|i z_(HJr{K5Bv&CeM@<?m0!3*Rqres6xj$oT_&;CT&b)~2}t<UG)H2i8yrxvIG$g`q^% z1I>xx;bP>(!n*)(n1jLy6z1nZN5yqEgTfE(20hg92GtUvB)@GAILV&?1u=iiLhx-` z3ZB#s1q-~PU;vFQa43K{@QA_)1@`%PLjmM+P$*bHQXa@x@K8YYKRBX0@4whK8|?o8 zkeB&e^1ycot^jd7y1PLKT!W(;)BuItjt8#VLH9B2Wq=%l&jjM!gL0Tb90^8<3=4<@ zIv*XRhZV$G3zcC5an^utJN>{8x~}R6=)BABX7u~pK(~Q{ie7#u28M$#_?sUvz62fp z4!S}PJeu5n0-UWe^D`&|OfZIJfLS2Zdv}8bJi0f7^t{Xi33WGvF01SI;OKVXIrx$v zbeq$`SNzS7z)Ac9XwtFyAtUES&}7i-e1xT-E1j?vBB+*vj&S#YTME+i@+TxwK`n*f zQU~%S=+0B*Tk1sM)`2E5(Tgion|6bYh1i6gY+i!zxan@50SPJvNznK^W>A5OK2XSj zGhs2p#US;sy*wb1^71+;Q^C!GBxV9;fr?R(!5-b4LF%DS165#1<^LSKi5Zj(L5VpV zbg*G(Gbo9|60_j}k6s@Ykxs^!m*BM;YWkiH3YO0MFRsl1r|%n}B+cJq3NB9#yg-uB z)kmNk+(CmC%$?^BzGDWJa3}d)K6ZX@{?6EX9MP`~H$2e#jeqKagRhuCr5+Qk)a(4$ z{EZRPj5a*kdJWPf;Wqr%`rm<n>M_U84=$aDI1hDx=R95_!ubo-$>%(%_|x(G1sBd^ zisw2%LZ&)aFqUwGh7rJnSFfE~PnKx;K*PINRJ;?~<^VTez(qZDx`K1Y=l`!^i3L0) zBLFI@L6^OEH?P23<}5%ib3hzu3_)iikxSmmv+za}C^SLQv;>q$I-5Zu4T~mdO$VU@ zV7+mV<841c#V;rldiSl601as!doU9^q-A*WMbXsH|0CezJ)k*0(0Vd(bp^Wra5^|f z6F`y3-*N&RqdPzxkM8Ch$_xykdvHE}zo-mpgF~*;0`)0E4ga@(bKswP+@pK)1_=g+ zZYP$`W8beS8-XskD&c|zso}TRpvXG_iM)?4ou@c|b$;OdQ6kQHs1tNw+)u@Wj^8i3 zaGp~<_x&0udRq^{deFNAB;m~>pI+O9cF>AU@a=-|BoA8F!UA%C@9qZ@aK$LO0;J^O zG|+0$-3g+&mHY#x>)zcKD3{l=wlg!l1l3`nX?)PtbD*VltsML<i@;$GcA!W1<_G#n z=At_AMH<9vkn2D#ARLZ-VGmITa-m1}<{LO1`XU11N{{Z%1`uVSL=K$}g$K+Fy%~5D z87P85iA)fZ$Uu?r(R@SzG71GrUr6o2xghU??th#DPAV@zsRMMsl!G@&qI>rQaA`Oh z6b`WarSztM{@;24+!XAPgj5~iJF!3<0zp<h9k0_tmV%rPy<ZCC7%Z(pl>6Ml<CUHF zUr0jSUjTA;iQcj16Cj31?`{dO`!`qMik*d1@VW?O49G>RA*}?E@mO4h6rSMw8$0j6 z=$s4=&mSOXfZEpqKH%_dUH}@r?d}F818h|zsFIl>3Jp|H#Q@^qOE!wr@VXylEy(@Q z_BF^k_}vdai@Njvi<6VU?r#7&yCnWt^9>NgqkA`~<>Api8I(Q^p_fg|O@*fi2GA`& zphl{$NAGSB2TxLllp-$@@J9g1UXTZ>AQ1p^52gnKJi6OJ3ALN!;7evuPn1UmRJb34 z4*DM8cL6n0SUUSw%wk~ZoVI2bsLQzT04QlR9-A-=T%1A&ZeJ)&0F|had;%ju<LQw5 zq(OIr`}CTA?*v_lTcaYd547SKJp2m2)z+u;qi^Sj7dg{D{|C($aeyy@2Ad2XId=q; z;K3lD&gZ_JKe8Ad!(N=53JU5Y0-*79&>TW@#B5mqmc6q%W;V#NyCIG}>@b@Fb}y|* z=RMFRx&{4U-4f8b4AAwi6Fj=x985v$=h`^tfF?=WJWLrF(mLA&AUq!kPh<|LuMAPy zyN>}>x%6)P0I@(HbeD~2|L6bUAV5mr;3Bj0{)?3pK-TW&@CDtcXLzhR0mSg=-E9HM zXrO}2qt|rvByhnFG7YKxnus^af=mL%_zFmjgKR*L@lenN4d`lbkM6bupzEPHx-(ds zpE7>G*!coF292~-{$&6o0|R(fi;Ic@sGSD#X?Hg$33qcEgH|dScyxDjfJK|ZqOf&B zCgA0W=z2UkjKK?>EzosA7Yf;cby<Ms%oIQ)YLJ;i@RZk!q6x?gmK;EJD+74VD|i7D zm=9h+1X@tVQlbf2Ns3rc1zJ}GT9FM_DER#ncOVORB^`@LcQaVb0koD>1AMm*Oa!!M z6ST;Rr$n361w5&OSY!oSeFRzs2G$$M0Wy#WY#<NF^$s4Lk01>x(ER9&Ws^a3m8IbI z9uA=C7Kztt9=)Qk+aPT&3D97;14KQje+|vw6Z*g-HxixA2j+m2wM=L8kvZTr{R5l< z53c~HLl+eZP~{Gq$eP;&N?S)fqGQ3SdBOy6e1q;0Brd+qVet(PR7k`kq8qfZ4r^?) zz*eau>wrZzXf-=T9GXO+k&U@33>=mWpe6Q@RbpU1I8s5eCsLvbT4Rg6a1pdZ7PLka ztPm+uL9JD=7&ua4OUEE0phyKpo(worK`ZAFiy37=E9yMDyTK}vBUJ_zsRp1V37xY5 zN9*~Ch-ftcMXLrlT18qR(W(K8Rs)P^-Pr?<R*lZ)g1O*m)#+?5nG23q11V55^>D;o zQ1RlTq5+H6V_o2AwSY$}xNHFtpz;-zrD5$?a2u@i{tFjyLfAb4RJQQ9oCCLCUw}9R z*M0@x$Jx6(Lkiw5^y#%-Py;IFkU9dOmT7w*xMjKkp%N4j@L~|8Bn6`61|B7j5G4ZA zaQo0rdASkNvUq{siuHjw)1!Ac$PFIdn+tH*^WskL=l>qvn;CG(gBFtS>;+Y6yFpI% z=-mx+4ydVzL&J-1h=CS3<Us~z^x~}rK+z1U1-K!tSWpr`v|@d_+Z;?77#zD9I@=yB z05Kd`I@_Ks042YD54b?d@7Re2pqkR~<V*h!Xe|axX%Otu-S)!-Tt7}&2uh?7Uhh5$ zZjcGvDi(sYf?NA91wpz!y4x5a^{K-muzE1BcV7TRy~ZMtdc%`1pR_})^5|~kfT;ff zQ4i+z?(2Z4zX4Of1)*L5qJF_*u=!wK@4f>N^&N{r=7TSHec1@o0$RrjQ6I1btX=}d z>)rPQqTXT&SUnr44i5yWht7u>fEE}YcToY)?ZehI^wy|wAgyXp>;>m*j_w#09#CV% zMTMjJF?%P7_kjhp{Y&v^iKs_6>%%V4a!?l)36E~pvt7&#uM2#;`#_g{L#K;9dU=n5 zl=Rl9D1euIfTt0lz5fF*tlB}N|0O!DZ%Z|NdRe=nO2Kml5cS~t61qghrvuV?2k+qV zQPBV`36TI5RiHMLfMaJH!%}b=B;wfF#<CRD;&D-tNOSCL<A8ExKpdW>pc(sp0ifLz zjmKVqL(T=h)Yhl-+Y6O8a0z7)?HC8EdcX+=)V>5Yd|@MCT#ykkE~F7K&;$r4Xg5@6 z-;SlAM&_QSptQ8F1GJB!@z?^8-JK6WdH2P>R`eDosO(b!t(pSu_Jb^WYO~O1VA%Pe zoq?fSK=G8a2WUMK`0`Ou84j*$1weO*GVB7aIs!K<9d~jwFff1{msyPeH-pABUKDqO zJtW}K-CY1$6~VX*w1`SMkOee?0!{`y|I0HlaEGYK>;f@Lg;YTbm|avPR6+dCgNBzt zOL{^3vO2pnmN77NPR>~d^4M+<15h?Q9Iy<O0Kv;P!3&^3_4nNtu&oNw(CT{vDEvVF z@#yXYt>kj)1}#E$JotjU^Z3E{+@SHH1N<&Ox*59zSq{G7>AZOGB~SB1M$kO{DHp~A zpmFk_;N$~p$BTgWG_iC}lUUBc(Ag)m9ORgN4PeI{V^|Ju%7a5Wq6HDkAp1cUgZc*` zlRM%410Lik?Cd)MGIZJ*h@m?mhHgMIlo?_u$UKmLK<06y^f$Os&8t`eN(wbAz>fR? zF)v{S!jYSs!Hz_X$ARJn)OKd@=-vjh0ki@`020Tb*aa=Usqg&!zcEEc0F*WwQ&dDi zG-zQqFKCekw$$L;`2mz5ei$$?yh!Q<M-6zpDmeZ@)dFa}GPoE3>jn`oq&h$U-w8?z zpbb^vO;H@mJ}Nw&J}NvrKna7}M}-BPboPOGrM%1{Dvggo%+8C3-{7NH-MbGMFfeeJ zvp^CT4>Nz`Ly#iFOPwb=SyVbfn+}^bR)SKM&Pq@i?ba{^rz(M!&@h6hsm>;_!y&7I zVd3x5-3MCp2TxDpp!6h8UV6F#az@`Buru~0fSqyd0L&SNCtq+hfujmu9)K(c#XsKk z)UgT_|2?Zfe%m(#VraoCsNW1vzS!IdHWcO`ka^-L=}8<lJz1;<nP;;aY~BTkc?zo$ z<|RYSBb1&%D+9r$1t>k~x09EiZWuB!ybx*!M+=Vh1hxm9o=&w<Cp|4NB$%FFfWm0< z8*muy<}d=Mrwgz!f~P0T2C&0X)00cL189oF@!$*QPUOJ@kM1_`B1(&H50-=Pc{)!V ze8B@+uy>N*<)91W@#g1@oEN}@&HOIsJ9)r;x6Zy9YZw?h+vcnR$CU%v9mg8hAmVC! zJvi<`2?`YdU<)`PB_Gc6$zv@j{(aVh&8&c!X|NVyW-`P~ka?iequT>{K!gXyPvFeg z_XBL;ABce)AO=1_GEfL?AffaGS|kY$Sx|bq-vUif{0t1>@`)eR(t5s+4^%#Z3Mpu9 z1xgp-+6r1e?J#0scyS0)b>K)(aC=@<wctrl_6!V=^2wfoVc&my1_oI9WY55WRz5Wt zLDLgR6{LK!2Q{9M%BL0UK;_e#b)Ycc{Q?|BhbOFqhWSpAhd_1S%UZPb1YT52C_W?B zgW@x0J;>~R3ZVI?#$yiap=KMNd@;EeoJK(L2{VrurF`H;Egv{GfXw6B05&fIV%`TN z^PC~(LC16UEe7=*I`6-@QwQ#<d;njkZF(sm)SCgdhqge(L1Q`|y-@L;puwCMr$EJV zFL-3$@DfOACqyY|xD_H$0TBQV^c!9Rx1~YKCPDOZ$bteHBoGKu<^UIPfCzl>Wnh2~ z`@FPmhBT`|Sp|&2{YB5tYoI1m@4g*iXWME+o&E2{Ur=21?gpLu0CLN}7jJ4m|93pr z2AWA>G(3Pj5)L+Q0?0h*_#VjA?lzP=$H7<lb$<5g-3Kz!17@M>raVYEJ$unp3pq#P zzfb407xiG<Yg9Ns@e8nOSwl}7;A}uYZ6LfJa@v5qHTbjv78TFVM;^VrGwQLNH2_*v z3e77Yvm6|QvWk#S7}!@2PI?9iA3`RTV!-Q8K|TbvFF}3lZa*8JZa0I@QwM*^AABXv zc&hW-!GEj=pD{ajvluvbml&`#K6=2wz@T~2<MIoS%kP>WFg8D9^f>rT!GrUdM`wu& zhez*b1Mp>&(>46XAs08l_*eV+f6IXqL&*3gV`p;&6Sy@FDk3|ZW5CL*H9Q&*J1~J_ z9kkBz-iu05G=rukKyAcekIv8gAi;e9g?|k=F@i4P_UJWr%>@PW3oVEs2WU3BcQXSs z$RKc{df@;n$a{ASFf%YjzZ7l+r<Cr#9+1NBwg%8NI>NWt5B_I8_?#K$$!-ygZWjxd z%dalKXnw>9TCF+FfCW@&o3Ma0kORn&#$%vsQ$bD2mq%;CrcapA-3Pi(-J`qh1F7ae z0Gs~=V*Un*`6pOFzA-%cG8JKd4k*;R+dwzNBgGbB_fKF2xqk}yHr#y*AVV6DRj@+M zXGNHQ2PD<qc7ch3fh6-C*g)pHfbS6AHvwcw<1r03sQF84py31A4-yK>QjKpi7(wOP zH;>NW`<5~=Fo3FvoT|_NJ)6PqW8iO*2HnNnIY$MwBH!^?TLoxH-L>1V#Iw6B!=>}Y z!5{JmUr4(!p6EOdi9S#p8MFq4p<BeR`N0E6&4VtTCojM13{mj_rO^V9&Ki{nmu?q3 z7RSpkIxm3Y)kVd_r4!VV=yXx>aqR9}!@$7c*}V@m*#WbF@q}aNA;*KiSRD^OVg^|O z-e2L=Z6e<M;DP4B%kMf%R1`o)CpdMxh_iJ1sAODz(fJWn8iV%1LU+%pxOT4tT`BL` zz3v7B1A|NF%Y#4V55AOkVSMS>dB*YJA6CbMPr$~5+C~gc-8HHV%?}?qYMyoJe0lkO zXN`(P=g;Pcj2@k9R5}<K7@WFuR6%x0fTZ7czJypO<JvtBbbO3U=S`ULHyu0AgAF=u zc-`^fe^$qX&zU{D=Lvw47_3+6)GcD|(s>gcR04+An;$WHbk0%v0k$T_8r;Kl;XDdb z@tX5)^JB)&7!?u2@0>@QpEGvWsBkntW$esR;VEI`1i2HuEf^9FpfQ36jGQk@IgY!i zc!0)!eLA<OfC>_LIDP|3cy!mOM3jIOfsdN;=yhlD=xkAW0g8-XCoua1n4P1-;@KO| z;?nJ+qTte9qN3v3dZ0wywe?%6kYn=$Mi<cdwqx@%#+L`7-UA0FXsu{Lw+TBaHGpDE z!lSzfY<M>dqf2Lx${BEkoJ7Q$L?<-Xkaxp`s3iDwPEi3Z&2j9u5d!T-0mq8~D6Bwn z>d`$%1?0?b8R5&X!I1@8_tJS25;Y<qFN1qlKHWXwDD>!j<=m~q1Bt*K6%LQiDJr07 zaq1S~y8H?jr5v3XAqMb(3;^!{^68!ejvV)H9&wk>n~s-Xx`4K^8-4}(3*-`~ZWBQl z!?U0>cEB>6hd^=nj`LG9c+I<x;a|>MkVrFttOGVFVdK2onWF;U6ABKq)=Q;=-7YEy z%?}vC5d-oz1IPv7PUtrm6$wU{Zb;C9V-MsekQz`RfSlmby$0+Vux602z(*f}_Um_^ zbKyJ&sxmqcxp0004Iq?AbAnEk;c)zZ!IkrvqvEyi7dcNjDjsv`EK%VB9oq5zLaU1k z2Y-tTG*$y3Lm?q51>G#-pjds;>7o(<@;xZYbc+Z=vUUJS3cAuc1myM#n7#zZZWc%k zf^=4RbZ>#goQN1iXT@cxwi=K&=r*z`;8=6)W)T5J8Azi;cZethM27=R2lBo$NHjTu z9eMLINQXtYh%iKh1x$mDM=!|npmTS6Yg7zCi*hVLL+cJcy*?@x`#@XBz{7Bc2VUq_ zeg5BEqhi9~(+$Z+rM%!c`oYiNCJzc5@EUYbJ_C(`X@KGaRCapy?gQ5-y(KCdFF~uz zL7oDaVlZ<&yQhI_#BMI=f{wJ-k0tydcPYTkcrDp_0LCs621&tBhC0pwvJrHY2`EQ) zet`JT=ljLZ<KM3<p67%Xg&rVV!D-9k+i}Jcc90nouR;5Kz{bhI&cK2j2b#Q)0qr(v zJx~f-*#%1DpapElL5)*b(bZkV(rv~0-SAT5|Ns9P7)pIwzk#GcE-JA(?xG?BVu8nq zUK@5E`hKhR0Ke-6kW0Wh$+LSK$lsu$GYxP*?S)mv=l?Gc|NH+Rba)m>9XQK&Ui*Gc zxkN<(yv&6iG*QCwS`f5P0mOvwP&&>8vaCBtg`*pEWYIg$bDbqB8lBfUk9XFn=#+?Y z{sZwriCgi<_p6+*6fbnTsOW&sdjThKjgmZ1NXw*~1Cr$px*b>`p=p8?hX#h1UKkgH z2IieLJX#Nw2zYcmIDi=J#~ma<btJT|1dWpK{qg_5XJ;Fv!aoA4aY56;v7iQE>|x*D zb)aQ~KAkx#3BJAiB3Kw0_A4wAXV|p@Tpj;=VGFXQvjv>)QDl5NYg7te$Q6J7@7Z|- z6iVRK1b02i*$+WG%0LJ3fDYww1lQVb8AweEXaf_Z8C;}v+ZZ%L+nA7IwcEvj<?;(i z6(ax%1rc}+-3G3q5e+yb)4&miZW;%&Y2f`gE-E}8y*Vlpp!^11TMi04=#;%j=ld7? z%Rc}2?A`YQbVYn;jY<Y+S(gH|%ktt;DOgeg)L;WOE1)}DKs$Agmwx^a+lkdHYRL{6 z2i0%|ZIylR)A`J&^WzKQvd{lPUI$IIF?e=Q+X1o!l>H6Afo6+9>F9-i+2{X0ozGvi z7k>Va68bNe7JmNk(RmbP0JtcGCS%abf&g$?0iF^7>CG<v{J%LxC4j#<MJ0qEe>8xL zIrM;YKn^$uNHn+*6Aj?4wdkf<Ae&|ZG0n!Ow??G`6b+DK4{hbd3yV@v9}3*2_vy}2 z$?)j}1>%du60k5Rq#zYg=f4-eCGe2<>1|O-U}Rtbg?e`isOo<1(fQ7!S9B#SsHyq! z#l#X&478|#dJdp!0Uil^z(PpzupFea^Zkqe`N;9$(Osfa@Itx(lm(7^9B)wp^-CZI zfqNI-9*o@%tj&;Y&;yq3Y*D$w0GgBnHDR%5h8C40ATt;k7*K<54_F)#dJsM+09#Z* ztJ4X`NQ=rI943Rrkxd5iAtoOHm9)KUR3boiOK%U@1W>?&+=v`apxiPMR95tE0ecjh zNqjorzt~j-k0wy;Mfh~S2j!8)MJO=^T8IIPsm|v<o&P*~Sy{l@>JTWWyexvm6S$HA zH3DIoWseF-2q~Wa7lHE2{}<tTnDJDc2al&M&|rXO8js#RDj*@qSURY-ePLGg`G4~g zuqc1?5*1MY8gJHVQ2})uK*pj+8b};DXhD2P&~5-H1ZaN)XKB`=0_yx?GZ`d~Y%+)s zF&R{Nd-SdWx3@idd%z}uA`N6WdZgVc1ji0&6v3xE1(dg*d-QGr`}_q1L=Kd}ppo{j z0435uy<kwJb?1Px*Lz6Jd4alqo#2?$2bEICTU0baMj*%B8n6%|=0M5EsSpx*vvNS4 ze9(HFP8JnV$>4$90s{F16ze{n|2;Zi`t<gI^Xd!H!q5L5yZa#ht2R*c6I(^oq5@j} ziY+if;>dvs;zI%xRLwYc_ksFUp51Mrb}V+2r+|#bl50WY$R>mM5R<{1ReIN`SU~d@ zNCxCRklpCfWLkiTCU6#ck(>_}0fj8M^aJH7|9q6_F@TnSo$o<yaZsNTQUHR|2Pk7r z$cJPsaF+`aJwCmAR6s(A=mAa2F9s>@eE;Hi7P#62H7CI%XfKPtBk!>TkJl^%l^UJ* zU(C+}MdfZ#GV$m&of-`uuc?9vR)BrI`2{0rgkUE>0|Ud033*`U4NRc%8j$}cOqhT& zUI6lfXXiJU?mo~kj8Au)1`DVoeG=BwJ?Yqa+VS9TR>y;nnZZ5Ylg`~X63*Qr5-gyO z!28Ru9Gid2)tNf>I&(O7n=tGIHCkLWPhNh;`OmS}na8nPhT-yK&dZ%QKpWR@UIg{2 zL0ffBbbfs;-g&M08FS}Fh~PJVmvf!}Ixlzr1*>g-4Bqc>gY$H$=*4%2Uz?vYa=r$i z&<d_xUgv}DRe;#bg0S}i=W&R=EDV<)a2^7UNWiT)!0&RR^WVjHU_sbeuHipO2abai zbm}Ci!wv2?LC4oPFEoDu9S_Ih*&EFQJB!e<d)gmn(C9WKlo&5|o<I1X)umVEFDS(} z*QjtX_;#=Rz|6qF-vZi#0%}u$+hZ=B4;;HoSQ!pJXVv`GdGRu+<1FCOe1gTXJBO9w z^4rcF6_Ms&GId&xy)G&oj@>S-5RYkIy!?Rk18Db>WAhOn=WZ8Okmo>sACcx16#-B~ zM1&t?3%CL9+-<@PiX4#X8cy9J%#cXx3{lak)4&p7AcHj=n-B9ice6-be!vN9L2H1b z%tb|`IYmW>nZJb@R0^SVhAdu+g2XywR0@1LOH>@d-pgSHjj?E+>b!XQEvUy-0rDSc z<N?%ca_tUbWpKRws`;0UOXuazcXcXIpOzqe`i%1(NRY>|JBF3v@?#gy%RZgQn;-Lo zcf5Z9_b3d%t>Aau2MTP^Axz&|Z#(i&J?7H+vH2sTYv%{Y?-v}mGrF`MEX{Ro{pQF& z^*AU@VK$+J=~1XnF02ffA2@Oza^bw%{FJ}>FF*g31CHMg@q-WCb>ukSdD?~JbBUbc zx8}e64*XM&@oziKzwM+e$9GrG58tnGo>M&LqIk@wyF|sov-5{fcZf=XBmcJJ-><cP z;BWB+WlK>13FKbTut|kew}}Qk_PblaV{uO1EE<<zH2(svCldiB03T2SsNsbtfajdw zJ8M(~APFEumErOuPSDw`BA`9#pu<x;4>dpH?+j4^H|tL|KVmfe*8Gsa(?<og;*Epf z6|@PT$FsX_1L(wLkAUVHMh*u4mLwMN*c_y(9HPQu_zfJuFSciZmKpQ6oZ<w_gEWDf z>Kw0=Q969!1}UhgX91EGfavuGm1n3HmDqZA?}J%l0kb3sYDp@pB^J<j|BFLepZ~wq z;sgy3yQo;aRN!P_aPHOtr|q}S-8M{@--8N)&VQY+>!1aJ3?#Tf1<+&8*N}q1gaK3- zfqI#x+|7>}9YLLShEj9K=AZI4P;DsX$5DtjaQShZ^L_JkM*db;W(J1l?~IO};0^}3 zHw2AI9Z*byGA@S)JSI&vF24iCWQht7C?+wpGAQSAKw=V-l|fmMr#VH11C*6{_~AL& zxm$z@l6zr3L~-gdq+AOr>YBlWQ5vwq4V33SdU<n0A<GNFodFHxn(&2QCV2S6h5<b6 zVbT19nZHdRG)9b)(*+#6`#?pWXZJKvv4$AN?K}pV(*PAdprW6l+r|hogbNzBROrqz zVgVOxD$PG->QsB(IJ#w+c7POWp6EPy`7!73&KMPi=3_kFB1V@Vae{`GRhm;&6hOhC z!tV&Fl{~xmfodfrOOGD>%X;uBGuWbT6aDTGeU{7bF24fRM6$iE9NjYP$adUoKFZT= zqks81=PyXf4-TUQq%cbH=;d_`frL>8Xq+ekC5*T-z+sdF38M^f7@2`a<x#>2bbgM9 zv5$%X<fs79D3Xt{i;Bp1(4e?W>ks~x|B&`6!GHu0=%56o1Uw*R@C2j;N<hjqr>IDP z0#b&y0U3Z4kRcwuybQsRfQ$eIWWY<%gbrv}isNMhE2y8=25M;dcK3m!^dPhbJ&4-O z&^+PXEhFjN9U{rndGPY<%deV$$aP-pyjv&l*z3*V*sa3}Zf9s7y!@2&u48X8k7Kuq z;pJzX*BrhbV=Cote#+zsUPD`I)BHoe2CB&fuIU-)XCzIZz~wgQE%1pA{H>s&iRMp? zj-A(hd!1Q4x<gbPy1PJ8=F>e1oc0j|Bv&EH5R~@8MS^3uiB|KY2bveb6+4GxcZ?Rx z<=5cld_QIC)O$lYK!e=7z{wv}KSGLhj^?8v_iJ5##(A%^L<OZ7kl_aT-?>|a0~`i0 z|D$>ZQgchfn>!a@L#7inz&--Cwm=&)bbNYQQv)GUV*wf&KrIK<Qo(5)r5tz&8bCow z;{u>k&!M{m6doSk6TsmCoik!QLDRATYB4DBcy#XoCmw{wl$8e;-$6>v1eEYf@#$sN z4S<AK1}MBx%Y<*q;P65z6TtI)DB(q*O!xp!di*UVjG&xBxP%=l1p_QZ15iRV#HW|_ zu^%KvBS0Y<fKtjXNdkvx45XBe0GG1ALERpd5H&z+!ju>ywJZ!^EsG!Vkmd_FXgaie zAFTOe@N(gI(7hTiDh4kvfxBmD&7o~zL1;@wv@VpH0cPSdsEMEhK0IM2n#P1OGraWu z{{KHps|eiI2epa>K&>JUNUO*+@$>(eTYsP_1<&b$lzM=aDnOKSft0TMiKY}htO-(T z08%OeQF=e&^Z%DGLCdy#S<i<sGk{0Ap~G&ST%a-I2aKNGeW0dSrz4Bu0guKbpe`TM zfHh&4SA*<e{)6TmtS%1#Ddj*tA_k?uc>~;G`0UyFXWw#A+;-l7aV8qHqGI<9&`2?V zO9dxrC~*mR0Pa}x2asU5cZo-La0a6OckDb4n{@>Z5>5a$tQi;>+`46~z)b?j%dcHJ z=cs_hLGyp0&beE+ixo>}j|zB*=<*8}$P8i+Sd9y0X0k^GG+fZ#4I0z&=-vz(r9c{& zKq~7KK=!$Gi|B#NddJJJTslKk5<EJ$sN7&=U~uX7(F5588oC6}B0{Gc6I?n^LS`UR zy1PN6Dxhr@pz{e3^$B#v0jNF!4?%aESb(hnS0@3TpTKj-Eh;BK9g5C9Dj*lSbmv%r z=8^+CAtQ;7m*2X;W;sK;yFp_th;ZvX4h|j{!+Qt+gO+A^bT@-WUqJSOW3yYt0z6&q zVR#K})gBe_fJ$eJ$^k|OhVB}$O&+eCM>~7K19+F;J97SoOeXlabZ-s;`M&c5Yze^! zN6;dG2acM@91s3rbv*ch*`s?hXu=v~AGqV-)Xl==()kfGiD!7M`2iy+x<KO~PTe6) zpbb10mtQ$@UWLr3+PHMj2CX!4>AV56;)Y}A5%8jf!|=)e?#bZEr{gXv;GuV?ZWblb zJT0ij?Etp|RH8U_hbV!pa6q!c#l`TNE9Y5Abr9j${FKq9Ge;$+gx!S`)R~SzPHo*T zDiNURan2W|+{atM2?;!M)ENVr@dc-nZ%_%)^fV~CK(l?IFa^)xf`-x=d^-22JOGta z(E0fl;K*I0asfp5*0Ol^7P5HsX0!PA2C(?{=BTi^bl0dPbi1gexVHWWr8y69j@qLF zTJKXL+IpZ=(6RYBqf2Lv3TQ6iWj09qxQhxnL3wmfQ32%!(5x=VQqbJ{0+2<WYgA@{ zqF6_;8<I!BjrIVa&NV6)ObiS@-D^}p4s!&}&0c=hIYk8&$gp%40Gmw*&j*83638M@ zW&l|P@`+0~*knkacnb<tk8Tlhut_c8R0A3p>hw|ZfEfioY80GEKt_R5ykoZw$Z?>7 zbnsl3MYo99<yWvJ0TwWgHlWl6j(X3|Tc9~;P||hj7BTLIq*-Wobua{v;DJ3|V#?rR zcpY?c4k#l#Tz<z5@gHnzA3XaU0m}5CK|fIPbnG_K03}>VqUi2X0R>{WjwVR#t>JfQ z`r&*9Sq~5ca#8_IKPc@vcAMycQYA=#0Vs?>f$Z6Ns#`}Brn>+n{}yIMiHqTT?kOsu zu!7{b3`m~HDPiNh4xUGYMR6-=je(C!M)O0+8UxTQ0C<f7I9|TFs01*gCr01StKjqq z%9{+`B`Tmsi%;h#7tUXuAu1M~=fP90HlW1_Aa8=g02FK`5)gUE@7G;8e<=R=evR{^ z;)zZd6`O7s6&vv6s|9}x=!_I3@57Q`3pmYpvk1VF8z_GkfT9bO%(`Jq1`1&F`6VDT zG9W=X1zgO6dfT9s1u+AZu)xJg2FMIhR)Cw40W%{9lzTz5FEC>usfq=js$PH!I#8w5 zy+s9-tDr`-sDLy<Oql|nG6ER{szqT2fs$A^i!d~WT?Uy0k_KfK$hb6!2QvV4X%xr+ z&~O;c07#l*5dfthkZzEiW4DM9L^p^B)7=7c-*Fce=&Fk;Dv;y^T0Q|;3{e5jqackg zDo86XdR<fsK%;R2psrX3h{fU23-KA~v{z8G0^B6401cOeEcEGxEU4KB+Ss%UL>L}; z!5E3wM6>5_;{}x;AXk9q5EwuvJ9u=*s2KQkLn?8P-reAt^4<~^hnJH<ib1j--IGDf z`9St2fV*ltL3#E?Lh$GR-99P_-61L|puRd|XA8Ji{(cd3B!=QSPH<%kskT8$0$i$r zhISw;D*|Aaf>u_**pQVKA)pKbDxl#@Ap%-YmWX$|sDvPvLV)ICUxHeANY3*>a-LuW zXn5a61za$7yQuhp&P`GFQSksBk7@}DT8r1tC=Du*uNc7L;nBSr=3@s)+f6zA^Z%Du z|NZ~pdH~`^(Ao;n)CB|7jU_4p-99QI-8m{2pgh(23cR$(q4PNB`OX>@ml82>4s-zL zyr18%a=rlPKbLM76&G;+b12F4=xzqt3T_s5`lv)eT7;c0Dltg$5@C4hg;@}2hoFlJ z_SFxNmKbR9L&CTJ|2;aJL9I29#v`ES98z1-qjz=zsJ;O$pz-KkaRI#eAppAg0W_WB z5CocJhs^8lS3r{S?0ok^87v8&fd^Nfpe~<*M|T6r1N_@K__sNL7Uv~&x~QaprMf*z zz_drV1CB)y;4%+&{;)>H12K10qv8R{DL!C>x;r3kKhR8BcLS)tMrr^et%iU^Kib*~ ztkdvNV<F8wh_Se4(x43%bk|~CE8x?cqY?n>K{<fNSS&z`nmiDvAAk-=c>f|a6ttSf zMa96UcSizf>bJK<CBg90PImA*l>eZ12grvnazmi%JbHJ`0IBPQH2VxMfwvC$biRAx z74jKr@x>cK$l{9#SHqK@op(Vi^+2s^kIws`xv*{@6@wR@ATy7<s6Z<{u*WBWR{MhT zdjVn=?8O3*!p`?Ee)xl?mtpC_r}HytO(UqK0$R1s9RQkVJ_gF~&?*3IAT(WgF!FD6 zU<IuZ?Q~Jefdn{#gaKK611fw`LwgT+Q4S(`K=_~((xL(`wn6EdxI_XO)W>E8sBlHL z0>p<{0WRAiRuGq9z?A^17iv^05Qf*NRDeu&QK<pVU4v>dP@2Ik;z3i@;Bzw!4}hkP zrGr6}h9xQ)h}81JJqSEE3hIvebVCw|4`{yl-3#3yc;W!n9iT<dpb2cyf|73@y{!Ad z8&VE|+7t#a3PCyic#8^XRXZqbz_I1g4NV$*z~V@$qbUe9fBpT%Enno+0onla!xx$) zT2v;03UyGe2nsoPY2m@dzs-TIvj;2<szksQkBf={p+ciY1vHHcavW;F?g5J<!WO~@ z1#gQ=4cu^|3Xm3+0;rqOtpJH5TLI!jtN@J=fkUgigP63@q5@i$hRqisabzn%e25h} zAYb&ZQ8@uB!FzkaCV*lT<Oon}02D6Bg$yXEd<Xy~l`Y^<hNc!!7Y9_ZfDSPf3_zrs z&i}rhe?fJ?AAghtbASoDplA=cbMDjm->37NN3UorFX&`AP-$WfvIMl|0hIa>F%3^O z8lasHh$UV=0gzO)#2Y2mbZ-H7eO~PKh9{j4Xf%KW7LjzA`L{W+clM}&q&r(wKz&ZI z3c^VT+@r&?VgV$M9OxiEB+yepfeuQ!#1<={WkcBF6(o*q1&9x^A_idvaY+Z<Wx(PK zkT|jxAU?zjP|qJ!#O#139gqwt>3|#oN;)9_q9>iLevqUC3T0T*>Ae5qo-b080WWJh z<BO7BHbB!0ES<!Hw&8<o9bu4($6HiD%}r1cfg=s6bODJYl`blNkaSYxiJVTrU0rY$ z`R>IePf$`h4mAf>-+&q-Je@r%pk<+*Eh;h~KZ9eCV66l0U||VZkT`O{g7}bt^+CiP zF-?;e70|*Ekl)cu7LYiy6(Byu3h?@Gu=|NDS->q+EWQAVBU=IDL#(g?`J#7?$_h|W z_4a_5=YWz2$Pu9A0rD?;@|flWNgkk3MoJ$0z2V6NRM3FNQXmbF7fZcSlF0&SGU?s| zEmpom)>7$lf;LD(>!XL>p!R%=iU5w11tgA?Vm^6;Qq2DsUhXI<rt{s440ljUIf|B2 zIQh3Z@OJj7aDX=cw5afayblg(f++>G&InsHg2a)-7{rH!u?Z-Q!G;rA2f<d5p{Ep( zII<NWKEw(ggcZaUB%mcv*n9yJN45gQhghKkvZ8m53b=dG+XG%S1xhI(M}Sfa$iL_* zCC3YrQb3^$O(~!z3aAbOt+ML%M5GvS6ZJ*4CrU~IZL9*fSibv!7qjw!X1~D&${|lk z@?gM`JV4?|$>XvoD0#epq3w#2JfIa1s3q&@3hMqGMoT7K{M#J(I(t+=>&81<R3N+E zh-#{UHiuvfV30U+0E76D00s>RgRLjFz5xvoW3vJzj%)>p53vHYI{<10amfU{pbJZZ z0uo2I0>p<{!2?Yu9iSWqU9bpBCLl+Ek_pJa=*h&@1CmTYp$tnVo&R6txx<qODAj-p z6llXX#2qD>fY#7~l1cX(Xo2$Hqw}6eujpM+p9!2?Cc8tD3#h*e3NmmYAk{x0airw3 z1f;z4{)<0OD9HtMEnSR?!3$AmP?2&B+JWca=E2Rs&4ItOM+LOLud_wv4QRX;G&ACi zwe#BoUdan`26_eujng0pD~JyXRu+(v(D{#MQ2xQz{cQnnlfq^&Xm1;`!5}`w;6I?) z#WCpt?^m~|fHrzzGZ-X}Y%quqG58CpIoP{K1+<M3v@RDU14;-Wt3e3?<Tvz$VB-c! z2%vC;CIpY}J>c1t7YVM2)Bx$;__?B_0?_aVC>21vH=_5MK>IDPdvxA>F$I*lkGH6R zHspeQ0Xn!5lr}(Xy-`}Ipoa5uS5R5;{l$L=kYk{Oa|)m#IZ)pSG>Rqx;((gAAdUct z18Tp3IN*^xP}Kw)x%27W0-k%=2U>x!3q*jr|KGtYd0SLKNe&ziObiS!oLxWvhfE1U zCw{O;FKD|L$o=T)1|*Ig3m`ru7T$oP7u*Q!ZU$B6*bN5lQN(62NF3Q<5FcVNsQl>e zhBUyN!BY;1$ugvL0~%7nW-v$`*<cVKV(=Yky2*eRARrk~x&c`Y3NMh~(9;cz3pj0n z#!q1B1yrW%I)jBlRRl;FRDghbIbzNz=?1j77?N&4<L98^YLCu$KApcn2`AbaQf>r* z%m6v8dopOO1QfF%Aw-b@+Rd8>Qr!9e#W6cj!T}Ghf))*bdujCsx@Z`@UKliX0_uU~ zLzICAm_2$;Q}w~?g}oqxpbX&AyBU;T4KMAq2dx)QZ~~k91H4|?*crUT7-jwz6uaQ{ z!t>U!faZnvEdZ|<MxK#70GsZE%*?rWi?BO)hp@ANcU8Uut!DczTW9as>i}9X%nn}2 z<Dz-<@-r9CYmU7RpcTXHuoc7Xoi`7@WCG2a-oFT1hzDBBa)RIG$7@B<;4nMr>>{x2 zbre~b&TE~QeR~sFKnsc45etbqf0xR4emMAo$?(I)cZSEBpD}WNF5!i3k$dS6T7=R3 zTeeOS;x-n9+dxZ0A#P(~hb^;VKlq9Xw(<#f29I;MjRk1l=6$D)igUM#&E<EF&A(+k zOH^3u)G-a^{L#sxf@Cac5g?1>!B<S(GT<wJL37mXojfWRUw0k@uc&Y}JPA1^jKz`P z<s)c347%Qz1#By54n@Pc+r|d8F7mf5Xn!1Ny{`vo!4y9{oS$+Y?aWcpK(ZHfEdprA zoJO~gicaV8=I894H7Xh|hL;TwgL2FH=I4x{JJ-Dg^{4PH`YgA+)Ym5RouYq^q zg_N*ye(sD>3E(bK3HW{uG6N=Y+(ktOlrbH<r<H*A;$Juj-d@glq4VIupRBz)XF-z9 zH7XLI_0kzEp!L$A+f_h51CQ)?zJM&3e#i=5PYjwa3ji%AcI>WUWdP3thBW_@s8jEC z#kN>F0KBluMVI071Mt-kA^h81R02S|T0xAEP8SvMge9oVWN_|AgdvA>w}=fSoq~4K z!otu55r)qon{6GN4}jK8gOUVj%`|9REe9lmIGR&bc-W!SUnr9!9xoL^y@7X*-7%&N zpy~KSofj{^22JFG`b!?2A3**CEtdv$j$FHaK+C0HHvf`v={(nYzfJ+{(;CEL>F1pH zdtG_Jp#;i7=R7)dR3dyjm#BbR%AiHr84mp0j)5jmz;h0UZyj2`JAy9(1Ff9C)Ox^? zf9fHZ&Wqrc(^nk7Uvb>V=+gSIw8XXb5@=C1D0o#px=U0ddfm{&_;9Zq4|t6_XzBDp z7tYiC+fFzB1TAvppK{po+rj_*Qx7QIaOAkxdDw;Ha*3khTZpU!|CFQr+fMUuJK)N3 z&6V@Y_p6*o70<dTJ_T==apd22?EBT$7yK=tp(#*O0Izxi&G&&q$f+B?c3J`?1_~zF z+G)@Y=`tSOIVur#>b<@kj@=l`rzOBiB19LqdRhi_Ph7W;iVXiY&>A@jP<n@~oo;*x z@<^wTicBYq3S{*(i)VL>3TWk7H+=cDBxvTodyfjJy!PmP1Y0arX$@I^^o|d-dU_97 zlSk*Xmz(%NS5P2rm<3NzfCk0E%YOpEarA=Q7Bm$D-iZOTsl>{&dmq@6-VhZJm^DIB zYudrqfEUSvmIisi)=xLte*XWO6*Mj70iFQz?1mh<fmjy=GV-M>9|MDXw+W~WalHHv z6sVxY<J2u<arrf<{Hn8qlwBr>0`Zv(=Ql@iVQs^H`8ntP&L5z3{}Zy41H8@R>uW`i z&Id2g@G>xfi{VbBy&o=}-#YKRbbbZvf*l~ndA?K<w$bB#2_NiaLr`qKE(JRSYq@+3 z;tX)Pd>ETe7vF(JVby?(;Wtp>WTL`(x-&+F1)N$+SUK-?ny7TMs5F0OG(5S2k>BNX zCl6>ZHfZY_c=jBe2D@2QR=_t|3vXa-IZ%pio3&?eiwdZA1m$ATJ~!XqEh?aD5+n{P z20?AO2oMKU;(<6B-Jm=3KuPTc=Lh~4HPEul<1Q-T!W|SHkaTYYT4@eiRjmOMgQR=N zN^^<M5)~b6r68ze*8nGZNGS-aVRS%+AL!6x4G;r#R<RB!lVO&Epmhn5gdk!Gs?=VA zB7yV*5p-!KXm0|0XNU?%=c(pLAO=tK1Lo$3%$<;FlFl9#@Z3l58t_&KpI+8IYLI1u zNV8ht*(k^{$DlxE$YKh8!Dt0qI(9^$xkkl@0kjn|1H6jeqxlCrf13^u0|U~1N}zHd z)WZX52KCG$x;Z?%Lm7}(M<Fd`2hTsEtiVoyufR@e{waZLDSHCS3hWe62!rM;6F`g< z(8Q%<H@|1M7<Nmc(>X}%=PTfr)-?Z=#bs#)ilsH(E-Dq>J}Nb^K(BxWdJR0#LGyK; zJ>V^gpkZ4O*Qb}&UKJAP4v3a7wm|Q(fCst@WUZzHIJ}>Oj)Fz1>sr8FDp1-2PXl&C z_{J_O0V^0w#k(QfFpXVQLRK(>&oTkcGcbU*&NM$_?DkQSPy}6ZqtIQVBGXx-qSE@U zL?2X=DR6#N1Z~h#QM~wC1|*;Y%FZP!3e680VbKdt37s6>E-EVEd2j{(7SL%01Y;dK zuZt7`7VrqL!4vBiC=p--ifoHc7ZnQ-!=}?k#RgxjgBOdUBsBrJr6PD@T>!;W5#((^ zpd2Oui**rbth=Zfbo!{6fcEDb`1G<yDT5+=4|ts={`j6_2957M;6q&)N(H(h`=i0J zK9vJCm$iUrSukr#&|X?kSWVdrSqB8G$Gl7+)#ZM6r0TL4GGFtujvcf%n%%dX4b*4^ z9q+?<(6RFr?C_xGhYvJQxOejiICqB#uyh`Dy!;ZhR9?2u*|FCP)biy3w|qfc1zb4K zI`$TU*4i6@_6l@<2eouRLOK|&|3Rk-zJIL_>S%*HPcMbo85lqt6yd#$R?rSbm(H`D z*IYW!cYbbu%nrJg=Qh8~XU=n_f(PF+8G^bfod3X;cxg`a4_VNj9grJL;BI*4$oUb; z4WF=CaPHtcrq1il&)Gq}i~syC*If+Hfzr5%3V4SiO9?CIwN4Y2=1+`<C%>_%F!H;c z>cmo6ftI9zPX7Uog@Lk_1gM$Qd5-fMe~Tdt1A}j`7Yk@oHlmx;w>uX3Tq=0S8d?K6 zcIy~JmZ5^SIcYd{*BG;a+uk~$ETP^T#^Km4BaGP9@R$>{<w2wQ7$~HTL3^)2)f4|V z9~IDT+8Q8+PN$EG4)P)>=WY|GPSCMWTfjAdbGHa1I2JpnfNO?2T}WiwzylJrbz%=# zEmCk^>)ZlX-rWP1>4xw+!FA3Qun?##`Mvo$qv56IXY5ebpd)wrU4C`esPKTC1RD1O zbxS}S%sLNVeh*z}>)dU^c==uP582Kw;N9OaC+WbQ^px{&=NfRC=h%D*)GrVKZ`y>E zbI{VS8?tr0^BBZ>kX;8~Fo9Z~pjdBy2JUD<)wrl6@VkI^?SXb8td<95*BTWM&<uV8 zXu${4br*&QUZfj?S7!M@3S>wH_?ZtiYl2S10j*laSMh<@E1{G|4)D^*1r*;n_Ha3% zltwO~lFy;jMa2Qc0G%M^;@Hg&s`%g=emc)VHjaVwZ?}vQ$QICE7oT1?mdkHJnMb<U zkE7d$3zmJKbN=qF<LS1sy8N8;FKFp0sAmt_dfNOHe9zy@&Kwo+GC@cwt|QiY5WI-h z!m-;%;PQJ=%+;va)G32Zm4TZINvz-koW%&*PXsMswCMIxv4Pc~7SK341?lpGHdeBO zF0=1+QBmM`xz-t?qT<ub${+`dqCMczVNfh7fMTftQMMW$cyZA1^Z#bZ(Fl-Y6jbwq zi_s#`Y&TNn>7r5rN{pbA2$UE>bvnG}bWy2+R-2%O#~>x3d2En!(5V$5<=-HsFk=Zw z0Z0&}pu0vz0#uuJuK^eO(1WHrUnzo?c?xu%2KV1ZN;E)~ssQIL&VQUQ6+bG1TFD}c z7hi)<E)s#PIu!tABT)4!0<K;K_**1F<7kA^7I*^#O4>32#X2~aOz@;F1C+F70!mT_ zoh~W{Acje&i;4-2($*aCfHXXLtx@>`8s~&0EO?@-11D4D1a%kWTySDK4({L_e9Ht{ z2fPM+I@-ba;2YXNCvbP}Q2`B{`t-7%lZK=m(5N+N6dN@92pWa_z`($Ol71TX!RZGy zoDNApARXZJ1D*v(N<WaLotV{dF>;5scM5oEE36tmtOKfsOKd>ZG^m>kI<*3{Xb9Gw zG}iz8AKH(c0`Bo5HkkB4_|P3Dpg}^URe`SE^1j_{sK@6a*WZqsr=7cHAQd>MqwyLt z_QKzH8MI%r*9laM!}j&wgzWfp0@W=H;9C3xsOJf*#lc<A_b)er_EjJbrh?=KP{sfq zOajU<pl-4SxT1bBSNHS(mp<rQc)-I?ppJO}NGbFjt0Iun9iLG)!a!QJFtb4gI!HqT z#B4{9hF@R){|B`yKtcI(&%giwF)RJfyPelM?}JYHVh0cOfyOyMaGom_KKPdD;(JKD z;yc*L(tJ=a4Aigy1q*yL@XgB)I1fAaf`SFSZyCH97}0V82g{9vFPOkqUwjSOmi3L_ z<(lDP&^Vq9qG@rtQ-;i@#R<*}zMWtBTLPJ3tqT{Gh;9y0t2@J^+W@K0bNJwI)`O41 z2Qz~ExZOH(pph_0d9Bf1BZt(!Q0;Z*=w@L<G%p@-g2y?V5A$@h$U#eN{C!#zCQzyZ z4IY9<_81|l3)Ydv2u4sJnFFZ?2aoK5wq~<~$MitOENICdD8@k}^dL4U#v!-*f%{9K zVS0CM@NqXFS?1;?;8qE<N3ZS^aZpaLQNirjg2w(qT~h||0nMQ=)U=_kZcx`2saLxc zG&_xy(Opymd^=x&0vQq30!U#^w@xh%OR3bUZB9|C07XCzJ17D`)g~+gKx|M1RDim8 z4DbjzrU{M!kSr(yK&KIbA|P4}5&@WfT=WQ7stJz(ly0s++Qx540f4=m>)ZK`te&nC zs18Q%>4Ixt6-ez1>gl3(Zhb-1;gH%Fln^pJx^YAXq-lh_Lx1RWa6t#1fKq@6J1DHd zZ3)nQ4dBg?0;q9+N*#Pan*nokii!y+)^kNbv5up&iyHASxj+8@@7T@m+s%jE-$XtH z2g~ksSz>pmGnTS8KV>Y{Z~h@ia9=v-t>#CJ{H^@p-Wq5tI%xeqCum1{hEKN;(i|&% zZz2om%ytIkZRjQ{j__URETA@)8rEItHY(szLKD~~bQb<?JSv?$Dxl69Xwg0R3KQ^P zwR5)#Xiqw*H1&Y(OPB2oQSkxIaDn#b+rYQkgZgMSDjv`?DnR?wL1n2=w+nQK(ILoK zAb1HrWY8Tl&Ex|bs)MwvLFWl<5CTPBjfw`SJsp7D9`fuw@gh$R-0{(YbbK_xjiEe@ zj*kQ=Ul1%H!DFB(4O9+z1C<99csM#g9H15-`~V9cP`ea#ffxsf0lGJg2jBP)fgTsm zQ9raESGS9bL${BL3#`uq+R6gz`GSvu0rgf~Iw2($C}5|6yRaU;ydi?1s})&Y1tCG4 z0BUuD+AzqW{DNB*9K<P*AWi@Wu?bp#1u~Ee%9)S?AHp|wQIUWS_JIa?ja^h^po4v& z#l#HAMfo=ul?q6I1yp2%`ztNru2eT<oD$?O#?BCxf=(ZmlGc;_E$oa844fyLA2Naj zKs{&|m4cU_P#b=*ZI8V*pzRVRD$sV^D&^1rUw-_6(vE{|se+uFSfT>mqf-x3TJ#A; zDWq);s((PuNl>#6RR0)&+h;HQKpI-UplHD13}ui~d(<7_kT`;w4T=ho+0f?XC#BE- zUrze@|9|r*a8Mj~Q7Hi_?RHTqf$l^q01f+aJA(Htxf=d|&EsnLA3Vu-+_m$cOXmj{ z&L8|O+@J{tV(JUfNfqcPy2Kbk&a_a0X9yjn6J0=qAUQ}Uy4(VFjzRfA0o+;M=Ar_+ zutNnjg8^#o;2Vm-HZTga95FD8X*ptG6l6K(z$hrKAQv|xosi*7NX7u2TkX-yYt0AB z5qrRcnV?Jo>O>=F3Q*DWSrObD11-#j^u|Cv3vljmM;i)vQL!MZ00P%)C<Tx~H_Xe3 z9x|qv5j|v(m$CGak;A(ddCmjc6bCoIyG`V~L*!W?C+W!6$%0zmI!Gt!Jmq``YIWPl z!%orx4S;q+PI(56Rf2DRodWJ9d-U>t<N+5gpLiev3F@hW0urN7yH)`lidg!zI-sSx zNKIWxz5^8+pbj!P;X{OsT~sVqFqTSnLr(fMc2Tie!3f@!4N?d#OF&FV#bd6m|4X=C zIsZ8-9&=PY{+ij<@V`svkM9>;T0fK^<r`+C>;k#~fr#j90q>RvI}6dmf^3z6M&cas zVTqu8qYXL<2|XUyfDM4xCAT`KfYtMFgP7b2p+H>Fd4`>kqZer!v*3lfkH81<UFe*m z0$H8QE6)vyQVmAXMz9|6B3<;naa|4^tDq&rkh}p>49*+vXdRdq$l3LTizCQZdW`S| zo$>$=U(g8-c)}N?7A1T^>OlbtlIVn30OEp#L7Rrai?Le3XB~h_Dyruk(0Wy*oC97t z+snJ16B53lk$X^<0jWX{-*Q=S_=1-6Lc$lM7#zOQ82uB_;<oM<6%b_%3GEe(pu(4- zRJglE1+)~-xJ3oD)DC=76-pjC?x=VSoJSnLUw3W&$KPT~Tvr2mhaG%64YHjM+M-$m z-ci^5Q^Kbk62NufJb`{X*c|YdJb0XejvfH*!~;bVXj3)x9BmL6Bn;ZE0~#~Jh%B53 z1c8b<LI;LHi#gC*Ql!WNEtd7@<rU(9L>6dqGAOb@s?Z|qWt|jgsoWlLIs$bqI^X$r z`!dj?d%*<iUNDs!64$)|4+HVH#t!!m#>E%lIv?y^*x)@{C&QZ!6pi3chKmYXC*$M` zPAPB;7^RbOY_yZ1;(#q=v34|Gvw&Iyymwe2!JGhEYzu1LB4?EsizL9ojMCBQpms;Y z)$kj*uK_LrON5R?dKu700%({BdL#w7^91g2xTuu09^h{QjcRiq1f?Vwl@dt5qrkEA z*h|nF8I(Q$;I%@av1193&S#Kbfra?z|1T>(qVx(NT_jL_2I@wDMhZbqeduXX>>v$m zQTrXxa|b{sgOA&Uo)&dS?DPMZbHAdQ4eFM_%m%SRW<$Fut3evJenZm$TKWvr0Ahni z|3QnHAs#3P=?O>gtAMH$m>v)tqz6=$Li9L;^fY63S6mIhxpaPL{=nGkqEb-84LOk0 z)$rSEX4lT|E}cJIK#QP+De0piojQqgsSetylho^@z${1fQP3;37!^bx1$5*kW*-Gx zzQWp1nal{vP_TXqq$7izr#w4PzVH?Ww-vDTQ(~ytPl0(G(NDqjHlm*b@-`{`6v`@a z@T3Z~rvk18KocyW7A<H-&!d;ul>uBjxiLTj6Vg|K>_<Tk%omIz;E=@9SFxo*U*))K z>o-te<-4OItQ`i*IH11D4_w)XgSc!1=}LgIE>dq3+E;-@<Qj0_1KyTKkIOlzeHBo< z4AdzBHN-%L28n$Y>clRnw}KM8(EdvPKPCoH5ew>qfa)EPI^<{t<q>ruaLi)quS}su ze+3rCppFKfFb0*SC~aSmdQcdHBuMP9P$!H*eG!x}hW1&Q|3bnT)C~cJF-RSH7#|h@ zhcT8uOA5t(7Nooa>(_sC{C>@~^*euy<w)$az=}Lje*`7Mp#7Gp-;fA{^jliMy9LoA z?By|Ddi7gaK>Ze$QX}H}Es$k6{H=+@z2ky?-4Sxv#iy5b!cS04)~INJ79F8<U3@za zzVPD(x2aLOE;m5i5|IYhTvQ~87~gVH8CLTn*uxgH^WxLXs`rD5!Kas3{s$zW6F_Tp zFgh<!xxoRA(s`Kys*RBX8q(yaV3uS+Ixp2+pZ~v9AffZ(1=0{nROdw=q_l&C&dWQ_ z&;MU8A+7VW3#7-3w9ZQ#NKX}+otHzPcqcrf>7s&df(6nx$WcK|u%I`-FpkV0T%8x} zISaG<;?v7|<qIfFLAo!XT!lW(0xH3JIKa&YNcV-O8?u<I`3HMh9_sE6i<h82G@+jO zI`+^1U-JD%>->Urf?C6%W+I3U>McWi;<X?Rp6I6^gI7|Z_r!zPAxEhZoM^^2&jXA0 z!PtK~_>Kv*0v$5d1F3zHdr&^TtjwRmMI_5-NPL2NP@wq4nC;om298Wf4+<2SAeErV z6hV$m$h6LD9i+&F2*KhAZA9ZHD`W>XXpRS@q#L4|gn1#P_9M}Ktu*3t`LOD1!BYaL z6NQ=*K)o%WURK7BkR$-=ZGn;iNFj2=5|pKPvVc<oq_+i11t7&(QUPcj>a{*fDgX(A zq8OA@L2S^F6R5`t8FIS7OjHhp%=CbaLFxX2dR6f02~bySNOaBMQ3C37p+*U)bLP{_ zn)V(NC7{k3C`v#I(W69-85|{$&KW35K#H+M322xPElNN_ps)l*35X4f5>QY=qQsWz z^M6uipOA7QMo;b?)q8SOoOQxE6H8go8y>x&o*ZiQg1T}(y{!FjA<+x!%7LO6q!2xN z-5J5r3pzN5p%fIoAjP2Q%|b1AK_^q7uNp701g!`MZ58(DodUUw5_(J2#DAaugNDZ0 zF8%)xQUhBx4l?EC(Tk9E&tT4xi)b}2NEDW6Kx|N=0nO+^5)B8#=l?HD{)0?K-Ev)G z4zdU07SVg3nHXS;*V&*>slEu(1l@AoYr6Y06T?euuuE9i!`Nwh{PLjnNDK`8@(v7+ zVZk2FZzMcAKYMom+qV)l=i7Pzh1u^<|2>*_gZ7{^@VD?YGB6x#2A%WFfa~ynq-|5s zeiG{4yI60_{eGeKcu6$u&H<cf?zpHx7sH?$DS$ZKqy}>Dmy3#sNAGS>+=5y#0<VL? zcPC&!Aqd`|Lp2nuJCO|KFue4F^Vg^Up51O5pbL@(&~HkHgcInj;8UP`DLR`$Vd&9( zL?YTT?(l>O6Hvo@6*Rn${TvPN8Wj%6^b!wRc+dPnL3kt1ZwYYeJnYzc-m~+YXXjs! z-t`Sfco;l7zjt2iJmu1P(6jT!3x#i={x|<%EGhHtU8-=DhrzLVZ^2O>28I%8aK3cW z{K<IJ1AO+8OXsf_N`F87_v}38qWSy(VV}<DE}j2;IzM&Zd{OlaY)YwtXX8<eoiYrd zJ8Pf){`4PoSu<3XW9JQz<|7=4T_P{1{sAQbWFb&unJ{63$MH4+R!~s^x(99__|Tfh zV?S6J7z{5No_sm)=coS@Pyi@53qUR|_2@>wxD<ND2JBXB7tpaE-7yv{j+b9Jf=)Yl z%;?cQ4K&l&E#+c(xI2i&h4WVD_3zgm`KKP_ysY@U`7tB^lmmwUJ8yDcbl{(Q+(qGp z3;(tguAQeGIewK`IyOIGbZq|2=*oH6Me%;?rOp}^4wp_J6`m6H*4w4Zj{MsWxp1C$ zRlErnbWyya_@mQBh2u3RTp{S*$<DR|tPBj@E-E~oZAVza-Ugrj)Oc(K=%QuN-H;%^ zzj*Wo9ApB~(2#-zgGYB8=(M43j?TUUHU@@n2bRvZ63_r^Z=Hrm<FN=fNXR+vNMT@L zc=-+^{2ap_!yH3`1E2-sYG?*k{RXmZw*cs{VE&d)22g>R0pdVUDC_oM`F^pLqa@0w zcQ@!rA<)@-3%`H*@6qkR0V*fhk2`?(fxnRX3Q9FcJU~~@gZwrbbl@!FkRy-I<1adY zefn?s4dh23(6O-(Ui|p+>Hlj9kM3sBfmq)d7)#weAhx`y`-V4bfLsVFiUR(Fk1Yqe z9+vLn9ODmvb6{aCwL)_7dyp^DU7Ym=)y1MWzcVqs^!)$-KS)1+OTqvD|B=jCjm-=- zbTih1%wYZh|35f?f)XvP3Ig?XL1#B@21oC1&>#{6f6HwqP>6$W++y(P?goWJw<AmE zq3@TJ1;KgKao2y4gAOtBw}N^{u7<b4>pl-a>MNh_-Jqr!(#DWO2Y<01e8lY7Z6mG< zYDjAygdDE07c>=q`KjSI{>A4(N8vMoC(4U09hGy$Sr7sx8Xn!v;B+R??ZMLgkn#Ja z&J*7+C|+2>Si%V&@-jU6nyK>y=Ly%2!=0zTUur#6%G!C(vGb%$=SdgNo1mqrH%cTq z54v>T`hLlU^OoWv$L|+iIL|3w`+m)_^OH;K#S$rKBp+u14?TMHnkIZ>Vt5I<z>z?e z3CrKzA_m<x1}v9fU4GvQzULJ*?YxoE)$mg5g;K3=hZy;%9BlmtI;Z9!$HCTbCE^bJ zQ;&T+#OTOB<p9S)M}-fL1_xaEw_V`hcF?8s+V^Xaklq0b>DFWXt$P_57!-fG8lL=i zh!Gq#`$6SYNeQk~*3e3yZXJv65DS*eZ^5@acyu>|!p&HNJAj4rDl~M#JkY+63*Rp& zz5t#7#K`Y@vGZ#4S4L1UFj&4QW$C=wd7bk-DD=VGAX<NvvO0F2>^#+Z(S`G(OXmp} z&I=`CoCh5_KRGHM`hLlg^P;QbMaS<KT{};>v>xPdX#{O+1YZ^8(LEW|H$X}y(CtIe z6w=LN)E#2Pa{0yOSIrL?L3acp?ltWOJ05gbt^ju+=t?4y5;pJ+r2^=&SfU~T%G!=Q zKvxvI8lHS{3Y0f+Hzz=89+Zdw{Q>7;P=0_mCmiEr4^QyvZUY?`>e$WD*`@$KEC5vE zseq>KdSTTC2Ro$Dd%6BSdUXM6kATX$eQTiwoz2Hj|2=wlgAQ2n={0=^YGYmk9rPvw z5eKbY2cNk1f*B$JI$I4Q@aY3s=MT`h0Jw6Cd-?t=hJE1t?idOxV$tg31;^3r;|cG; zH7U40b~pj9k0+eKSszaVm#moe@vBc@Q^56c#sNru9QK7kea!k5RNiB$k8!*Iz$tY1 zUw8|)8SMUq(_r^+IE~Z&mq6(NOM5~K$^A2qL)<^@GXeLzf=f><?)Ny}wgOadfug&2 zA1FFK8jnq2h9(fdkLVE=&<(y(-lH4+MtStyEMn2^V!_gR{qp<Epap0Ai%%8twH_$p zf}IZcTDS9H^GC+kpAaEXIs00w^#K3WgPkm(Rq-q(JRAr4x1H>CQDN!i0Ubxw*%rY9 zZV0e+w#9%ZZF={CQkzHPF$d7Sy`2w0`RK*%H=q7TAesOHM7DjpY2jWDNW+DtvyBJR zaDlehJ|MYQ8`Zs__6Df>Q}FEk?$O-_Dn`0JSU^>VvcR`Pj3wNl`4Ui<hT(Oj;epnZ z{8JBjcK3r$w(JgM0Ts&1h7RA3F_v(GOFzStuQ?4*wq62->Z#7_;Jb@BI?sU*Y~v}B z0AHfa(Ruv)b<X38-@jktyr}pS)IM|U_P6ovcE_?y6}&XwvD-w#u{%Tpx;S2@P9A*U zxeWv2p4sP||C$f;ICk4efR@KMKVt{&ZYog`=nMh%$zoJEK)cl>K=*YB>;oMuwF^Xm zw<|jC1SLmsHS5#)J&Q5;g(j$EX+9zVI-Sh1vt5E6oCstbJKJSIN2K)b2c22$*?61* zT&#h$`*i;E?fmfK{3~#-Rfu*3HE+NT!T?zM^XTpaRoEVo^0J%3n6Z;Z#iM%~M6eH3 zh<1CjaC?C_e3h_rp6FzOB*GJ*qfq+JfJ@Z61dqmJ8$hlAr9QCLFQQ%{C%|AI6eAtG ztsFt^=x$C&#h=}dEUtzJ96Jv?a$YVGapk<}*e%6*nVV7ZhNI$PN5zAnwZ^x<Uw7<w zV&OdA{G7k}5kGjK*`vD++~VO-{Mqfm!g&GIaNxY|(LD_;8pXmbrTAO%hT=`f&I6sN zTsTj;bRKZwyip>`32Icha-McnJpBElBj*WM#Ty_i4s=ed-~ioo-&O-Uz6R1TPT&9) z0bn0RznqS!Cw#iwK$lv8>lY19&|x&7`USi>0bYCvaDwz2o_y*56217CfV;eWa2CD1 zT<`)>UN)QqmzNjL;Vdr~y$7{RG0Xd3Z@{L2%X@|!kn%F^9f9&v^!=y*u%>Y=DD}ib zixk}MXSj&&{s+&&HiOIi1sB2Y|8Nnf`yai-?tUvI_Z!@YxPRGO0`3oeN3#1BuAsYL z0m=Obu7KSya22Qfm2kMf>NPkNz~P_p4C4N0ZwR=5>RXcC?{FR6{Rz*&HiN_e!F90v z4Q}9cf6`m*;lJw@*c7n)C%lEYUyYFauf8GK{Ry|w-M`=|*k-W%8E%8!A8;F|`xm{z z?*3md!KQ%S|Kl&j{b{cWguf{1?r*q@?*0c)z&3;3uW%3S{(^fr-T&w{cK2H$xnF}- z7Ce5k>=gm`hrT8`{1-excfSIX`yC#F-9O<WPWLO}aDNq~6a$BU1P{dh&t4L6|5QlL zg)}5Yu>3jj7~TB|j}hUY@C5As4Nq{oKj{_r@ZSX~1;Fk<AOmr~8X@;zeMxfoKX``j z{soT^?r(SwcK?OvINiVKC3g4!f|LSa_kU1^xIgU$f$$e4-Te%&(B1#wA;SF&UV+{J z;T2BzKYD@P{Z>fsSI~yIf7x>a?hk!Ia`-E}MR&gflKT(51-oD19ZvTv;c$Nyq!a^( ze}ECh{m-5eaR1cjB)i|?1G@VY9w5U1!3VJW4L;&@f6{a8;lB$~3V_|e!wKSkHA3#c z`ix}vCwxYC|APAn_cMF}yFcIyPWLZ*hTZ+YAf*7<{VzNr?oWG4ApAuMyWgYn4XAhG z+4;q@^Y6ZO;9G*8zu<ZJ>Az?59>}@>{4Mz$pbM-adpRACwWxsZD`If$_E9PE>@HD3 zzN_If;usw8d801fJaWyC9%x<!UmNWMxl(!s1L#U=7dcR)yucB3b2ao9=>!+Zwbec< zDURJeDxg6_(9{@cT^hEdA)uQ_x=r-KR)DXRw(#iOqXHW2_UMG1yz0^&qtDXW13AR* z^6SozkZmrYlYt>D8<16?t%9E2Yrq={k*vCK@CPgClIP<tD&X}kzMYR;x=UCY!1g&_ ze&^CzqGI3zI(3l|WFKgJ1$6jrMRy1*OJ|LWL1zzmOW@^Ku&aDcT)X$EfF`6}I)5Jg z2|D@Fh4H6j=b7e*4;(emyBeN@UHa_Vy$dvK1?ne)&l7U$W>I(P{0Y7gR>RQ{a<TLt z@R8U~-8t%@Tc0&tI1ho8z;D>qaqV6Oy1~Gu^9AIPau>!Ij-3a=XV@QgJouB<@!&&d zpU&&P-A&*S105R=8tilKX5nz@d<nKo0%DhQcMb>04vEWf(`6hDzqxSUa%_Ia2nq%T z(1>1$ib@HGBj+`+0Lbm&M9}S`qR{++k@EuhOjOXtSqz|90?p}y)PUC=eREMsU<BPG z09vZ#(;cEx0lvQ%bWAV<=sHFa!=u-k1#|#0X!(UlZz+psZxoAXZ;c9zXRjlROLvKi zg-dsgij8aQH;^jO`U{WFE#N!fO2l1TZ<h+e+$!PN{Fw2jFzA3cSj>WEx<Tm@bV4xL zuFh8;op-xsK*zQgTn3%~XaI6EXaxc2N(7J-x^37mgN{-JE%yPP#|#=LGyols24a|i zd<Kp=kjbFn?G|AI-KxyMzyQ9GT%+5D?ecrjng1Z=8Zdp}gNq@%t-7~>ufB2wjZi|< z5$KpG3E$2`pu`3WN0)9FQwGP&FJRe50-k|nK=y-|;(-Dcw4V!dLGw>=E>SSN0rDuw zH{CLth98=rF?N=yD1cJWYZq?FbtsOUH$dlT#i*!&jDj7MA_z(t;FD577j1*Q4l)Q7 z)=u3rf-sXjK&oEDP4F?i;K=y{G7sngnoj~BpIE}~!g&C6pd!e8aQ1QqUG)t*^`rR# z<fdnkyTNBwgG2J0i;4jwIBkG7*h1{yqXO~-=-w<)c!2y0N<iSW19B8Yw~vZOw~LBS z=Q$V7Z=EG75}k)Y(_bMfG9}WSphI^hKuhX5KRYU3{(h13grnkNm(Ca!&>8A7;DZ1q z_*<5OR%pO3Uk6>?4M`_0;5)xScQyN{6oB)J1L&e=aLRyP_Ur&21@8<|0pGU`z3Fob zxP0n%5oLg-2T-(vZ+QkyD?_hnc2PmS<r%d41e7^J4gi%ij@>Lm-SA`qS^d*3A`A*- z2nS;I6!2Zcpb&=M_B{n$W;k|(u2+T>ClTEu;vj7<DiIJpAu8bOy}{?jId`)#f+7>- z!~jH8i!efT1c2mTL9%`b=!7Q+Q2ECJVr77?ase$T1fTC202(EV0PQ9Qd1N1G@^cr6 zFg)<09#lah9|<PU-=+)-b5O+uDkQ)+Fe`xWJ_hkXx7mSKM|t+{0pA-0S{0!1@+e5d zacGSIQ|j401$>!ww-XEKERj|&$d$?#Fe4yWDnsfu?AI0hs91EDsMvsxu>)n(l<yZo z<7|rOIib}^0w`p`Y1iS~ap*bf2CoHSw;!8;iWktJ=y8zCL5b0z+eO8s^<;@K;)Z5W z+4%A-Xy_JpKzer(OScv0cf(7~|NobUwtfSNgG?!LJ?^3cUSS3v7kq7nw2Tb8@D}0q zEnu&MB0vE=#q*;5?x+7R_x=0-zdHnUQmu;$xR?QtHkPPpfX5rzJ$ge_Bwh=)UIGP2 zh>8rTN&wk>oC!pB$EZkjhp5PO-s3z6Dled8kt!u(;0rSpKsiY9$M?&ew-iCgB7tuF zfSy)eQUqFo1By`aX^WjcDjx7_n|+XD(!=o5i@F=2`A=63&=f1iQVdx812lV*@%{gQ z&(0QbGsUCv2*`NE?E7KR8TFu>hd}r4f(ivs(6vIK>zgz7L$7as(Q@O{e^6Zk%F!U{ z4A1~?XNgM1{v8KkY7)R|z*jhfYbuyW5Z5<@4#u{DHiukLnnOqnXuu^H>it7CprXlu z<?@T>=Zv81Ng!ED2h<*cG<_f^=ODF5kjw)|9=drF$g5|-H$S+j$big)v~OC_+c!w& zf$Iu%^AwQH1EteW7Znwc-WU}F(8de}P@a|m9bu)h4>a5hzi;>ci`BP3{rBzNqXJsf z0Lq{i&|9g%SD`{LraFG>(|=IZ8-N47Mx_9HJu~Ps+|{=}{XY&l`U`X)nHcC)4i^;< z@O4Aq!Pg8ueDVF(r~jbr2R>~Il+{mwrxR*a91IaRrwZK$#qj$VS=T=OM?|VmcZo^` zXacPH8b}%(x!}?n5xJ;$FcXShaN&v`dJ)K>7XgXg7*K2wj$Lrsi*8;3vUvdz^Fl!8 z5sF>Nwog#)fokL)70_a4gsBid$lw;}kvDU|7jc1B;DJ<v;yVBo-x28Xz56C;nh^DV z<>xm({f9=WPj`(<fe+~Rv3oBr+<-?dXjdI*^2gQiB&bmZ%A}zCwtGe2h=4jA4_}CY zj0N341}c3RKqskBQGvwr9u?3<tVj{92~yhm{zc;zl!)&9_G11OXdJhwfSS~xU_gpy z*rm!Ksm>M^(DG9Jk=+75^cG|adSHT<Y9j|Ghz|)&(4n}Xh$0m6kfThnnGIUbfNVC1 z4>21Q`5?1FG{|4zH4#+3Q*4gP3Q+CZ+XF5>K#>Nr9aNWte2AVDHe3fKg)QK)hUNP1 zE#Uqa=oHb5*AS@!k|6e9LrD+|7~z*EgAxQd)x7iQWxXN9z~Iq&$fxt+i@%^;d%Q&@ z086p}i6JEmA&_f2-@nMZgq$ouxBpbUXuAYY7~r`$Z~|)qSDXCXJYZKQgQPlJR6xs2 z2_+2BqEBp*2NFk)JP;oec~`(kyTH1-xXXLUE_iHagSM9-n+@Va%my9%MO?xFEv~_4 zHb@-VY!Dw}_7PBXrFV|X3~0gt$$%0D$aYY|0QnF-VNAaYNf@92g(Zy6?=KEqfhPzL zP%!~2{?}YVNfMy@&|M8r`hphY{fCqgrvxA+#3xYZKHdVp$sFVbL_IJEEQUx5pyfv_ zASZObe-U#5<P;Yb2T&W;r?*BW0Wp7RcmU)PP%;81y_+EKfXbQ|*ROo~4+>9E_Z^hc zz$FIOj0T?f1g$`^L0*RnIV=Y`JVELp;kgHz4nW;?klCOPBer@Ua<T`=qv(YX=!ijN zvq5}_*`NbJJbLGV@BRjr<RBT47eTh~1DzDQ3q%+m00q$J%aA%*fdw@A2c9_e>HPOX z1}Y4ix`!T}4T>h#%kXIO=oJMmegl~cy3`O_QFqS)pEl>wc@LCr-7bSFY{XUZptu4p zgaMs747w==5m%ssA_Ank^Ztts=O9i3&ANlvq`bWS6?!cocrF-3fbR!f4@#4r_h0y* z14Zs`@G6L2Q^t!-42G9pXh8%)wT(xwsR&qbr#$F>KnGAM(7PLS&v*1o;mhE;PQ?76 zN8=mN5^nJQfPFdO`vKb$!1n{5gpJ>vbnHYvO4U*Gq;t28gfnPr-KF#7<@cb&nSaXF znL2{!*G*u@exC$gBjMQV%;VTC!*KaA=jF~D%@3H7@09BN`dS=xx;1m>MaV5wpxZh+ z|8-vO{0mkKyZ?#vbg3xpnyJ?%T*qBhKv|68b-rWsPdU)J+Rhw~-SBg@PhNh&c^qOd z;#_UG72spF|6P0s8kk^)9Svm&UT0RK!U4XmgQtWI+;iptt?LAj>ok7=b&fbZd!t#7 zyQuJhlBZ+$G!xMEco!j|#CWmu{K5aMF1;#$L9)#?DjcBu@j#2~`CIORA`Z6d%BAxG z`0BTV&sjBpbzZy-8fy>$T_ES!ox{oiK3HF*`Ik(cmLq7*DEO{6$aM-A!B<nbs0cVV zAK`KCc2Nc0kq7Fwihz#U<!??=5#a|l7r_m2=WY{bP~?D2*Kq0<VTMFfXNZap=x#iW z00W(%tpPptRpRmkPEhv-e7_(l%3M@5np0GCnE6|nK|@NQZV0%$2kHu2yc7kAfi86b zU0vq@_FfJv1L%&3Q=JztzXd6(0QnEp4*^wpuH7M^3-Vqy|B`X(yxjS&P6g`I62ujG z&p6+K1bH00V^|q3KX&1~?9+L?`7wXzjqeu}KY+V?hTm53JMIGoHt1HoZ>_gMSKqmG zer*28=-T<g@%shG?Tjw12TOBZTfaH-PdyF_Q<zOI2%8>o9);TE!pZ=;6z`A==hfz? z{LO#)`KKIk{C0?+f9gSngN_`>J5RfCd@hkQ{MP)J-+_P1G5&3b`L~^P<@oN(`QiID z&U1>#TojLi8r}|`oj*XMI0cUU+m3&~*7||J#S>JGz)}Ec-*$x);!ZpP&<GkRWMFsV z$#lA?h=3A+j|#R6@t_GHL=|=;o(S?qLj0W}kbMQP^D_B6eN;q1E0!TQ;_-NPx4mFy zV1Qjy$KR3!TIbWf4^&cty2l)_?HTE3AUj1)ae^AA`#_pNT^)|s$tc}&aHkQ}4F?}^ zBmj<_7r)Pfy0|D7mDqYBEU|!D!UVM>71a_@e+tz2c~Nxk)Bl%Rpp#m9T~sVyDsVC| zICtxS)An1?buXZMGk?l;{_A`VD+pu|1<+&8*N}q11a$AfKhO|BDR=W@M#%9+rRI*! zKjmu_AmtWH`EeAY4P1U4=LFpm#NX=5%)rq6ozbxq+$jU^7lFp44(OIQ&_z2Oh?s=j z@+Q++qQV1;Nf%H|q9i*|&gDSP%AhRB13I)Gl$Ck-;W^m3TZ9Rcdtp9Aaq2OoTnoNJ zfVmkw*r@?4+(3EWqnG#QDbS9i8WjsrCr1OhUVL%y%%}g&H7Yic!-6fEe=zg6>4R1d zq2zP{$L>B*k>}Yx4OFako`8(GGoI*#E;t1hKG0q^{QSTg6$R+-Jn-gd8C>_Fp`Gm1 zoT8!t3I-K^$8K<~<k`IsR4XA_igSmST%Bw$q2mFeVU&OrMkyY>yhl$$!YBh2MhPfk zwCglDjB+4hlmQMSGtdfslrRF_&g5b2qat8<;M+k)@D%_)#x5!%;8Pl1T7U4jfNrQH zCLp21A4mZy0S`!-=ASqNQUdAhnGhA3<`fkPP(aGiHXs9#0y4y-mpA7GBp@R|0U7X8 z0z7cxqQdbqffckEs}0o9@a^scM=AVzA=G9DmJ{3MI<Ix!t&?}`1)W*013$C;Dd%0s z-eS=0B8H&bMI62zV=Cote#!(nQMuHn`G-8gbKAk?Hs>wysVn@gprWq%6Qg72HDAz_ zOm~QiLw6S_?fZ030;hdMs~CQcJ9KN7W4DPGc=iljv2!3!a_0d>ntE?2hhw*m5aMbK z$bst|s7JY@6azA#v)f<8&TW^2`QHTY|7V<^p=AK*ymm+#aPc){ZbJj?Bk+1WP&we! z%X;w`Bx)=`BV4HEz_k<LG>%dZJOnL_MoHrWpi<AFy8{#+9^Dhb;X$9W0BSKP@pyFa z04E-V#gvr?kfV1>R1#3aE5)amb>mS;cx8aX3$;vGb{rgDC}qMOP-_S!ya<#DAHYeE zzoi6pl{FD1>`*BfU?CcS5~3kKy{!I6AR!t73ef<RQa0omI7DM0rECPal>H5AJ)?xE z0a_EL#1N@vVE}7ctT+s5zHo!DPl7jJ3|=n${{KH{0>|LxCGdy{N-KrGWgA!!+8h$y zbr`W<H4JJZXxoTqH`GMa8Hbq|UiyAV*((d({0V9m34q&7kXF&tBcJ}i+=_ariHiz& z>Jg+Ae4#LOGGiA=>AIh2rh;c;KuW<^Xh=Xz?FT7+3EH>W%gTM2i2*zV;n_V6)SyFL z_tXdGLT`Hlb@`BH5>VQ6;BBiQJCI$j4YGq7{X`9{E@uEK<@k%zg+=Lag8Kg8{^mRv z(AK!_eHN^sb-kna(SvUS8NH7l=Y8~`?FpTD@1tj6WnkcMc?2pkjze#77`>0)2h`mj zy^o%O0n|GKt$ji2dk@Hc^ans2gfQ--2haN;?xTOX2U2~(?xP23BK|)5>V4q;H%Jq# zqYu819&AZ(2;x5aCa5*g`{+R$Kou7JKKf7lKB3=74>AL(UIB4O@1v)|ee|H(5j1E9 z8pj6B^@FNO&=vHcVh}W{76IabN<0u}^gep<g?*r_(LqCLKE13jc0!t&nD_R929`j9 z3cioNa5s1j?C5>;Jl*i?u*koUzIg{E&@u1oLkaXhJK=$ja#7#tee{nwLC0(ljr-`^ zwt*rW#}$1j@y)XX8s8+|M-QrwVD%S&3+z66P>lmR)(Et!3D(=&wG~ob!tSF7=>(lm z1X444AN}Zk^bD}e-$(9!^w&3mvMcWU=&x@955A1vM-N?P+YPxkyfa6IZuil<Yy?FS z-uvhcH-8$vj~;1SWb{6I(ArVfU+W+#2fS;bw+Fn2&!@KsyZ{n;q0)<w8^GxYa+<3^ zH)Jma_!ctU_tAqYQ$#fkyN@1L4TIK3!Kz{7b)ae(avwcN7pMvb%U^<wRW9D}32`4i z$N)q~vIo5Ku@gE%2^u6s8lfEA_tEpN|3vJ4^pDnk`u~!Y`{*}<G>qOyuQKxPqu;*f z)BolrDxmHx=sI-J>6f63u?1Fxayl{h(N9|gZFM7WK4Ir?8@-PndV?5f^$=)r9%#iN zXw(bsK6<m&;0OT8f+7I4&JYv<^H)M50JDz^T4x9zSn%!qki{7KLUc7e0#LfSqxaDd zOa~XVP>#s^=&e?M`rn+Qg1C=<=5kQ1<LK<7M*Qe~^!GYTR0h+1^mmqmA`g63q!0R4 zktbf<TMq8{pxn1IdLMm=3UT+*H!J~NBf#3Z1QNv9@1w6?1`cABn^uPOee_aGKM{K$ z{re@K{=X#UKKgwi4aD6?KN+Nyl>6wDKpIBxqwkDSLEQC-eyMj3(p`_B%h^%Z*RrFp zuVn|XuZ3)2LAj4UeIY1EKrTuFb;Uq?N07T>p!?{P7lV6apnX#erJ&vzXuJ~K8ymfk zzL(c@0k~+9TL1}2P~R35kf5!4$N~96b`dxfvGi%dN4_B~9)eslGI}39<RbckyN}*` z9wbUZXBdE@6m+Tpa+HGdhWi3=tb+H0gYyPRF*t9uqb*K`Y%2sU4<vAn59H(kP_rNN z5|J1qmdmd@=YY=>fL|hlUNo!$?-zxyvAxv^Ie&o6`yCHMPLly2SJ`bM-yI^)a{1lm zSIs{`SDZU@bnCDq&Z>OM`L6jePq&Rc=%`B2?iF^>I&a7}MEL64Dd7E`9=*K3=Rm?2 zba(_Pd_jA;(8KrnJaG7ewtGR!9*|;i_>SI3&)`VM`{?avLm~^bs|gfYpv^UCku`cB z{r65A71UMIbnReZyWQER(?ul!RON@LfEK-%&jdvyxRZh9KKjbp;1)1SCu8(J`VbQC zqZgk6Y7Ouz%zy+l_WS6CW`TnlrK2%C?xSBZ;}dE3(U*fX5O*KF4@fB~_t8s(G>~{7 z{qyOc{=X#gKKjidJ)`&0b97_gCrP#Y==V<nWhhub1=5j0t$t3v*gXy0R>0Cv8NH7l zwA#3rw{tSMbSjz*2~0>|1#&P6a$vqFoC*#}EPa*H`{=><*Mr*9p!2T=)qV7{CqkkX z)CB>Z0f5<GIr(DxWN^%4>9365M}MLD0Xt+z5TwU~v?&PQXK|kZ31d(<1Qf=YeU_6i zj3<G^7)zgJ^gep3_gP>O2I`NXL>LQbJ9{s0TOTCCApMrn`{*U=)UfXF9MFyn_M4rN zyDmPxtb2MuF^TIw`h9)iHZ@AuW%NG!5ETdPVT<)X`lxPj^B}n!642Q1qYv!`2Q*6O z1$=c9$_OTCK>%p4eFb<Ns|CD3z}Q7aVg+NVcy|kU8N9KJip&Z|@Nu-DV`3OU1D>F1 zY>*CD!*AbQR4N$36V)X`(5Z0Ha^3D070?`acaI84Z71jeiOvv}f=(Be63~sIpd~q+ z2SI1<xTuss&K53k>^$}obciX+4npu2RnQJX36IWakaa(=yFZb3AN?+n2IB6c?*}O* z<v#j2kOmU(qt^oIA@M%?Z(X1MzpNU)kDiRq3-+9a^*(xr4p5eYbYDQnKcP>vfG)58 z(+O@iK)NrWt8}5$EacrsFW32rwEO7ac6|E(lDPZm4|Ra9UvyC+Fpv#BuN!F;yGDgd zD<MI<<UnNul?OoKcOrvkZw|g<LK?IF0y>qwm({%uTtphQLE;nCg961TNIgmqO1}df znUEe7C^A7Rn}4vEQFI@@XFKHZF3^#Gpki|LK6>zeNm`}^P$vpCB|z_^*KL6$0Z?xX zlmtKuk&^%@OKY})Qvsy61xf`V#aL1S=qN|D%g;eVpeP1iehy-T7O8@IoRF%@p_Ql{ zh;J$>$B5<f+s-wpQ%UF}IFO@9;ZsSkD4R;cdHe-vDv8i>IPCDu3F>p9MhWP^P@i7b zRZWm60d>wmQ36tk9wpOSz)=F}oPnYQq!>$-fKKp4ixQ9!EJ{FZP?UfU(uPFI+U8HA z_tCQ+e98=+Db(){(MOsoBtCjUJvr3q1$E_odRccjK%y7al><dDNFjRkZf^odFX*69 zqVA&yt^bCt8i(9R4_fdI+PDQeJrlNKoUsA4Vw}GPb{{=R7bx~YYACvozM}CH;y!wi zsc^S|@1uvfMf6rZ;(U*?dWchC_tAqidGwlYuSeWRzZ%8{oyv&7i1RJb@9Uny%8Pbi zcR>~6z7z{KUeJBr9c;X~?&~gU#C~7OsXDMJ;QLZMsv-BKm^Bc%FXd?ics(j9_ciU{ zKzIL!O0dn~`?4Z9!R}wdiPQa?8nC;c3(5TzO%V5k!XNK_<Eo^){{}a@`#)45++V>1 zcK-<;obLZrkKO%VwO~`g;lH92;{I)Q1j0YHp5*ZV!H4dC10?s);0L?^1wT&r8{u$& zR}I4bHzq*b|E-pQ`<K>{?0yL$boUpOgKY+<pB+MA_j3s2bbnDD_V7Pd4K@WF{v0zP z?l&Xk{-?DhyWc_--TfQNz&3;3e?t`Peho34?%!04-Thoh?zfl^aerA2f$&!)-Te^~ z=<feeig5oA39$P;Byqa`Qw?_adqGMuaQJ5|gSdZNH39dh){q?j71HSLH$ZZ~gbdjI z88SHCZ-m4BU64`??EV>RA@2WHMZo<_t4VhM3^{c77nC5v-$EYj{tkJZ?k}pw9{#5w zr2yFdC$>P`Z$`-dPpe3F{|-fT_irdhxIaP(?EV!>INiUg3cLHcklg=cH^lvAl?1|H zm2~&tP(gS9ha!agD^$VmKcR}#{hunayWa~^ih;vl<1obi+bRgSKedwN@c*HX?tTL# z_s`G(yZ?m-PWKz(aDNx16a%|I;uOUF-^vNNe`y8D?w8O;cYi@4BK&t~gWb=egVX&* z71+c76r>aYyT9Wi#QkQ3-2b$kWcOR>p}T)W0mA(^^uX@d(8uZiP373#&xPdv9XBBE zFDoMu{;H(AKf(~*{U7oX?*Cy3cE5)aPWOK*!|r}BNGS#m{}=Zm?%!5Q!2PLZB!_>6 z3A+0YklZg}3U+^nDNgqr;c$N!q!a_YU*b8${ohImxPNIW$?l(Fj_&?~JVf|gSb*K% zVS&^AMWxuo{}iMY0K4Dg9mM@+gxvqMgk<;cutInLhFpaEBdo#hUtx{Y{hLa#yPpfm z{S{v!?k_7Q5dNy9yZ?qQy8A!mAlzSJ2X_AnJDl$SRE*vIUXW4@9R4f*K-|Brh=BW3 zi%Aau9}ej5H$Za#3`em0UpV4)zYz}icR@-qu={T?%YpAJ{#Ho9{Y#5TcE5xRy88>V z5#hhX1?+wfSDfxID#9NAry!*O*!>*b5ciuAa{tpplHG6Nj_&>qSqS&va0k0z!vm-L zHx*)cKNphwErcNMFDoDr{;H(AKf(*${U0(B?*HKhcE5)=PWOK*!0vu8NGS#m{|rfp z`?uv2aDQq6$>Cq&i|&2{B=<}Bf!&|shtvH=INaX_DaF9<pP>M8|F=8>?q8ZuvioNQ zpu4{y0}=iffnfJ{1mbjmQ9kzYKLsfT!0tbx4spL3A@@JcBia2sg3;Z-AsylVh!C** zSA^hn|E4_b?&m^s{|`Ng`^$0(gug23?!OU+?*0#H2=`ZngWZ239H;v~<zjcg7o-#e zhrfmy#QocH2)I8rm*nvO5sB`810?s)hyuI+MHEi=8{u$&7o-#eyFbDf;{I>h1l+$g zhh+Cl#G<>uAQch*J7U4^=ZM4U{-PZ0;eQHJ3V_|;;R12L86o#S%_iCX776I?-;jcE z|BVE&`!y1Ax_?tPcK353xqpW@#QkMi1j1jHboWOjqr3k@GQ#~olELoxNWtm;Pg&UA z?*%Evz~TQQ2;%;2nFQRQnniN>SEQl4-vG(|66s*~XQbnFzYz}icR@-qu=^#VAnyN` zLBRb>Gf8&;j7)U*7bGFV-y#d_{*El1?k~#39{#5wr2yFd9*Ge5n-OyV(+rZ`zat0T z{TmVy?vKa?yMIM4PWNxh!0vu7B==WjK-^!JP9XeMNq7H^e02AJNI<y1q5$mv69qWk z|0x~2`@JBg7&!b_<U`!QEscQtQ`1Qf{~tx@?l(Yk|BPa=`(G5}biWY}_jf@`F|hk@ zltJA8EtP=#m!^^Ieu*-4_ZP$?!hc5@*!>*kINe{AhCTdGK}rFz`#I_$?l&Xk{->!V zyWgS`-TfQl5bnQG33k6m6;AhWO2zJeE+qF`v_jlpmO>!>RY`Y$L=C$8Kg1&3|Dy)% zevew5?*Ej6-ThvWQVbma89fm9Z%ZcN{?rtb!@r^)-Tekg?w4o)yFa4=r~8d?xW5Zh zih<ofV=~13-;xNpe`zww?w`?w?*4)pMEF}YgWccJjMM!^$=JjH6r>aYyZ^*2i2Kb5 zx&LVr$?o6Lithdm(FpfPw1M5fq7A3}Hzi?rKNphwe=LN!zbuhJ_^Xoc{u>?W?*9;l zaDPQ7*!?Fuak~FgB6jzCK}s=j_-m|$xPMy$0r#gSk{td&y3yTlfaLxeJz)30=)viJ zBOLDUf|O!l_eX4ixc^%`0rxLWAldyA{pjv5h(v_{j()KFIVRwAe^CPV@IM791;Fm_ z*bZ^O86o#SjVIat7L(B3zaawQ{u`6P?$?-%)BT&`vAdrO$^AR_LEK*!M<D!F<4JaZ z#8h<me+Wmo|Ho9Y`#q-NbpNL~?C$r1lw#oUe{mGz{%x@Y+@BgpvimD$pu67y$^8;D z!S2tPiPQZ?INaX_DaF9<mpBV?|F;+d?q3>9vioPuMt6Tf7$W>F=78PbF$bsni(;{d z|0zf*0CvB}6^Q%I2)X}h49V`_F%RAS8$uE8kC+d3|BCrI-M=XYyZgD2++T4U;{LK| z0^zSpy8CY|M0fv(5QO_H7J=P=Vi8XFe~QNLelJKV1`hufk09>f7Dd4QsnH~d|Bof; z?l(Yk|BR(z_rF+*)BQ#`+}{N$#lY^r@e<<xZ;=Gtzch+u_e-omcYi@JBK&u(0K1=K zB~JGjMPU#BQ;<>s?0$}q5ciuAa{tpvlHG5y8r}UHf)MV%u^Q}tjWsykzbO*C`?-+Z zZ}9`-{;~)H;jc=%`y<w&yZ=KV!u>zif!*)19;f?1MPPTo7o-#ehkwR@i2JvN6L5cO z1j*rFu@T+<21xFg*aUWe#wMKZH^SlmE=VZ`cK-}EdC2@%7y<V$4JX<CGq#|+zaRh+ z{uW!o?(f)&)BQ!^*u(!6q!a+V{{%0@{bq#R|1^wb_wU$_?*0w_2=_<q0K0$14xH}a z6o%dXTuAQ!Ap&uKStx<<S0&y3H+G@B|AQaG{S~{x?mw{`r~5yJVt2n6q!a^(zlIFN z{o6taxIZ<N<naHo7v22^NbaAp5A6OI`*6D72#5Q-Af*`C{SnF#_kRl};Qpl{B)ebY zAiDbtd=cTl;~?1m9EWhazbFKI_@9E50$}%dXhGa>M#%k7gGqM3#SwJ(Z}365|Hcup z`!$Z@bpNJc?C$46a{mrPi2KWe2!y{X>F$p>j_&>s-U#>qI1YBd#|fP7{}hDX{a%n# z3>^M1EFkXR7D&MTsX-)%f5j<u_ZuL&U*a^_{TZimy59(g`@0~e7})(14iNW$3n1YB zrGX^7f5usK_ZN5}!r$T?*!>;naJs)J5PSHaf|LSa_j|ZQ+;2w6{Z9i(cK?nG=<eU( ziEw|!MX>u<T*T@AO##^5&xPdv3O|VZ%lrw1zbfhOzi}De{U1CK?ytB4cK?YhINkrr zAG`a#Af*^M{8xlR+`r9_fcsPZNe=%X*U;T>faLxe*TL?8aUG}ojc~ZX3sQ=K-G3tn z;{I>G1l+&Wk7V~t+(LJMfjc7nciaNIpW`-8_ZRtL5C2n;QUL6JjueRd%?P>wsV~Xy zx44V${ta#j_use+cE83wobKP`i{1TPNba}DhPc1Xhd}tNlJ5S92k7qq;EHhnj|X7) zdpyMH{!c#G-R}h{#lYd8Q3P@SHg5v%PxT=={3{-#yWarG{Sr^W?$3CF)BQ#`+}{N$ z#lY^LQ3-MXH!lM2U+PV=`)52ucYlEkBK$3$gWccp9H;w>ys?M>DM%>*cK?Y6i2Kb5 zx&Nsb$?o6r65ah9oDuGicm;O<idQ(@zsU=``?-+Z|Dzq^{xVMj;jc=%`)|BKcmD?` zg!?Prg57`OEl&4;^2F|bFGwi{4u6e)i2JvB5O9C0C&}Ue<2}0j4UpVF;{(|JFFxRO zzYz}icR@-qu=^vXLEQh%oq+q7dXVgXiO=ZnFK|SJ|BlaK_j7!~>HZ=Q?BRb3QVM|G z-!T{BeltSuf9g)M`z^kqyMKcN!u>bCf!(k19jE&@xnp-f7n1vTEP=Sc%#A?!tCH^i zh@a^0|6q@B|Bs(w_j~-p>Hbe{*xm01DaF9y|6(=7{o7m#xIfj6<nXWfgYJF<B=<}F z1-n1vFHZLx;c$N!q!a_YUt%-F{oh;&xPPfD$?l)=AKm>0c8Kt|VBiDq|7GCAx&PM{ zd-$J%lmcM)d+dU^-;9v^pSqCj{vAwwX#1Bp*dp8?!3=i)3TB+{-{gYb{ai@yuQ&*C zf0;9Z@K+_>{Wn<A-T%P`;r<FXu=`K2;dK8eXYB6xf|O$5@LzEP;{I(;1l*tMOmg`D z;6Qi30h0S?aDv_cf)l6vjc~ZX3sQ=K-GAde#QonK3Alf$6UpwE;6ZnPfi)uhckqDS z&%uk+{Y6gL!~Ybd6ac%Q<2uCsW`x}T)RAQOTkxa1e}fgm{Wti*?$;2&>HbZQ*xk>C z<bI2L5ciik5D0%&(%l~+gzo+imI(L%5CXg3Ll~#~KRIA`zZaww1BZXcQ;7Sw*%NSo zssqX4Um=R_egh=;ONfEppCN|R{YE(4-vuef!0w;%2IBs2b_CqN)ShJb&yYZOe}M%e z{4FHG?(dMq>HZ>n?BRb3QVM|Gf8sO5{bq#R|J06T_wSHKcmD=+g!?08!0ulmgVX(+ z?6A9^3(5UIenH${W=kOaRY`aM4LNl8e=tM1zd|1D{uA;z-T%oJyZgN$r5HH;HJB8@ z^GDlk2)IAhmgMmNp@{B&10?s)Py)OEg%VEp8{u$&7o-#eyFY>x;{I>e1l+&WhGh3k zsG_^Sz!VYwJ5<5$=TO7x{vsRf;eQHJ3V_|;Apmi|86o#SwI<p978>a8-(Z4p{|ybW z`!zIix_^^3cK353xqpW^#QkMf1j1jHboWPSqr3luF~a>nw88H8(81~cPgdC7?*%Ev zz~TQw4&wf8mIU0NYDIGRSLmU;-vG(|68d2GXXxW}zYz}icR@-qu=^#{AnyNWLBRb> zElGC&3`2DH7Z@SJ-@*v&{thFY?k}>$9{#5wr2yFd9=Z_sn-OyVQwx&azrzIG{TmDs z?vF49yMKi#PWNxJ!0vu7B==XCK-^zuP9XeMNq7GZb9DEAFhID!!UF966Ban#|H&M? z`@JBg7&!b_SVP>u&5VHiQ_V>Z{~uQ9?l(Yk{|sxe`(Ie&biWY}_jf@`F|hk@I6>V1 z&6I%qmzt66ehE8t_ZR3R!heSy*!>*#INe`lhCTdGK}rFz`#HQI?l&Xk{->rSyWheQ z-TfQ%5bnR>2zI}Q6HfPUGR5wGE+qF`1VY?jW<ntRRY`Y$gbTX+Kj<Rd|HB3Beh*ii z?*C+h-ThvWQVbma84(coZ!;#~{!|l^!@t5E-Tekg?w9ZYyFbGNr~8d?xW5Zhih<of zBOc=ZZ$<>%ztosy_s{S`cYlEnBK$49!S3(y#_9edW9;F73Q`Jy-G3qt;(jwi?tf}T zvio=VqPu^CHp2Z8eqi^n@Wbi;O-9(=&xPdvAGr|sml+ZWe^t`me<J|h{U5Xt?ym?0 zyZ=NWPWOK@#O{7CNGS#me~nUz`?nboaDS>H$>IMa7~TB_Nba8z0(Sq45S;Ee!r}fd zNGS$(e?$$${onKnxPPeu$?lg3M|XdLCL;WIgoEAB5rNbFMF!Zz{}iMY0K31V8RC93 zLhgU6PqO<hqR`#HK?C9b8&P2QYeeI8|0aFx?&m^s|Bfz*`^)qQgug23?vIE?cmD@< zg!_NQg5B>ChtvI^^su|%3sQ=K!~exZi2Jwc5^#U29?9Wfk$~=g10?rLB!b<ak%-g% zMmXHx1u4bA?w6PeasM|R0`6a`OS1cCB%`~(Kn)T87Aau&cckESe~~Ws@IM791;Fn2 zSO9Uq86o#S)gjsaJJQhIzd;q@{)lw2`&XpnbpIwD?C$46a(~5gi2KX53535Y>F&Rg ziSGUnDhT&iWP#m(A`7ScKWSrkzZaww1Bd^LbrAP&(<0#hRBe*O|3?nG`wfuXKO-0H z{ujA8-EV}${auh!4D9|JTOscMrb)p4OSMRLzeEAL`wNs2;lHB*?0$|yobE5u!XEyo zAf*7<{TzEC?l&Xk{->HGyWgT1-TfPs5bnQG40gXp2~PKK(!}n5E+qF`9D%sMOoKr9 ztCH^ih%$8de^5lY|3?|v{T}5w-Tz4gyZgN$r5HH;GfqR?zfGNh`%^VY4*!ZuboUz| zxnH6R?EZ`@obETm;r=d2DF$}`j7t#re^Vpi{-x?9yMIOvy88<h5aDl83wD1;El&3r zsbdfSQ;<>s?EVusA?`OL<o>5>B)fk{J-Yih$Rpez(ExV;iUyqS-=v1!{ai@y|M39g z{xVep;jc=%`)@R%yZ?h6!u=J^VE3PB#_9e~s@UD{1u4bA;ji%m;{I(a1l*shN^<!B zXhnCw0h0S?w1M6Kq7A3}jc~ZX3sQ=K-5>ED;{I>S1l+$=g=F_jbfUYzKo$}HJ37Jc z=jg)e{vs9Z;eQHJ3V_|;@eSgBGeYivs!X!`Eqc)1zd;7!{u@1D_iOaxbpIx0?C$46 za{rFM5ciiU5eR=((%m1?kM8~t(g^qe=m)#sV**b1e^SEkelJKV1`huhEQ*l%FGT|G zPgNp0{3|A*yWarG{SuSG?$4Nv)BQ#`+}{N$#lY^D;DNaRn*ss%FI6Pj{WGSbyT3pR z5&jm_!0zvuhSU8;irB;d6r>aYyWc|?;(jwi?tiL4vio<;KzIKJNrd|&W`f<nVkS=a zZ&JYSel8^US4ctJUnWl={8dSJ|Bcz`?*AZxaDT-du=`KU!Rh`_^4Q()1u4bA;lDx= z;{I)N1l*r0PjdMGn1}9u10?s)m=AXUi}^U+Z-m4BU64`??EV`X5chwRCE)(0awNN7 zViCIg3&auOzhe>D{Tz#Ny1z&cd-$J%lmcM)a~MF}Z$`-dPi0AVzr|8?_iqqGxc|md zu=_QZ;dK8dS?uoTLUO-_ImG>CG6ce3m2~$<tU!1F2T_Fkf2;tz-(w|C_kWVX?tU*w zDFzPz3_FPXw@DLlf2s`0;a{;D-Tekg?w42tc7MhiobETm;r=d2DF$}`3|ENzzey2r z|59m^-9KX;y88=65aDmJ9_;>(^*G&MB#k}%PeDonu=`K=K-_Oe$o)^HNOu2@jp*** zAdGN-#3r!&S8T%R{!LQY-Oq*O{vW{*_m@c$2!B=5-G5^Xy8Ax}A>3cF73}^KTXDMo zlO%TcdqGMuaQJIPL)^bjf`I!|B}oqdAKTI0Z-C_f89Tu4f3X9n`;Bn8zY9`|f!!aG z1abd2aRTmNDnYXQC3d5`zd#TX{yTPq-OsTHr~8W}u!sLCNGSkze@7<7{bq#R|5Ti0 z_gm~kcmD<fg!^yo1G`^iKTh{=636a-E+qHwD1f-XOpHMItCH^ih=b_v|G<xM|Br)U z_j??|>Hbe**xm01DaF9y|Dqh?{%xWJ+@C5&a`;yqL3h6alKUl&g595S6sP-*aJat< zQi_4yFHsM1|2Gi=?q4cOvioNoM|Xb#A0qrMPJrFtaRR6Ni$t-9|0zf*0CvAe8^rx) zgxvpBgk<;cIEC*14ZH~VN1O(`f5mB>?%yPW-Thoh?yu;DxW7!8K=`YY?*1ER(cS-n z2jTvTb71$MIET~ypM<fy-wRTTfx~~r6o~t`2@!CAsxZmn|KkF>`wfuXKjR|U{Vy)! zbiWY}_jf@`F|hk@%!auCn;-%AFBKx${SsHu-Cw|s2>%^d!0zX`iqri?LfFIq6r>aY zyPsn*#QkQ3-2YUNWcOQKM|b}QE`<AUTnD>f;|5OmZxY1rel8^UTdab(zf6EY_^Xoc z{)k)X?*G7vaQ}~6VE236#_9e~0@&T}1u4bA;h(V);{I*?1l*r0Kyvt3+(mc40h0SA z?t$H(aSx~ajc~ZX3sQ=K-9KXo#Qop+2)KVKKgsT&@c`ZZ1ssU*w|EG4f5$_d?l0oU z9{#5wr2yFdC-y_!Z$`-dPx(l8|BlD#?%%+UaDT)Tu=`g$!Rh`@eAwO3h2;Jp#~|)6 z<0TOOs-(OB#xr#He_%tnzv4OA{U@H|bpIz_?C$r1lw#oU*Ek1p|27^1?oZ_<IsAXT zM0dXdlKW@80=xgkE1d2(!r}fdNGS$(f5cUY`@eA$aQ{*slHD)y7Tx^?tcdX6@fPfU zj(0fSU&Mnw{7*qj0kHc!?m*mcM#%k7xk+}v#RqivZ(u>V|HcQf`!zn|bpIx9?C$46 za{rFU5cijH5eR=((%m2N8QuLKm=W&(@fqxXk1sgg|A`B``@JBg7&!c2yn?uY8z%wx zr*e@T{uSTQ-EV;8eu?j3_h)>^>3$;|?(c$>Vqo`6e1f?D8wUaRFXbfJ{WE@|yT5=5 z5&jmx!0zw(h12~-oY=$v6r>aYyWis{#QkQ3-2aq=WcTm*gYNzfj0pEf`~|yz#b2E6 z-^794{ai@yuV7FD&mWbs69|7*(%paKKf3!rFd*Dt!N3pNe|>_1AJ_itPwd#;?*%Ev zz~R4w9pe6NYy{k&%1(0l|6t-r+kb6<<o+4VVE4aZ#_4_|9PaOelwx4_-{6C|{~Id- z_b+85+5Hl1=<YB0|M7qG4@U6)xjWdv?&n~~>HZ=%?BRb3QVM|G&mjhJzZoI-KV>D^ z{T7_)?%(ha;r<((VE1cq;dK8dR_yNQLUO-_EX4g~ECj+|m2~$<@SwZ@!(W8^fAE0a z@4<`H{hwH{yWa~^ih;vFLj~ggZOjDRpUOgV_*d|wyWarG{SpFT_h$&;biWY}_jf@` zF|hk*XhYopjfsH!mok&={ux5(?l1U*2!9J<u=_iNak{^V8GHDjf|LSa_n$C=xZjMB z`=2tA?EW31=<eU}8{z&4F|hkrh~aeqCMN9e=R$J-4@-#q%NPlSzbfhOzafF{{tv$p z?yrypyZ?kFPWOLe#O{7CNGS#me+@^7`?oO=aDOTz$>IM)8r}T{Nba8@19txl8JzAn z!r}fdNGS$(e}o6b{onq7{BL;Dr}Mc>=Rcp$PmY~8JerSiL_5Yf#yZA1#>c)~%0ROF zCFIfFU+@zV{yXHs?&naz>HZ=H?BRb3QVM|G-{B8&zZoI-KmGslKdP4wPXL2Z$8g6m z$56+R-~gA-!;YQjJv+a7cK-F~U2ma;?*0uw5bnRB1a`lMGEVnz`v392XXh~&&ENkI z!$Y?7<_j()_wNXUxWDWlf$&!)-Te`&=<fgU9pU~Ts$lnfsNr<~r+?Vp?*%Evz~TQQ z7UKSGe+jrh^&iRMU!j5Segh=;OK5`KpP`A<{YE(4-vuef!0wkwg}DFQ9|G=Q`j=$) z&(KD9f5A6I_*>|J-QS^u)BQz%v4{UDNGSkzzef(l{bq#R|MU;Z?%$z@?*0v55$=!B z2fKfTK2G;<`h(s5TuAP(D2BMd>^Fh%S0&y3Hw@9;|KSV5{S`)F_n$Dr>Hbf@vAf?3 zQi_4Ye?=9<{o8&KaDVD=lEeRp3A+0Ykla7R6zu*Nra0Yigv0$^kWvin{u_-D_ka6I z!2L^qk?ej63v~Avd`5)-4hyjRIV^FyzvvhC@IM791;Fm-=!Cf6jF9`E{v_G`7S`zQ z-|z|H{u|a{_iNbTbpNKG*xk>C<bI0@5cik;AQ1klq`N=D4&D79J|f)z!w&3z4||;M z|MUa9`@JBg7&!bhrbFDn?K=VYr~V*0{3{&M-EV;8ehDYA`!k$yy59(g`@0~e7})(Y z=0V*5?Hd92Fa1uk`)9bIyT9NABK$2}!S3&H#p(W{@7Tlt6r>aYyZ^*ei2Kb5x&P@m zlHI?<9o_vK-Xq)};Q@C43J;v_-}DW;`?-+Z|6>ir{bgSXgug23?!V!M?*0$&5bm$= z2D|@+H%|9|`ikBCUXW4@9R3<xAnxDxg@F50zmgpOKYY>MZ-C_f8Gc~*zwpE9ej^<2 z?}C(KVE0GthPeORX9DhD`h{foO9Z03zu+w*{C5O`-Omw()BQzXu!sLCNGSkzf5#z+ z`^^Zs|LJFv-ER?s?*0vL5bnPb0(QSfC{Fip`i$NETuAQUaT4PGvQGrUUzK$CM}(uh z|HEs9`+tOk-R}{B)BT@5VRye5q!a^(|BDL{_iy`1!2PM8NDlvsD0KH5Ah};68tndz zXq@gh!r}fdNGS$(zr+oQ`@el4;Qpl_Np}B?SakOnyh4P(MI6}u9dS6_U-S`s_@9E5 z0$}%h+=sZ|jF9`EejwTXI}*^{zu_gq{Sk>^_peCA>HbY0u)CiN$^8}2Anq@FPaynN zNq7H^WOVm`c!6+#MGDycCsJ^_|I>Ty?)QR}V&L#!@fPC#ZSM%UKlMGy;r}BI-Tekg z?w^qkcK?fXobETm;r=d2DF$}`jV}=Qe|t;7{Y&4G?0$(XboUoLM}+^5EU^1IvT?e< z=pFX(KLsfT!0zYx1986@A@@IhOS1bda?#zt;TgjHH*&%5*T}=^{!MSOyPpfm{T9s1 zkom7S1j1jHboWOTpu7LWQ-u3}6oB3DQHaz1pWa}1zZaww1BZVG7sUPBUK4PC>Kl^7 zzoHo3{RT+xmnZ?dKcfVv`;Bn8zY9`|f!#kt5aRxCuL!t*>1&eRKcfuY{RK}D;crn6 zc7I1XPWKnR#vcBsAf*7<{U;<K?l&Xk{->`<cK?n_boXy~jBtNM71;eNs&Kl0(<|)m z=R$J-4|$0D%U%))e^t`mf1?K7{U077++R@(cK?Z5obLbh61)4oAf*^M{58}e?%(!; zfcsNlk{td&>e1bAfaLxe4Pf`bXu#=yBOLDUf|O!l_ebbK-2d%40rxL`L9+WLn$g`~ z@DLIHJDS1n=V-y{{-PJy!~Ybd6ac%w!xZ9vGeYiv`kZ9<TeP9Of5QWW`){;?-LKJ( z)BT&CV|PCnlKXeqK-^#Uj6nFSlJ5S9PIUKwxQ}rEk4~`rJ-TqZ|I;(<?)QR}V&L$9 z;S6#Awx<N#pZbjC@UQ4WcfSFW`z3n8?$7AO>3$;|?(c$>Vqo`6cthO(?Fj+*FMUd~ z`)Bl{yT9NbBK$2TfZg9Q0jK+mo?;LGQ;<>s?0%0Ri2Kb5x&P@ClHI>!61w|0+(o!Q zVlvqMD<<P~|E4F{-Oq*O{)$M5`^z2^2!B=5-G5^$y8A!eLAbwS8rc0Orr~t|r^ndc z?*%Evz~R3l0pk8`j|jLw^)boe|6>Na`wfuXKVv4?{V!(XbiWY}_jf@`F|hk@q(j{Q z?I8j8FMUL^`z7X}yT9NzBK&vE0lS}LE>8CsJ;EOTry!*O*!>*&5ciuAa{tqZB)i{Y zKDzri+(Nkj#(c2*H5TA>|E7o7-Oq*Oev2}Q`^z2>2!B=5-5;?C-TfbKBHaID5!n46 zi*dUD(*x}8_kxsS;PB6=g}8s)eFE-JeL!;fS1d($zX6i_C6<BRpRo+5`;Bn8zY9`| zf!#l&1>*j1_XxOu>3x#jKVt>D`wMO$!rx*g*!>+Vak{_gKKAfG1t|r<?my8DalaWM z_dmTyvio<eMtA>)>j?KptO2`!#TuOM-*gYV`?-+Z|6>xw{bhFvgug23?!U1P-Tfb~ zA>3cF9_;=T>v6jO(_QTD_kxsS;PBU&1#$njI|SUHdY9zz|FIF>{RT+xpRoz-{ui5Y zy59(g`@0~e7})(03nA|RcAJ3vm);@S{SsTz-CuAO5&k>2g5A%t4X68y?qCo9Q;<>s z?Ea1w5ciuAa{trYB)i{Y2fF(=TtT@1#tyLiHFn~3|EAm6-Oq*O{vGQf?k~GVApBKH zcYnlgboYO_jBx*t-C*~7?7`{&Pq(nU-wRTTfy4jBHi-MT-6Y`t)LSHnf5kp@_ZuL& zUt&Ml{Tcgly59(g`@0~e7})(1`ylTBc7uTXm)<1V{WA`tyT9NPBK$25f!*J62&emt zZekDrQ;<>s?0%1<5ciuAa{tpCB)fmd5p?%&xQK9n#8I&OR~*IZ{!KTqyPpfm{S{{* z?k~GeApBKHcmIv!=<fe;0pb3N6JYnBIDymspRQwfzZaww1Bd^L%MkZ(yGFqMsn<yk z{~xE&-EV;8{u!si?tgI_r~8d?xW5Zhih<pK;}*pI->wpH|I%wDyI<lQy88>xBf@{j zIk5XV&f|1{(KYPhe+p6xfZfmW2;zP-LhgThm1Or@Tts*OhI0t_-?#{Nzs4n;?%#A3 zyZgD2+;8y`;{LKL1j1jHboWPGL3jU$vk3S9xB_;+$5ou}|8xbr`@JBg7&!bhK0w^R z?J@!Pr(PjB{41`byWarG{Sr68?$5Y^)BQ#`+}{N$#lY^L@g3s+Z<h$Tf9YkC-9O_N zy88>xAj03`HrV|gw{g0^=rZ>3KLsfT!0tcs58{3^LhgThiDdWhxQp)o4W|+AkGKbR z|B8D!-M{G)cK353x&H^N3S|E4B7yK%CEfiu9-zDb!zqOOD;|Q~f8rrd_kX&G-ThvW zQVbma8oUtqZ@WOi{izp84*wsI(cN!=<o+2?!0vzX1gHCraJat<Qi_4yA0Yy9|F`o5 z+`se!$?lhUj_&?~lZf!&@f_@aju$xHUvvR`_@9E50$}%dNJHFjM#%k7&y(zai&yCG z-*5uq{u{5r?$>yY)BT&yV|PCnlKXckLEK+<jzIXUlJ5S9x9INwa2(<OA8*0#_jrfX z{h!WZcfS{;6a$C<3r&dox1A;6{?v0MhkwNfboUz|xnJTV*!>wFak}3Khx@xAr5M=# z5{3}>e>+3K{Y%f1?EV>_(cNEg3=#eoU%>9~_=3~@MQ5>x|0zf*0CvBJ1;qVkgxvr1 z49V`_@eSSm8;&B}AMqXR{uSSGx_{Fd?C$46a({(A#QkNb3535Y>F&Sr6W#qEjv(A$ z@eAz!6Tfh}|I=yg?)QR}V&L#!;RbR4wo?S$pL&|)@c;1#-Tekg?w|1&?EV*jak}3K zhx@xAr5M=#H+&)P|8|mq`<I>~+5Hj>0%-e}3l1Z~e+PpAX#X__qX4e`*F~qWhyN)^ zDFAjqM<~SoW`x}T^d!mdw_rwh|As>d_upU!yI+F^r~5aZ#O{7BB==jyK-^z;f<XAI zlJ5QpHgxxYIEZln4>qv-J=k%&|I-QV?)QR}V&L%4NQSt7+i?Q!Pd!0$_*ZbEyWarG z{SsVY_h)e7biWY}_jf@`F|hk*WI^2j?HB>~FFj7O`)BZ=yT9N7BK$3Q!S3(i#p(W{ z<JiOh6r>aYyZ=NX#QkQ3-2e0#$?o65kM8~r`w{Ms5CFS>g#b?XZ#stE{ai@y|4{*P zf7ww2;jc=%`)>%LyZ^&Jg!?Om!R|jHjMM#}j$(Je7o-#ehrdPx#Qocj5O9C$QIf;| zhbX%H4UpVFLk#Tx7h*WwZ-m4BU64`??EZ*$i2J`CCgA?1M@V+Rge1EA3-%(ye}^R4 z{Txy_-CuMBd-$J%lmcM)cl1HrZ$`-dPY;vqehV3N_ixyPaQ_V%u=_P+ak_ugVeIbb zLUR9(sSx*<9U>6^s-(L=LLS}yA9f?$|3e<^eh&ql?*DWMyZgN$r5HH;U(A8Hf7?L< z?oT~La`;y$p}XGz$^8<_VE1Pz<8;3f4)=FKN-?neC6++k|Lp()_b)w2vioPKqPxFf z7b5&E)WGiVP{Zl|qJ!AO{}iMY0K4B~HN^d9gxvr10Lkv(p@HuH4LcF;kI)3We}yJa z_is9Y-Thoh?yuMcaevu<0^zSpy8CZvqr3mZ4utzFbinREp@Y-?pY~&SzZaww1Bd^L zoe=kL+eg6tsryL|{~vnj?l(Yk{|tSw`(NnebiWY}_jf@`F|hk@9Dun0+g<|hU%HQE z_j{zHyT4#NBK%*ZgWYeDfz$m(`>==qDM%>*cK-q{HSqYM86o#S-Al6jGqTX#zhN7~ z{T$g~_eW&obpNKk*xk>C<o*kS5cikuArStmq`SW(7v23Iwj$iGkq35vMIKJ~f7*lH z{a%n#3>^Lpk`VWA+fBgzse4Ec{}l!3?l(Ykzege1{WA)2y59(g`@0~e7})&=3J~{y z+eN_rOLvp({u9OM?l0Ja2>*-{u={tE;B<e{ZtUTI3Q`Jy-JhTialaWM_dnf5vio0@ zp}T*>W`z4Y%E9ixQI6C7n|5J$KNphwC+I=kU$&D#_^a+B+5H?<=<ffp3E}<~Rbco3 zsKV*~Pdl-@-wRTTfy4iRDa8HTb`WrX>Q0i~uThKcegh=;pQr`9U!o4D`;Bn8zY9`| zf!+VX2IBs2+X=XT=?;?J@6mwn{(_B&@PE+&cE3d<PWKn>z#jgmAf*7<{R%D+_nQ%N z|I_UxyFa5D-TfOjAl%Q<0(O5y3r_cM+K%1*TuAN@@P@d*Y#V{_S0&y39c}3D|F9n6 zevNjp`zzXUy8qKQ?C$r1lw#oUZwP|8f7@09?oZuDa`><4M0dXdlKVZn!0w;Xh12~; zINaX_DaF9<-w+9L|F<m!+`n`y$?iYVgYN!<b%^lK=moofM=wtI7j4BJ{-+?N0NDKx z5+Lq3Bjo<4TS#{Qi+*(XZ&-_Pf5!x{`)^FZ>HbYyu)CiN$^8Nu5cijDCJ_Frq`RME zGP?UetU<Vc#bmJie@w>d{!g2+yWa~^ih;x5As^!YZJP+VKXo(7;jb|b-Tekg?msaN z?0$*qINfiA!~I>5QVi_=f-;EvzilMo{-v8pcE86=boUpmMuh*1nPB%@%);sZqD|Pt z{}iMY0K0!dEyVq1gxvphBgyX1n1k;A4XY6D=a>t2f5cpz?%%W#yZgD2+<&13;{LJ? z1j1jHboY16M|b~+l?eB1EC9Q|VgXL~f7*cE{a%n#3>^LpJrMVATTj6KsT)WR{}qeS z-EV;8evidq_s>|2)BQ#`+}{N$#lY@2m<)0Mw{-;EzjQsx?mw{<-TehC5aFM(4D9|L z%W%5CXg&7uKLsfT!0u0&1#!O_A@@IBN3#20tU!1FhUEzNcdP`v|Hew3?%%WyyZgD2 z+&^I<#QkM!3535Y>F(!PgYNzh%Mk8gu?Fn^A8T;B|I=FR?)QR}V&L#Uuma-#ZEFa) zKXon1;jghC-Tekg?mw{}?0$(2INfiA!~I>5QVi_=59=ZB|F)Wd`<JdE+5H}y(A{6K z6cPR}Hi6x5u^FfPi`HNd|5K1s0PKE+?GX2y5pw_2)g-$=V=KD*H!MN8pJN-?{Sn)6 zx_{GZ?C$46a(}=+i2KV{5eR=((%s*&1Ks@}79-rRu@mh6ik&#!|7jI=_j^G~F>v@d z9EG@l+e!lNPhCZF_^;TF?tTL#_j~LCyMM+WobETm;r=d2DF$}`hBFZNe_KJo{YzJp z?EVw`(A{6K2oe4n`@!ztu^*@Vi&kO}|5K1s0POw;mm%&qBjo<4D@b<#i-YLy->?wj z{*FUn_un{#)BT%PV0S+klKTa2L)>4soIv=ilJ0(vqv-DcumIuy6-UAD|8W$j`#&wm z?tU*wDFzOIher_iZ(Byd{i(}I4u6dk=<YW_a{q}FVE0R$#OZz`9PaOelwx4_7rcbH z|Jzam?q9l$WcPcVMt6U~d_?%aI1P5c#TlILFIt8@{7*qj0kHcQe1N#$jF9`EE+yIh z8RyX5zhNH2{T%1P?vFT+)BT&4Vs}3mlKU@whq%9N34!oeCEfiU7t!7SVJ^b`8kfNC zuegNM{hyX#cfS{;6a$Ap!+(hTw=E{%{?sKThyRKz=<YW_a=*t_u={6R#p!+{9PaOe zlwx4_8?dQ^=a0TEBH;d|i*dL=*rW4v!~W~63=9k<f*$*qF)}bnGcYh1etVHL=i~qG zW(QpchUN$Ve7c(hG#MBiyE&R4GIAd5>;{Q<H@iS&9lJePpyD7AkKWxHG7JnJy_+?3 z85j&N8J>Kxbk4{B9=*FIbQu^tx;KN2+QrDgz_1fUyy#d2mi!<M*2AF7zyP%ltThXw z^@9#VD-&F+FGTAOh}IiAs9N<QT2CNpWrk}Nf@p1kXx)IO^~-Fqn-(BxWr1tGz7Q<w z0nyrlrgbMoYXy>4Q1C+hItQXv0HQSkO=}%QYXFi~Hn^>c5Um#S;9#;q)9MV-s)3}H z9j;XkqLl%nRRB#Z$81ncGw7hkv<D+JN<k9c%`6aU$8HZ+s5nT(qj&cSIk0<PXhWlV z*DP@0+|WkE7bn~ya~FUmCqVQZ&_<1~dWhByNLsnzT9Y7JBOqF5plNl1Xzf7K$_>}5 z4$-Or(OQ6}l@p>h0ZA(lT<e?pU<bdD1$)v1P3yUtU|9<!t-Nrp8zEXZK(s2LX`Kww zDuASw53aQgqO}5|^@kQ}FhxMLzR*I1Fh5AE00RTV3u}nhA1q)8UqIFh+Uv{kLJFe$ z0FrKbkZy2R{5ucqAqR-XE0A>?o_ukC1}LG-&_YWnurdK8(cL@;BJJ4i!2?YwAQ6w= z-5d}@8?>MaW#SC5T?I%EQG`3B1mchjka8pf%^_hBtsY2PmEc+}AzEiZv>Kpkm4;|l zK+>uV*ZObn$N$i>$D?<50>lIksDq<l-k<*QKls)K1QCo{eja0mm!C@0!DdN-i%o{+ z2aKJ~4tk&>)PV)eanS?C&~5`o5VcuD4;m`fXxf-Mn<c>7JXkKifUqyVY<|et*)0Px z;D-X(00x)=R%iyWbT)s`1=;Jta_|KcgmdsE6WE9^pz^qP_W_U*jfZbQOKXqLd(kgH zPXqfEaleE|<C_Xb1_qDL&z_xsJ@*+iFffRMYYLyv?=MWJef;m)yO!a!IKzHWVYiQg zfq`My|NsC0?*x^&u7(F3cY=5?ctFA5-Q2*yz|h^@!2oi)3kQ=&XN!si$nfJWDhiAY z3?MxoJ3s|4NE1j_10>r!Mdbzq1E|LH=*&?`@aTN^;>@g%|2vy!FflNA_SP{PetWTc zDkxPoJ1~Qs>Y~Eo+1<L`(44`uyLZ2#IfF-Mw+C1TtiY#tw*wQ%39B`j85kTveK7{Z zOQ0?#gR9{IpU(dv8?t7CyjI6#`0a&1$ZTZCH`H)2GV`}GFfuTBHXma){00gO&8Z*% zyL5xy<k)<GW4|~90|QccfVj}W_zw!T&Uf9}79Pym1`sXaOsaWs7br7p9%}yi&v7p( zEFJm%t{!($2>@mEP8XGs&JdM|<1Q*Opzvuvz;WC~#Q|h+r;Cb9XNZc&aTgUIkd#Mn zjY@z=Z;6TnxW0XH7U4F~&T|ICZ;(g=84Gn()--S?XJTM*)I8GsL%@~a_o+vBjS7cH z=R2RyM=!i)fBbKF2@*a&o!?wKAHIxc0!2!ViU25DBs_cTSX~YO8-9BsKjq{9?ru=z zIBK40KFAIZ3aFJY4uR}(w(wz2HgIaNQDEk8m4XB#8zdMXO(r%NcTD~GzuVcugW1^t zlvH+r(iS-Qdp$UIfqbTUXfG%@_kjq_W6i(*Ir95`Ki;BpfPsMl9BMr(M;I6wI=85t z0Hw$sppXL<hal6=fJAyjR5E-z-+OeIs3g3|oc{5@tKk#R&f_lKTU0hMFfc%48&`-* zfZPXmkmk|m9|Dg2zHh(*>eKnpqx02^pEIEW3KA3m*&)&S@&zdWxpaPiQ9b43|Cj$6 zzzK{45;7+y5gRh|rhrryTlg>+qb4tKcp#-Qe!uI-T~rJ}fz|1vV$vC+VsYF>#Re1* zkkq9B4ks5CmCg_qjpHsVIv^=f>M{VOE(J*H%11;QuCU+%B?*+Ucn|V6hfn7>P*}X3 zfh{bagYsSD5m51ixYGx60S@T;8&DbSvELtFAiY>V@#B9FlzeK#!CWff)4jQX2^3qq zE0`D<Ui0~MZ}x!j1DF^Xz=;z*pYGsbU|@h|jKIks|9f|{*m-yR*l~DtcK?7BBp*Oj zZ*_o2<KY|3ph6s0et?Qm(CtGnPfP|ErQk}#F)TO$T!jAf*dKs!@z)6-|D!tq)O<q} zoR=qk{O{S_b{t%YH7@{H?_f(iSQr?<F}V}uR#(FV9-a3*z_%a8z4Sw}8EpLoNO>L- z?Am$UvGbfq=Vy=3znv$1dY7<Au(2|L@A*0OB7EA%|E&i~l016%e&AMTc%eS|<NxNp z1#GMg3?&jCy?b}CvNCvd-gD{v<kI=`#mZ?P|9f<T?g;Yeyyw&T>xIU2P!SAK)p&FR zgE&I5XXgu041(`uS~&gVe;5Z6nG+`9abE^I+<n`pqPnkr63BfG>@fG8U_*8vC%XGO zKmxsc!KxaMUSP-JK1R~qC%^}HpE#2H#MBvHJf8@1p8_AueFeP8?#l$FZshQL0TSrl z3s%*5^a2|W_a#mvDf}e(;qEJ+0uH~h=DiyHFgI23A-n176tp-Efw&2*s`2OqMjUPe z)h{S<iaWhA2*BO*d@{^E0s=7iB=94<#}(Z@M?eC-d%>z2j}|cDaF6X&v@pW$o)-xB zgu~tQ1MVIV0c7`Vos1SfCJ^_4RW%+BV8-E|wNr?8&jmqv_#B@E3!evqu<$VuM0SrV zx_g#@1bX*^RW%+pV8P)YS>oNZLkR93bGUm>2*KPVA%yInsguycM+D*?u&TzR0<1XP z(>s~y@L3=XcMqt%Z#_^F*1UIvFw8v+!pQDnMR!jNNT7EwSXJZE54ha(cM?|jK<`^S z+IiZu^Sfu~KcC*^4vgXq&HDow#Tgh%WIcQLfr>qFmtXTH<4d2;f1S5pB!G%=P`Ufo zQS+|j|D!&g&%p(zOXtfMTlyhmTZ&Mvh9_MOPl9D#J72s|n+Qq({4KT23=FNeOI2MB z54eKwy8|2C`SQim9*}|(9?#x=FGAEAUOb)f@qhDg#&Z4Ew<X$+J3x&YN3d&rI-kSz z6e9F2h*xKLu@S6?sobFXFH@1W;||a$i{nlZiKNK}p-CfAo#90dSQ9fy6EjE?sO9bm zZu28)Vn=A&kfhG=!X2!M1*C}uqzTl}ciaggku+WEhI`2(MV;Y=5Lgo{NE0ha6R4Zv zxD!MoX_|}BbRboo;l*80u>NKPX<`Fu0*$6P?gWuYnlccYJkr$}UaSCXVh3qr2WbLT z#Ev^bB$6g$gr*A_>I^T6!J0Tgnm9n3K$Wp0xJpLS^sfu<r-&?dh8K2VO`IT2oFGl0 zffC1^AQDN_Nra{c+3E~0IKi5@K$^Hfnm|J&jypjllBOvLO$A}<3@@(qff58aNE0_m z6KHV6aVLmG(iD%-^dnrI;l+HgCLWL`9*`!`u!!SM5Q(Ho3!$kYQk~&N7FZK6NE0te z6KFuhaVLmG()6_x9uOSS>I^ST!J7C$n)pDPKtmypJ3%CprUM8~6JpdEUNC?)@q;w+ zgEWC!ua4mU6OyKGgeHkNb%qzGd!a+6@XXcO_wm1H<1tW%1@~&cgJoPgUqV_@@H-?o z_Fx%9h1DnE%NNgic7FHh{Oi-ZT!9Hx8W=EvN&|V1-o2m-6P*8CG(R%l^y&QP()sB{ z0LbFb<1U(?p*Jjk^XdH6dGp1JZb(@m2h|8J3tT!uvW}fMUP$(W!_E=ZI?GdMc=59b z>LY}bZM`4=dqApbaD(tYM5^;9tZsh!q#LbZgESA}?JqR{FffDsBft#v52(V$@J~Z8 zXv7`UKR3D%{sC!3^N%N_bO8D1MxZ*w3r$S_JOc$iq?*U!9}&|0^8oCh4{-m0Dr^k@ zZ0N!69}Xn{fHb1{rxH>!fcz5>pw93jvKu3Q#323wX~y9nmoAdx=Ku>RelD=U;s;dW zWBBJmH+KJ6bRyyhq!G<OD<K&i<e!9Kb%qz6T^Rmx>jtMckY*hIDd{B1KMPnv{@K6^ z^AD)OfZ-nj9RA7ZK==ow5zRk0AteIHKNSV)3@>(eV)&=53+x||W*q)m(m|4c8rVSo znZO3~52(R`;U9-C?C~?B9pN94Ml}C$BK(t)tIqJ^We0|TmUV*t1JaDcKUdmG@=pRg z$Ug<_F#mu$DH#4K=)~@y6Kx3pfHb1{$Fc)lzL|m>IFN!1RO~(K0Q&)?0f!$1u=oK- z`JBK3c0UK){p{k9$tdLVc|!+w_j4e-pIw{*&Ha__SlzD#bw9f}XmHV^6SGVXXv2&H zi2I@K&BL8%A&t!lF;)hj-u*j7Ss7e9T~t^!PlIZZ|DC5gZ@&1|4stcParw@p^HAr_ z7ZI)C@Gi~p=(Ro5%E+)!0W?wY38b#^SOUnX&hOx{z0Q}QfCjlA9QGiwS0It}_K*L4 zdRZs5F*3la|NlOn?>b*~`>3#>)soSTSZYaV<pS^DgZ<;$dCU>yq3<4@zkPa_YlyQl zH1D?%2Q}aoJbHI`h_S+=<fRMaM{u3_>V*u*)t$#(I={MTz6Cd-T|mzK)%o&8VGG2Y z4q(SagdICyyja-=8jb*)=h1lB!cd$6VwOwiN6$|5i=uTJ@H!t_KVozL1F-u)z}@d4 zj?MisZJ^k}>i&(**xmoK73_YHc^-|2BQ)^3Kc}8(_q%k0(-XA+azY*y{5Rx5!4K-c zXvjmIulW+(VCj7IVnHj|?T(#y9W~!V`!DZ&I)8!sFJGD<j!$oWTUv|KJ$co6^95T2 zI7_6!Bve$OEi{m%W!=aBj-5wbJC?1g(PD7?cBG)hz_WLsLX8%~i?t0O|GRc9Yd&Gb z;Mu$H$8jTu7qc2Z{(mion(7Wi)%bL)s5Jj&EDvqHT@r|;+XJ$$svhhXBOKDJYd`*X z>}a#TXvDCh0NkP4aKVV-g-XN6|IL4y%EOv}GZh75=^TNKmWLY+>NTPCoj}q75Tife zgd3f4(}>~4$$F5{%pjwgK}KVAp+I_%)WKYhRr+hqN06&mKY|;r@W_baMIP8_cK-Hx zj0_CTzghU3rZO@xID%(ZP<k{VD-yw0@V69!hT(hny<pO2crh0gt$$g{17UiQI%yz1 zx?nveMmVJ7AkIpD4R_X!S4IpkuGfKl%nI@`E6B&7P8>>C4rKJDT9}WqN;5-@zWfJn zw8tMKh8HzpquD@4vw@5Tb@fm>d?2IC;YMSXUQrDW%x+d=SQ21hHD-9B2r~LNJAcz` z&}7jLP=6333}xXaW0m%UnC#34H+cuIF~f`FwV?3ehD8$xESeyFNTg00$nm>sK;Z(9 zCW9GT3@^TcQzr)~njm^WBvKY@1M2}r6G#H3TM9CAdllHjzs2CrDiAYfcwqo`7AGhy zIYD6w>X)MQPC<IL;YMSXj)54xSsre*hP*Mui>oytA9I0><^mZF>b;`$VL?V;s0R5I z<Z7(aj1Z%<HQ+`+P&a0HQ3W#kH#dLN7jRMsg&s;r7i4l7++?iM%PYa*p=<~@d4_>8 z!wUtF$$$Cz+h>7u6AypWM6ea04lzo<6J&)j*b4rZJV<WR*r&zt!XKO+c|g$w(E}op zqUnAW%)=lFSXB!iumKq<0CCoBOSrQFER7jnbXJ4Hk{1+~yr8fIb+u7C+#tOzaHFwG zZ><3Pc(N1RXbvZ1h8KEZqxnEa^MQ;8b;wb=<shRq;YMSXj)EBN?F~2jfR{1Di_2A@ z0SSJPsTloskg1m|VPSz)ngwF&?ijeK1~JAAFKVlxZC`Ms05o*Ax(+mbyAM>Ifjar_ z;M%kERp(9L&JU=S$V-EYkN*+vEsy3m5-y!bk(wymZxn;-$QQ++I#S-Fceh0`w2pMq zd<h=pbLo8bq6%bT=kd-Q6&`34<vXM;()semIZ*!ZJOXN<l&A>!bV6lbTm!{y^B=}i zJ;%;t9nGt2v_K_~fk*Fda4iT*k1idXPwzKk@aWy`u-}N`#gs~DEhqu%(#L}4nPLw^ z)fk=xO{ob$Yz4J|me+z?5FqDzG#>VF1BZbNxcSBdX#ydc@=~)LF<phHy%SIc3XFs* zP+)-CYa1#Nfq`hR<<x@P4A|Oh2SF*|2V*IEd+lcp*tH<@JQ@#YNWff+wY^qTMs#}z zt-Z-n4RXIkHO&1PRoL9WrUs|`LFG>~7WZ31+z&F(qw(+tNQ!gme1+BhdrHZ5{{gW3 zFTmZeP>s#~Z>n*+-?0+XWb*+n%-f^I@L~fj^B_`AZZ$YAKxTvD!U1nwd?>;63nD)S zpoRa58c_J(sDXvQMh!Oi>)>{OV+F+h=;>!?71+%n^9Z}y28){!y%pGeB)0bcg<6pN zAJoF!Z%~WP{W(=Q!~bA8cK83R1iK$(9%1*_6k~=taqhoS2Xg<5I+*({>ae+gO(jnE zGvaW+CB*$8^9Z|tPZ7E9=V$`CU!n=-{)|R!?tfE()BTQRknjhk{~HY23@<j6Ln{xY z^j`sv3y|3!jfW4o;7|XBn0~>X{so#r?pJ7rxxb(ZoBMTeyT7p%;(m<uUk-LN$UMSs zw!z|N;?j>q3&{N%Eim_2G-GprPC3r-KUjj@{XaoPdhc$Kd4%0xQ-B%f#JOLg736+{ zR+#%6TCll)O&L!2GvaW+CB*$8^9Z|tPd>Ts*JuN|-=YoX{*G2`?tfE?)BTRcknjhk ze}!qF^1lQ#{e!Bf-rXRxJsJ=1@W7w`^DzB_JN+B9gWT`X4s-v6Hf-+K!R`LWB8dAj z(m$w3@7)bDkFc9<u(+AH^kdNha=%9h%>6UkvAI8|1ZVgkEX3~qpP(YWcQ?p9!tSrh z#SC-e-0#o{a(_T4%>4^Gu(^LtF;4e0;&8tu#Qh-i2)lnz4!Q2X(GPO}i+-5<E&8#! z|4k82_d6C~5C2L~mDIZ%WS&RkVGT~aY5q?(x$YNu19HE{8<_hGUSo5=4sQ21=3{sN zPEe8FyBlO4DEt-hy5EK<_lE?7=L65c<~O(h_yuyjz;BS_LGzmtzu=C42p%qU>3sB} zpb!%FnvX%F)8Ab>pF!p~Pvk)yuI15qbc3rpgGcB47bXRevInZlvGWF~Ujtqz_ObwJ zSR7KGK;3g5JlVQ^2d6lLNAG@6Oo0Z%!K2_`3P5%r0e7+fL59Htq1HNi^zQAD(qMRT z095ui9z6ik4jPXC=L25Q1{(1$ML6f5Pv;|K=R_ep2iiYEbμ*gYM5;tX*2q+q(| zU@jyWK<=@S)?j#H0CA53NIRN)p60`Y2i-lV@{xiEt9v}e!S2~1hUA_r`5572h~%CZ zAonZ)m5q%@13=o*+!Ko1JyrzW^Fspc9#GE?9zHIZ?pc_F2%i};8VoN4Answ11T7wV z@6w4BUq|zBhR>=zLg6DJ19ne`G*b9%$-@XAK_vHh$Z9aWXaJSHjYkzg+R?(t6t{b% z2)f5Z5$v8F3P|oz!E{e!HX?j}fZX!|RF*a#jR0v!bI;OToZ-`zODKFQ)WPm~p@!t1 zDY+Qo^DztI9svanh8GDC_cVaCqq#>Ew|jo&5OU884X}GaGa>Nw!-DCaL?rhlXlXFK zxBx2a8jpSeX-9KUYYxuv$s*{U8~R}Pc<3XAPe~3&_*~3H3Lk9^h8GSH_dEb;M|02D zY@F`7l}#voP8fpS1DffAhtHF24EH!9xu-x!gW<)7EXWKiNIRN)QgOS-i=cZfOu+70 zVT=?$A(-yjn1Ki%1zin>7YY#fc!0E{x#wyY&hXilg%Li%0kF9)pWf{f(rgUP`!%H5 zKnwLe8jn{<u|eh;5ffe8vp|7$T=O-!-U1ILcHVp;2z8$fX!s61VfxDvHh&1Ns2o7< zg{-`GjE{vZX$IF<DE4c}f$X=CgW2C9i($VxR{JNWL&68A{Rc8(Z4r=jaM^F62(sTp z5oZ4k1q}PQXJYj~A^RPOvR^|7WWR+D%>E8-4ExQo+CMRkkpDA?@xO#Q$bJoTnEe%I z81`?^!0LZO_B#+|KZg^@ehDX-{TYrJ_M2n1e_|>j|ECk<{~tae`#F4J_DA?&*uOm; ztN#hv??9COFTz3g{|JZK?-7n+zd2U>C#Dece;P6VzmWv8|3ebYev2dw`?sfI^*<r| z9f-33LN3Vu2e~l&4RSH;H^*xK#AHJLPsQPXN9gz^c)jvB&(8lIz3Urppl?r~7zZ|= zzhycD1A}kxa)q0qE$aIVZVE6klt_VB_h{a9VSMS)`QE4VTj#A86H-CJbj+pmt>#_u zQVh_-$xodxUp!9&n^YRu{9B@22fT+x0=#eUIanijy+Ay8dWYY$@%Rfr4F;di|1S(v zAZ0puQS>Lr&KGFYGY?Z>-h(a4Jd83v3+tkM1NREnSKLJQpl|1k7aL;1&MHZ3-kWht zfPulMcdx`P0frK3u-{xX!95p{-$0$0%_$JiK{_x0!JU^+oi|@_L;a=*ss*6J@M-|& zu$QLT+zRTKgX;rGe4x4a!EJQ+G9cW0;SR*T4R>(4ml=n9qZ7fNF2(QO)?_SUi_N{~ zJv+a7cK-F~UGH%Z*}a~fFJ1)1fUPPi^X*;w;vOjR?6tTLN<6Rt{>gX~l6YJ?f4vAw zh6eC&P%Zl$(wy(S`C?T9*c9;j1~dFYN#p$su_S2HfT+R@@1sdXy8nzPXrtL*pWgKj z_mSNXN*@!V!8U`^hrt6#ct5z0E4(KsL7flo+(HvV=gk+d<H3dy2yao+-Miu;x_ci) zfvp0$cg7=#dp#cEa_{3r?C!ND%Dt(HM20USeF!{3b}uM>7(m?1-vZkG>)X3@!xL~C zVR(u&jp)G~jh05*AVpQFm1D!+2@DzxjHUdZjYkC-AgQGyhCphWo`AzQnB@t=KPR4| z`zIk19R6_sWIO}==fE?Z{)tO~Mgp3Dwn1__*gqD`F#qgeg7{}eGy(q{k0;tci1gp_ z9N9nM^dABC4=6n~ynv)9jTgAm)8u%lSJ2Zxq!1vK{&Be&clqe?65YKI!r|_9cm;9q zi<h|E`#27}d##CbZ)zNl5XS9ZhqvhNH9)x6;2p%h58mQ(uQ3kyc0&p&0^z$gmSp#? zc#rPhhA?>e&iDXvug3>m?ro069=^9BnVx`qSxI-V#TRt<UI>M|SK}+hy*Iw#a_{9B z?C#Yj%Dtg6B!_RrcXanEAl&Qm1LEEv-*LHD8HanTA%zfu@Ld{BvU_j*Lw9dM2t0gG z{D-)=;y*6;7Dr<b-_wvnhJbs&MiJ>=MEU%KQ4sCEz5~G!_k!9ZGnfQH_w~JC62x_1 z-=Qd|gVD-nDX4=DJR6T@L_<pCwnzdcvMK5A|G<Rqeg-7>PhbYS{{b^j_cP&ee-xzD z0k@}M?mrem!2P9>M20`2K6$~6?0!&v;t&K0|Fq`4H&_J0?d=X0K^*OE=SXPqqtz#~ zA%zfu^l~(UWcNN`L3i(jK)8D^utMD1z>3SglOwRZ_cbI_6L7C6vF=5r?;EVh?gh1{ z9t42h3vYi^uz}Oa2{xQ*<X$+`(P(Mh4C-i5dujnAto@<D2ubZ4!30u^dpM5J$Jd^^ zQ7nX(Uj-ok0rwv&N`yf9^+bsfuKX&5!!KEoQVN{jS2SsXPK$YQEtG%{TElSo0KI-k z#K(^^boU4NgX072{u$+9_rEB|>HeTF>~XOQlIy|lztIVCzZfC+9}Okh{SsB^?w{a? zaQ}`fu=_cxak_s}D0cV1g5-Lz`#B~;+#eP~ApBWLcfUm~y8AEqBHVwY7VLhFI-Ksm z6oTFTT1f6MSO{_dG(tJRHH75wk7z)5zW|c^e>8yI@6m|U{X#h0p9Lw!z~R4O1;qW= zf(V3vYcR>~uV_Yhe}E4n{3Tkz?$2n!>HeT#?BTx(QVM|Ge_=hu{bGdNe>8|>_s?iU zcmD)$g!?Vp!S3&9$Lan_LD=2@3Q`Jy-OsQc;{LEe0^!d}y8Cx@qPzcs7sCA!U10aG z=)&p#OM%$kuZ86PjN=gZPYWR6e%C;f!~aGPy88u?++WcPcK?Z9obDIG;r=X0DFzPz z8RsDGzvfTC{jC8cyZ=W&y88n>5#c{$0@(d8Cg5~`PyqJuUj-=z!0tbB72<v|Lhe86 zPqO<ZCZoH5f(OF=J0^qO&oKq3`zQHhcmFF$DFAlA!83^a!~6(@KP&0(x0r_R{tNC1 z_urTXcE84SobJEmhu!^JNbXN~3vvH6UjpuT^&>g_BW9wzUjWJdKW2j6?=cIf`-O10 zKMPWdfx~~o7l`|>`4Dh_t1rp!ub6}G{s1>b_)E+MyFX(tPWK1-Vh{gSkWv8b{sX@u z?iVBE{-Zu5yMM-fboWniMY!K$0oeT=3vjxBk`H$Gzk-wkVE1eAXoK6IVcrD7pOtj? z?^uNH{tGS$_eU%SyMM)EobJEmjotlPNbZjihPZ#47XkOXdXpUfAGV;oUjWJd6Sjig z|6nUl_Y2{0e-@+^1Bd?(7l`|>c@l7cs~5@c7ubRB{s3o0_;1(&c0a>TobC_u!XEys zAf*7<{V%*B?iVBE{-d5GyWe0py89<MA>4mqH`x6OdvLmck|%cezk-wkVE0P|L);(c zK_L8DNq2w1K6LkAa74KO!#=S49rojN|0NIX?$<(czeg0r{nOkDxZl--<nS*zi0*y? zB=-v(0=qxq5Ki|C;c$Nzq!a^(e?=n1{ny+GxWCn%WcN=vg6{qR2SoTA90j|-;V4e` z2f1Sp|5cDu0POx184&l25pw@gH<I1I;W)bcC)gw0A8-Qf{skv+x_^=zcK5%6lmcM) z-^ho!Kg^Xt__LDk{tKtj-G9Lj;r@ctVD}$5jnn;?T(P@f3(5T)<q-Ezb0Oe<S67n5 z|HD~y_X{Aof5JJi`yZUc>3$&`?$3ghV&L$%sDrrwnll0Sx4Mw*eu0bV?hmj<g#U(% zVD~dz!s-4X7wqA`3Q`Jy-Jj74alaTL_aAj8+5HAr(A_`52I2k-SHSL9xQf&Llbo@; z{}rSZ0K0!i55)apP6WcAm2~$9Tt|2R1#5)+KU@d9-{A&M_g`|t?tU#K_n(*yasM<& z0`7NpB02mEZlSwh0LlFVx54gDxQ)~OLO9%?1u4bA;s0Y6#QoPC2)Mu1k!1HzxQp)o z04qfJ8{7lCzu_KE_Xjy*5C2t=QUL6JjYSamixG1FQ3sOUzu^J8`zKf;+#m1|?EVE0 zak_t!19tbnf|LSa_eZRRxIfIEK=`wg?*0pp(cOQ+0^$CGCt&v<c!JaYm+Y~-Ukl0o z9UCC-pJqqE{jT;ThyRCX=<XLla{q+qVD~?Gj??`@INYBFDaF9yzhgVZ{nu;>xWCno zWcLfaLU(_FIU@WwyaKzQ;WbY82iai{|5cDu0POx3`ylQYBjo<0wj{gX;4QlQCzv7J zf8j0I{R;1Jx_^=_cK5%6lmcM)OB{o^Kg@<e__LDk{(ukY?!RD)aQ}x7VD~$G#OeM^ zHrU;-h2(yZvk>=BvnJqvR~wSUzu+^v`vs8PFYpEI{)8_$-7kd0{aKJw3>^LyS0L`c zW<|jLt=1&Ff5JC(_Xn6D!r$OK*!>OPak@Xq8hiM!f|LSa_pi7OalaTL_aC()+5H=S zqPu^BF~a=;zrgNa@C&E=Cs|>4|0_r-0CxY4M-caiSrQ0;R?^*n;Saj|FBl=*U+@>~ z{sVt;y8n_TcK2%`xu4?|#QoDO2)N(XlH~CJ@E_g%0!Z$kz#t5o|9!w9jC1}Mhx@Z2 zr5HH;Ej~irf6bhL`&%tYcE13#FxvcefFUCMH!y?U&%lDy{XrJk!+#Z|6ac$F;|Ik3 zVuaj()SP7Z8?d3fe}VzR{TJB4?pI*P>HbOP*xmmMQVM|GKjS~d{b6PV!k?9N_XlvI zyZ?ed!u=mO!R~k9!s-4?X4u`Yh2;JdY&zia+i9i*-0x~ea`+eUpu1lH$^8PnVD~5R z;&i_d4)<q4N-=Qw|KNqV|C$K__qUpo?EVS-=<W~DLxjJ90NDKv0yy0tWQslfS3ybv zu=_PcA?_C=<o=^3B)flu5W4#(=px)7APjc@0%4r)pJamF{jVUU0NDKzG7$HN850P9 zR?^*nK@{En7jzKrFAxK}|9}`y_g^x`?tU#K_jf2m+&|5TfcssINe=%H66o$1Kyv>C zNwE7LNaA$A5DxceK}s=j`0vnyxc{0V0r$5Wk?ei}8FcptXd}XZgACaH46-=gA7q3* z{8vFr0kHdD7((1HM#%j~4M}#tfjqkVCukwue?cDXegy@b?w@3c-Tkj1r2yFd5|$A6 zhZztEe^%1nAE1Qp{tKE2_kU0VyWc?>r~5A%V0XV3lKVXzAnu>0Pr&`I1|)}nfhxND z1(4h?payn-f*MZu3*m5o7Nisdhku1T#QoRw2)MshpJew>&_H*8fCeJ`4K%^-Z_vc) z{vdtq;lBz}3V_|e!VltpF+%P?sz<W>H)x}~e}X!~{Q){)_b<@F>HbN2*xmmMQVM|G ze<Kv){xDqv;m=CC`!DFByZ?e3!u<vMVD}%;$Lan{y4c;Xh2(yYScv<l=@4+gt1ijm z|G^O5{Q^ktpI`)b{{thO?ia%0{wzo-1`dCV6o~t;X%ldNs}9NT7cfP4e}F0?{5P0_ z-Opf#)BQm@*u#Gnq!a+VKO-CBelbGsKdMc#`wcA6-9JGE;r<I2VD~Fn;&lHcZS3xU z1t|r<?w?TvaetT=f$(P~-TeX9=<dIujBx)4Yq0wrY;d~&k`{LNYazM+L?y)i(=-XV z-&Kp`@Gr1KcfSCV`vvU5?oY7C>3$&`?$3ghV&L%q(ExG(H4OspZ`CB({SzF~-5;QY z2!8`7u=^XFaJoN86MOitf|LSa_iJ=O+%HDR{YN!OcK-$!boWnCM7Te|73}^6t~lL4 zNdvq4UqMO%u=^wWA?^=TClLOuq`UuuJG%QXC?MQl-~o330S}z+zod@c{aQ%w@0bR0 z|1>oM?sruuIs89(p}SuI$^8?&!R~+Hjnn-?INYBFDaF9yzhf@M{nu0pxW846WcLgB zp}Rjo9ufW<{J`#K@W<)?AT{jazY0<cfZhLM3B>(kgxr5rm1OrD1fsitf*ivA7XrcV zR|vxC{z<CX-Tw+w3V_`&u?FJ)Fckvf&q})c147W<e?b=E{tqEw_dA5*bpIt4?C#e> za=*uBi2J806L7z)3d!ML5RUGC0VMYeM1b9&5P{SELO9%?1u4bA;a{-};{Iz&1l-@M zOtSkYM4`JsKn4;12GL;mH$>xfe~>cv@LvTf1;FlKaS-BuF+%P?szkE;H^id5e}Xi^ z{Q+@c_b-UU>HbMd*xmmMQVM|Gf8zwi{b7m(!k?9N_g_drcmD+`g!>B;!R|khh|~R- z6tTNs3(5T)7a;DRra-{`u8Jgw|A%CB_X{Aoe?kh_{SQ)bx?c!~`?DaW7&!bbu0z~^ zO`d@JTNOxlzd$;=`vW8q;lCjr?0$v}obC@&z#jgqAf*7<{TcTl?iVBE{-g3FyWb!S z-Tf0J5bnQ_1$MteHct0XlE?1;SCCQw?EV=~A?^>8BM|<qq`N;L7v23A#1ZcQkPCLd zLmp1|Uy{S_ek~;TpLhdt|1?<w?st_VIs6L>(A_V9<bHudu=^7Vak^g!hx@Z2r5HH; ze|(0x|C$T|_qWQD?EVSG=<W{?LxjIU3E2G&B{<z5B#S-#S3ybvu=_QBL)<S$$o)rU zNOu2*GIaM(5Jk8@pd9S}1?4#1KS>6=`(Hsy0kHccm~_GOM`6+g!k?9N_g|<)cmD+u zg!>Ds!0ta#h130)q_MkS3(5T*oDla<lOo`LS80;N|3eMB`vs8PKcN=v{s*-<-7kd0 z{aKJw3>^MD1R(CeCP~2ktx_brU!Vcq{Q<&=@ZZn?c0WTSPWJ~%VGsXRkWv8b{ukm9 z_lps7|4~Vj-EYv0?*0iv2=`xT2D@LO1*iKbNn&^ZD@Z8-cE5x?#Qk9s1j3({boU3e zp}YTrAj170+Q9C2XvgXPOA^@KuZ84(4>gGUr->7AzpDhv;a|{+?tTFz_X~7^-Jj5f z)BQp?+@A$0#lYcTp$l>UH8BG2Zxtum{S$i7-5(%;2!DfKu=^W&ak@W99DDe$f|LSa z_pdO4xL=Hr`;Ur|?EVe?=<c7uk8pp$1hD%TOu*^>Nn+UD{|ZtHfZcz?8sh#iQ3B!5 zO1k?mOhR}61wMrP3nqize_%3B_g@ml?tU#K_j5Qy+&@i(fcsrVNe=%HQ_<ZofaLxO z)4=Y3Fb${sg>bk(3sQ=K!{5RS;{I#G1l->$LbCe>W}>@4fEN+|8)kyt&oB$8`-4QV zhyN-_DFAkVMj*ufVuaj(RG4J<8_Yp>{{$X{`!CD^yI)~0PWMj|#_s-CkWv8b{uvPv z_lF4)2!B@6-5)R?-TfE15$^vmAMAdI1vuS*NeH|9wUFF@A|B%YX@UgY?<z!c_!lff zcfSCV`vn$*-Jh@+r~8F)xIYV0ih;xbM;gTa*8~W-zg3WA_fJ@g?*0HSMEDyl1G~Rr z8BX^H31ScbRgh8u?0$_ri2KC|x&NpD$?o5<0^R)+I1%m-SP6Fjf|WSkKS=<)`(Hsy z0kHccN+IqK<0laQtfagD!fJH)U*JHvzhDj6{Rh_IbpIuO?C#e>a(_n+#QoFw2)N&s zpXBiWunyh*0!Z$kupaFG2kUXVUkHc$vmm7yIQ(}sL)?Fjmw@|Q`ABxZz$SF}2e2c; zf5RrQ`x!Rlbbk;Z_V8Z?DFwjpf6)bTzZfC+ALS+4{RUgn-9Ld1;r<I-!R}YshSU9% zc(J?x6{HjZyI*1w#QkAB1j3({boU4BKzIKIR)qUM>;SvpVJA-aU*f^;ek~;Td(4Em ze;PLd_q+0t9R3Bn(cLeA<bHuYVD~5N!RdY>9PZD8lw#oUuUG(a|1~ZG?r-HL+5Hpt zp}RkT1rh!R`@!yS*pJiwLEPBGe-)$@0K0$1a)|rI2)X|#7s>A5a1h=76POY14>$yN z|AIp}-9L#7yZc{3N&&F@Z>)p3Ka7(=__LDk{tHLY-G6}z;r@c7VD}$5iqrj<II+85 z3(5T)+aT_r#zDaSuAC%?|A*t~?iWCE|AZ4@_dhs+)BQp?+@A$0#lYcju@~b0YwQHv z-^xL<`vp#;yFY*t5&j!agWb<?2B-UjIIxHRDo7~+c7MhZi2KC|x&J6T$?i8ehwlCf z3<&pMI0tsW!g-wTpTv&c{jVUU0NDLAPD9)u#zr9gSxI+)z(sWTU-<vwfAbGU@cDZm zE`r_fa0#dTFR@{FzZR1FPh5hye;O+R_q(!@9R3AY(A_V9<bHvxVD~3n#p!+_9PZD8 zlw#oU|8W!I{%b4*+~3Mdvim1oM|Xd~KScN&+yJ}3;Ra6k2eD!g|5cDu0PKE^hY<IR z5pw@g7Lwh+;TF34C;UaYKj1dl{R?j6bpIq4?CyUBDFwjpk9Yxbe;6}?@Mk66{TJ?{ zyZ^!;g!>Ebf!%-L9!~dPV#e-%EhP7Myob1d8WREcyE2m;{vRHoyI%mw{SzL7-T&Yr zPWKDpaDNu06a$C<j&Bh6Ut=WT{#GWE-7oM2-TeW-5#hh#3E2G%PjR|GhzWc6uY!~U zVE4cH3vs^~A@?6;B-#B2&(YmK;TOXF7oLONukZq=`zJACcmFF$DFAlA1gjoo{)>S? z__LDk{(x8L?!WL8;r<V=!0vZ=jnn;?7_hrv3(5T+JP`L!`~TrT=)Mo=EftvePq;FW z9R3Aw(cLeA<bHv7VD~4y!|8q@9PZD8lw#oUuMmc~|JpwS?r;77;XmqiE0F7>p!W%a z?~eiBSAsbIcESgA_XqqygulT@u=^W6;&gw|{}2B?JCC_&{zkk115yfr-M>N#;(jqg z?mzmEWcP3QjPCvk-x2N)_yTtSf-gATKj|NK_rHRa0$}&wP=vTY>@R`vXC>YJ7rvpp z|H3zf`wPB<-GAUaPWNB>i{1TNNbcv*gt&j&9|G=o{Y!HAfB1>+egP!+Pxu9P|ASvR z-7kd0{aKJw3>^Lz1`zjO`%S?8t$#>%zrbH~_Xm7Mg#U)WVD~fp!|DE@KiI>66{HjZ zyFbGm;(jqg?mzmQWcM2|h@kCXp6~_X{tFBup#9ehj3T)9Ur+jt-Tkj1r2yFdGwdMl z5Bo(R{8>qNe*iPO`!9S(xc>t)*!>PHINg8g7k2k+A-VsAE5!ZNeiCrM>o1bSzkm(h z{Q^kt7hngwKY<;m`-O10KMPWdfy4iY55)b~eh_ef>ray1KY<h7{Q;j4;cvhNc7FpG zPWK1>#2)^uAf*7<{Td+<_lps7|Ir^LyMF@@y89=5M7TeI7wrB8yg1!I=?8ZAzk-wk zVE0EvL);(soj~}rlJ5Qs{OIn#@B!ie0s*l54+!9N|E2HP-LHk@{*EMw`=@;);C|Qd zB!~Y8A$0c(Ah~~nFxdSMgmJoG2#5Q#Af*^M{C8wR+<)yW0r$6lBia1|V(9J<c#jDG z4Ps#TGl=7Kf6zDV;lBz}3V_}Jq5$H4F+%P?`juq&8%UzNf5JP2`!7g>-LD{p)BTga zVt4;5NGSkzzeEMZ{b64Sgg-0k?hlYbcmIXA2={-G0lVKp7N`3!eZlU2EhP7Q)I;1q z?K1)QyM7@#{0rpK-7kRTegOrr`x6v!x?c!~`?DaW7&!bZ+92+~_KAS|TR)TR{s~Ix z?hkl_2!8`*u=^X7ak@X~GxqRb1t|r<?qAUhalaTL_aFU4vimovqPu^>YlQm))WGgv zpoY`^lRja0|0_r-0CxY4DG>LEeIyY6tfagDf(E+#FT6syzd#f0{sWpg-GAvLcK2%` zxu0VW#QoDg5OBZiN0P(;gEqSR1(4i7K?m&q2Rb<2FNDMWS&&i;9R3!IA@0BSo`CyX zKalKx0ey7$2fRdt{|0@q`xy*yx<BXx_V8Z?DFwjp&sYU<zZfC+AAL`<`wfiH-9O<4 z!u=PF!0uNt#_9e^@3Fi86{HjZyMM+;i2K9d5eR=)(%m0mithdk&k^qbU<!7>gBecu zUwVh#{aQ%wKd}Si{%LOsxZm|1$>CpMf$n|*B=-wgg595BiPQZ;INYBFDaF9y|6@PI z{ny?QaDVGtlHEVS8r}T?&k*5nU;}o4gAGpi2ff7}{;MFR0NDK+$06<)Bjo<0Z%B6k z20L{3Pk4%Oe}FyM{R`}Ix_{Ce?CyUBDFwjpk2nW$f7ojR;m=CC`!6`6yZ^!yg!>De z!0tcbgwy?(USoH^7Lxlru0q^D?G*v{yS^ql{6Dy$yI%mw{S#cl?tkEl)BQp?+@A$0 z#lYde;||3A*Ip8Ef9orf-7nyQ?*4$si16Rw0d_xwCr<YVy}};;t01KS*!?daL)<S$ z$o)rOlI(s1Z*=!hc!Y5O1#htX6?|~If6`0r?tcX-1;Fl?cnxuX*b4&T&q})c1N_k4 zf8im*{U7|m?sxFV>HbSEu)ALi$^9OmAnu>`oPhgXUyvOB1%c@97eI2qKoHpd2|+mB zFNDMWS&&i;9R3wQA@0BSjDY)FpOftV2_fk24|sqGe}ho4`x`=Wx<BYS_V8Z?DFwjp zU%{Xco<9;J<o=`2NOu2*aCG-ixQ}puKm^$R3nFm3f6_DT?tcX-1;FmV!47eM*i!=G z&q})cFGQic|H3_l`wODM?mrNX)BTs8Vt2n5lKVOMA?}~{gn;{9pOPH@A7atnFM#C! z32|WeKZwKWejyz0&w`X<;PAH)gSh|NV*>7PeL}MP1rpKSA8;2D{u>g(?q^8C>HeT6 z*u#Gnq!a+VKSLJcelbGsKl+$t_Zy_3yMMwRg!?a~fZeZ<iqrj*9%Fa^D@Z8-cK-|& zi2K7H5eR=)(%m1Bj_&>ow-N6DkPddgLk3RwUwVYy{aQ%wKcNkA|Fnk$-0%8`<nS-Z zLU+FalKTa+!R}AU#_4_`9PZD8lw#oU|6v4i|Fs7M+~4|;WcN?VMR$L|EkyVm<bmDa zkcZR#K@YKq|0+l+0CvBI6~z5wgxr7h0m<&)P=N0K2{#e$4=4n?e?cKm_fL9&-Tkj1 zr2yFd5snb|hutR-{;Z_C|3Wdk`!C!;xWAwT?EV8KINg8gK6dwOA-TW91LFQ^_XxP( z^*+hr|Dg=s{Q^ktpHL2V|ATUz?ia%0{wzo-1`hun{t)+HyGy|Rt@lWFzd#kb`va~c z!hb^**!>LEINcv~4}18pf|LSa_rC~(xL=Hr`;Xow+5HB!=<c6z4dMO^wP5!v)Zujh zq`TPN{|ZtHfZZ<<2XTMc9RlIcO1k?48qnQ;;VQ!Y9~!{!cWA`v{!4eTyI%{*{T`_h z_fNY`!2PawNDlvkW_0%pAh}<l1?>KW7M$)E!r}fbNGS#m|B4)l`>)+1;QrRzB)fk? z8@l@gt{}qSpdIY~hIX9p54w##{8vFr0kHd56hquEM#%j~Z;|Z&4V~!jpKuxB{(vs9 z`xkWKbpNDV*xmmMQVM|Gf1?WG{;-<_!k?9N_h0BicmIV;2=^ECg57_h7pMC#-Nf#G zEhP7IG(p@y?FIq&yWS)@{6F-gyI%mw{SzjD-Tz<$PWKDpaDNu06a$C9MJL4l*RB(A zf9nmB-7hd1-TeU<5#hgKGT8kLQ*gRJ=mz%iUj-=z!0ykO0CB$<A@?7>PO|$ArlGrk z!Ucr;FH8fwUtu~<_fNWx-Tkj1r2yFdGp0k_A9jsE__LDk{(zb2?!Ryz;r<UZ!R~jM zh130)u3>k-7Lxl<%!9ap+EoJXcfCe(_!rDUcfSCV`vvBL-JdWQr~8F)xIYV0ih;xb z$5M#<uU#SF{?@A`yMMxbboU3GLxjJ<0<ilV7T|P$&{gc=zY0<cfZeaL7UF&}Lhe6$ zg=F_{ScLBW31<=R4_FL#|ANIh-9PCHcK5%6lmcM)M{I$(KkPDr@Mk66{TG&^yZ^!& zg!>DYf!%*#8BX_Kx{TfZT1f8i*bQ<2v`Yls?|PZ!@c*y^-TeYc?w_y{?EVKUak^g! zhx@Z2r5HH;cN~Ja|Jp?Y?r*(Bvik+rpu0cdG$Q;rtO2{9VJ%Mg2VKG*{;MFR0NDL6 zPD0!-M#%j~FOuwjgZ1d{pKuD{{tN5D?pN4=)BTe!Vt4;5NGSkzzr;m|`@=2}2!B@6 z-5;<C-TfC%BHaIB6WIL@n{m4T(gp19*Fti?#|?=4r=2I@e%A{mhkwCVboUD&xnE!# z*!>CHaJpXzhx@Z2r5HH;EAB(wf9)Ir_qU!W+5HoCpu0cd1S0$mc7omCuoI{IgU(|Q z|5cDu0POx1&mis>Bjo<0=SX({hTZ7ypKu)E{(wDT_b=Fk)BTgqVR!#4NGSkz|Bbg0 z_lKP&5dN&ByZ^#IboXC4hH!tuez5xw?8oW;OJ}jWUkl0o9A6>spLT|T`(4kH9R42; zqPt%J$^8=!f!+V$5Ki|C;c$Nzq!a^(zr`Pj`>&lQ;QrP#B)ebWD7yOtjv~T;!%?vN z8IIv}f6y81;lBz}3V_|8!E6AT|03l6qo+xBzrhJ~_fI&2aQ}r9VD~GW#OeM?r?I>L z6{HjZyMG23#QkBX2!uZ?>Fy6Wjqd&nhY{}oa2o7>hch_cf9Vu<_iG`!|AZjK{nJho zaKGy*lEc5?9J>1jklZhD9_;>v^Ellvgv0$=kWvgB{y!uj?!R_|fcsldlI;Eo7t!4x za0n6p2A9C@Z@7fh{Xr+OhyN-_DFAlAh62R>Vuaj(^aRQ7-*5%p{Syu%+#hfi?EVE; zak_uf3GD8F1t|r<?vGH1xIgSTf$(P~-TfD?qr3mY0fhStZh+l?;08|jUpkK6{aQ%w z@6dy|f7&qu?sq*-a`=C^h3<X<B==9a4R-&7+c@1Xgv0$=kWvgB{yR(|?!R`FfcsmI zk?ekfd+6>D*pCSR4fnwAXSk2k{XxgDhyN-_DFAl=3mb_0#R$3o=uwj0Z}1S^{S)>f z+<)OA*!>ERaJqleQS9!21t|r<?w4?ZxIgR&f$(P~-TeVi(A|GwFT(vFo`Bu&@D!)} zFCD?|ek~;Tdw4_KKkYC9_q!e;Is6Nrqq|=K$^8N^!0u0Yfz$m$INYBFDaF9yUl9ax z|FuH|+~0bbWcN>ah3@`<J&5o(cnx-c!)u)G4?2uJ{8vFr0kHd5L_*vzM#%j~50UKt z4R6uiKVdh*{Q>X5?qBc@r~4-z!tVZ8kWv8b{u>Dp_lF%M5dN&ByZ^!mboXD_g>Zkt zN3i=3e8lPgO9!#LUkl0o92pSzPdh-s{jLW|4*w6I(cLeA<o*d?!0vzW1*iLkaJWAU zQi_4Y-y$F4{%iXQxWDxP$?g~Uj_&?|orv(?@Ez=ah95ZHA9MhF_^*PL0$}%NltJ7t zM#%j~_mk{?gJ0<GpRfbr{tLgr?pOGY)BTh7V|V{6NGSkz|BPCQ`@{AT2!B@6-5>B5 z-TfD~Bi#StFWCJK|8Tnh(mw3&*Ftjti57_ar|l)+e%E~@hkpTsDBAvO0VMYeFp7fq zUnek%;@W>Lgv0$=kWvgB{y(}Q?!UH&fcsnblI;Eo%;@e9*oFvy0~WCR8(46<KWH!Z z@LvTf1;Fmtm<(~h7$Nr`-9xhbH?X0*f5KLT`vcg)?q9%;)BTh7V0Zs3NGSkzf5a?^ z`@?n<2!B@6-G6}--TfD~AlzTT1$O@dE}ZVav>UtowUFH3u@K_^X}bux-*q?1;s1dL z-TeYc?w`O5cK-ujobDIG;r=X0DFzPz9V;O2zqXTr`&)OB?0x|OboU2rMuh(c0kHcS z1aZ1QXczYIUj-=z!0vys9^!s6Lhe7hlVtZB2&21y!X||KF9?I(uONcc{gZZLcmFF$ zDFAlA#CC}L!*&n|e^%1nA0USA{tFus?*AYLcE5u-PWNBhf!+ODNbdL82XX(j?F8KK zx`X8KFOWoczW|c^1*E|4Pmsdtejyz0&w`X<;P9_F3UU9nZ3Nukx}9YAPmn=(f4~Mr z_#4QA-QOUK)BQo)v4{UENGSkz|B5pZ_lps7|IuwEyMKc`y89=rN4P&g0qp(-3OL<A zX&ZL;zk-wkVE5m+3~_(hRs!MAO1k?mD51Om!a9We3zWg`KcI}${g<|4cfS^r`#Eky z+&^s#0r$IZB{}>*sG_@H0LlFm)WGh4poY`^LO9%?1u4bA;cxK>;{I!!3An#?3(4*m z&_s8Cz*<E3Z_ot0pFs<!`-8S%5C2t=QUL7!jF%AiixG1F(aj{g-#`c5{S($8+<!p_ z?0yAZobI2r8N2&mK}rFz`)7QBxIb(Yf$(P~-TeXj=<dI;8sYvA`e64v7~pjOrA^q~ zuZ86P6W<~3pSF>J`&~DY9R3AH=<XLla=(Bv*!>B{INdLV!~I#1QVbmaKmI}7e{BN+ z_qT2&+5Hnt(cK@g3K9MWW?=U>nBjDP&_?XxzY0<cfZeabW(b-8BIN#~8%TEl1`Ble zPgsd?e}E;}{R=E{x_{CJ?CyUBDFwjpkKl#4KWsgL@Mk66{THm!-G5;P!u<s{VD}%e z!Rh`>>#@6E3(5T*A`tgaTSvhCuIot-{||QP?iWCE{{(xm`ybfjbiWV|_h&&$F>v_r zkcPPb+FAncZ(T>S`vsiP-5;<V5&j#T!0u;o#_9f`b=bpy6{HjZyZ?m}#QkD~+<$Z} z$?i9BMR)&%WeE3Qa0R<x!40STC#}Wq{#TGv0PKDVEr|QW)({APR?^)c;DPS`3ri91 z|KI_3zk?@E_g`9r-Thif?)NZ+xPRJe0`7NRLvr{Rc%!>t0LlFVK4AAJ_~3NE5Dxce zK}s=j_*Ym!+<$Eq0r$7ACfWTH{LtMWumlnQ2L538H~8apf6!{|;lBz}3V_|e!XDy& zF+%P?x{74?ZwN$p|AfT|_Xh-l-M=6Rr~4<Z!tVZ8kWv8b{u^!(_lK<{5dN&ByZ=H6 zy8ACILb$&m6zu*3p*Y=tX(e{|YazLx!w=&AX)6f0-*qL);r}5V-TeYc?w=3=cK?G2 zobDIG;r=X0DFzOIi%^LBuPrCw{?-*FyI&w0-TeUz5#hff8ti_C7@Y18T7f<MS3ybv zu=_J&Anq3<<o=_}Np`<M9J>1_EI_#bLLAur3h_AIKWRC3_rHRa0$}&gNQSsSY#D*@ zXC>YJ0g34Dzc3%+{tt;@_d6uvbpNGg*xj#%<o**`5cf}8O2GZD%SaCYf)sT33m~~) zAQkNXgjAgF7sBEGEJ!H^4*ws85cgkOLcsm4OG$SBgmiTG2h2l+zd;7r{S6s7-5<0R zd-$({lmcM)Yg9tqFGk4yN0*T7{ta2^?w>Fh;r@VZu=^Ke<8=R|CD`5n3Q`Jy-5=2a zaevri0^!d}y8AEWqPzdX9EAG|^1$vtkcZR#mlk7pzZR1FJK7=cpSFmA`&}249R42) z(A_V9<o*eTVD~>L#OZz^9PZD8lw#oU-_Zwg|Fwk#+~2y0WcLe{pu0a{HX{5tlz`pO zP>R$2L5r}5|0+l+0CxY2sSx*z5pw_0g(SP*pd8)(6J{aYf1w=geuWC0?w_;}yZc{3 zN&&F@CFVlhAGUx%__LDk{(vfU_g|QaaQ}xYu=^dVak~G~0_^VBLUO;y5{Ub!%_rb~ z*99bpe?cv}`vs8PFHi?|e?lEj_Y2{0e-@+^1BZXbYKZ%<%_HFc*7+p6e?kMg`vYbm z!r!0~?EZ#EobC^rk3IZXK}rFz`&Vp&xL=Hr`;X2e+5H=u(cM2`I>P+{EnxRAXu;|J zN%OF~{}rSZ0K5OjPKf)%<`M{hR?^*np$*;r7p5WHU(gPA|ABU#?!Pn_yZg0}+|O|k z;{IuK2)N&MF3I8lp%dNx0!Z$k&;@q?gD#xz7sBEGEJ!H^4u6Xi5cgl3O~C!Fb4Yf- zKrg!c1EwOve?u?W{S19L-5)dud-$({lmcM)XPk$)UyP9ZkIp99{RR`z-9KRp!u=N} zfZeY!5vThn&BpHjSCCQw?EV?oAnp&FMIiiHNq2w1WOVmmn2d1$hsj{~J50gp{!6p4 zyI%{*{U`21+&^t50r$JkB02mErlGrE0LlFV)4}dfn2yu^LO9%?1u4bA;s4_a#QoQ1 z5O9C%Op@I{VJ5o!112HD-(VKl{SC8lx<6<p_V8Z?DFwjp*LVYQzZfC+ADuz6`!~!% zcmITm2=@of1-pO2T%7KoGy}W)UqMO%u=^uEL);%Woj~}rlJ5Qs^U>XZVFJSa1q;CL zKd=C&`!7w$?tU#K_jmk)xPRI-0`7O6PICBvScL9=0VMZNSPXXmgT*-AFNDMWS&&i; z9R52PjllCq*QOG1f9o`o-7l~V-TeXmi16RA4D5b}<v86RG!1+BuY!~UVE4b^fVf|b zko%8LCE5K3E79FQp%3By3oF6yS6GG9{gb9*cmFF$DFAlAgaE|-VN(c%KP&0(4_Jfl z{tLYb_kUOecE7_~obJCg1-tvTklgPf4srjq$pqZ*I)&u$FIbQ6egP!+3v2+pKVbt- z_Y2{0e-@+^1BZWw9K`+CCJ}Id>tvGMKVcKP`vZCq;cu`R?EZ$$INcvK8GHDzf|LSa z_peZexL=Hr`;Sf{+5H=~qPu@WH^Th^+raK$unnjCCr!fc{#TGv0POx7IuQ4VO(YQh ztfagD!VYxzU+6-(zhEcW{Rej9bpNG^*xj#%<bDnli2J8aAmD!2i6n>rhu!Gz7eI3V zggs#QKiGrQ{X#h0p9Lw!z~OIU4RQaqegf`qoj|ht1@@!6KcEv4{u}m#-Oq3Ur~88@ zU=ROQkWv8b{tPFG`^5;k|7btS?l(Au?*0iK2=`w&1a`l|VVv%t)Q{c$uOOuW*!?p+ zA?^?BBM|<qq`N=hD7yPEv?JXA;V9Vs4##l1|56`z_iG`!|3m=9{nL60xZkyp<nS*z zf$n|*B=-xP1iL@sBu@7W;c$Nzq!a^(|BrBp`>*v7aDQtr$?l(U8r}T?ZHVwUI0JTn z!x^0J59-Ap{;MFR0NDK+@eucm^$>9X(H@fBzu_FZ`zN#_+#hfr?EVGkak_s}4|eyz zf|LSa_eZ2b+#l9W!2PVGyZ^#PboXCqLAbx*64?C*F5z_lrEcu**FtiCM=r$u)4B+_ z-?f|M@c(cH-TeYc?w@cK?EVK=ak^g!hx@Z2r5HH;ca%Wff31^%`&+w6cE7+4boU1| zBf@{f4Y2zeZsK%*P#5;_Uj-=z!0vxh4ROC1A@?8cB-#B2x6$1{p$Xys3%9}USGa@I z{gXPeyZ;rW6ac$lq8Z};unq#@&q})c1MZ=_|3V|e{U7du-S2Q8r~5B;V0XV3lKVZn zAnu>mPQd-H9VCbU0x>c4{a;A#cMul??f;q}E{1de7Y_GlK}s=j_zPSyhV*~i2)Mts zon-ePkVJQXKm#KD6QscI-ynt4{Xy;6!+#Z|6ac&5;WotmVuaj(w2fr<KafFp|Acyk z`x|7z?!O?5)BTg$u)F^iq!a+Vzu*zX{b8*H!k?9N_cJJ<yZ=HR!u<;r!0!K`fYbe# zTCuxd3(5TpUP9bIt%ZR5U0X>Ge+6Z9_X{Ao|9~>s{Q@dD-7kd0{aKJw3>^L!K0w@m zt(k!PTU$tWzk?dO`vYnb;r~Dl?0y4vobC^5!5;prAf*7<{R}@K?iVBE{-ez#yFWn_ z-Tf145bkHt0=qvz3#a=hHDh=GD@Z8-cE7=Yi2K8u2!uZ?>F#gPL3jU!YJ~e0biwW~ z(8cNgOHJ6_uZ86P1U3_J`8lnTfcssWNDlu6`snT#Kytr>0oeT$3~;(%2#5Q#Af*^M z{3q~2+<&csfcsk;Np}AMBXsu%R3XAY!5HlR4aPX#AJm9F{8vFr0kHcIh(O#gM#%j~ z8%TEl15<SOPpCw=zrhUb{tIR}-9M=TyZc{3N&&F@KS)E|A68Ew{8>qNKZ7N@`!7@= z+`qsQ?EVjyINg7#9=rRskle4J3~~RoIs)!@ttUDB6>QMmFM#C!12$my3)tdxzYq@h zXF*CaaQFvkLEL|>mVo<P>qvILgFU+Y1IiKM|G*yXegg-b?hmTN9{#H!r2yFd4Tcc+ zixG1F(OQz-pWuY<{t0CW_cJ(y-5=nL)BTfbvAh2jq!a+Ve}e_Y{b4l(!k?9N_cyqr zyZ=Hd!u<+vVD}fe;dK9{8tm@ZLUR8Ddx-m|RTFT(YYoZazrX|C{Q^ktckl$ee}X4Y z_Y2{0e-@+^1BbtWJH-9hstCBhwVGu2AMi$Ze?SQ${1be@?%&{p)BQo!*u#Gnq!a+V z-@y;!elbGsKUzhy`ycqByMID4!u<{YVE13}$Lan_RoLDC3Q`Jy-Cqz2aer7Pf$(P~ z-Te$f=<dHzgmC|YAh7#C1mSf5rAqAX*Ftjtf*6SVr&SPeziTDQ;ja*i?tTFz_a6ua zyI&v-r~8F)xIYV0ih;xbLNdht*UAaFzqNv7_d7(OyFZ{15&jP%!0tDQ#OeN^3hd#( z3Q`Jy-OrE>alaTL_a7}M+5HL8=<c6TfN(!U4A}hvF*w~nsT{leUqMO%u=@>)Anp$< zBM|<qq`SW%4&D71@)7P=hzGmBARedtFO^|;zZR1F6DlF@pH@o1{jOyshyQ{^boUD& zx!)lP?EVQ!INdLV!~I#1QVbma6B;1yzg9xP{jH@WyZ=B6y88q25aFMY3U>d7RGjV) zD#afDt01KS*!>6EA?_C=<o=^2B)k7XI=cHO<RaYPkO6l8g$$hTpHza~{jVUU0NDK> z`XKHPD<%;Btfaf2AsgNO7jh8pUyu!U|A%ax?!Q!w-Thif?pK%wasRX;0`7M$COP~S z^3dHcfaLxId0_Vo<l}U|5DxceK}s=j_y^2|xc^!q0r$5Sk?eklLUi{BWFx}=K_S@v z21PjCA5?@r{8vFr0kHcUmO$JuM#%j~3rTi=LJ7M2CuAYq&rk|>e?Tct_fIOs?*3Ph zQUL7!4XYvU4=W%L{;Z_Czo8u6{TDJ3?pLS)yT70Ur~5AzV0XV3lKUTQg1CQLJ^}Z; z7LXkN3#!oFFM#BJhib6<CsgBfzYq@hXF*CaaQF-Cg1G-$9s&2a=9BFH1GVVx56D1- ze?lGD{Tu3Vx<4o%d-$({lmcM)I~;_#UyP9ZkLHo={s#@{?w^p3aDPK1*!>q8ak_s} z9(MP?f|LSa_ZOUixIZkHK=`wg?tX?AboXCKL%4rI3)uZ1T5!7mQZ9D)YazLR!Fh=L zr{xfEziTdz@DKKAek0(~`MF{Lbyfxjh7v)K{pJh|3``6R42Iuc{7e1tzq`AKk%7UZ zySagpfuZx%!C&$RUr95b>b!RFAM3$q%#Dv8FfcG^UhEbz=yow+x%}$;rOPik4>dnv z>~vA#X@11m8KT0`IeCKs14C!?76AqZkKWw^Yzzz@jfZClFfjP^x~Om%UNSuC(RuHM zd>Yu50?~&jz<^^I$b}ZDF0@0qFb3p8ZyS$pX9G;Pc^r39(O_U;VCc3nfVuPX`_38_ z4X{&RG(TqqaUgEh>F!>`0QTqt25cS$8K!{EFa>186d;DFbay|27<L1vVG`I3lR!31 z0%Dj<w|9w0w{r$|4+>y2MgZ9u0f;do-Q6;fm=wSolOPXrU^9#Z*)R@>VLU#)Au1X^ zy)h~ZKD{L>1|GdWDjcB56!7WwQIXgON=&;z#14>&KAqnkgB*ijoXdhlaJP$!L1%M< zAOl0Ui;78SbBZ81T{J+_g@+&mgW;u}ET91Fyyx5b;RRa?Sf53-V;mxBfRl)0I3$ID z(y(XeKhOR1plM^@2C(+~FRD^OdUq@EF)%Rjx13^NU^v!X0pd6|?1Q9vZqMF&M#FC} z1d>6i{S7NP5j<eUl?aFrBahzQE4UaKj<cwM^mz1^K$5!S4t@p(23NzAFXp9w_>bZY zCc|$pI+H&9_vqdIfD7b~%^MH~fU*ffZxXUzF2ip=o&R6>C4Kns+3lv`(R!dnz@yv2 z0mNWG?jQlm&k%1jdi1jX{`ddCM`trANIaU42t+%^ImSc76_ndRVTn{8EQN-s;kOs> z5<mR+=<a^O#lX<b*zL*k{Q~ES=7)^U4;bNz$D<qU<Zdy=L!g|`?Z?vTqry|7&Up&N zg~`^jaN8*!RQw5&>-J;e=2JYR2v*STqQcSHEFc6<89bfMB0}Jl5x@;DF@6Z5l^Ab9 z4gz@r>ih|y^xzl*@`Z#)=Vx$=SPymozKzfnk&z5e5i3B6fWO6y5tJerKv9Ac6~92` zU&4p~AfJKrm`8W>1a1a~ZjNpTmhTsvAMke``hH3A)VD+Yr6R2dj=QLUvK2!K$8i@G z5k>}(Ll<{|)VrvNe814@q9Wkf)dos%{4JV{3=Ay?N<iuEx8b)JGeEZV?v~(2N-CzC zQ$d-)@FXI_HzG$kNbeuRZ!coNdQD4H85njkgDmZQ{^CUfQmTTc3=@#L?&b)PgFINi zUu@+l34wbTszv~$2ILP=mi6eK4D#)c|NsC0Z?0lt*a>2kvUM~4KVW#W(?vy~(?>-F zl%xgV_7))9%jemA{3j$;?uf^mDnUUHN|oi1R0)a}kLDv1(T*|TbO{Zp|AyaQ<i~?^ z25LZ6rJx5?M+(CK{H=ff{r~@x@&Et-;PN6EwI%_TPN2MD`0a&I{D=QO-OU#`85kV9 z89JK}2!R+5ES=3qAZhRbBn_?*0@=4y9GsZ$MZe67{{S%>oB2DTY0vQ6i%oH0^EtRc z#xis^7YKtGAoELv!D;*l#QX?hRP(ve%*Uv&cOmTXivyKle;}p&2P%~Eox2r8Koz%& z2-t}Wc);0^Lj;t%kt*)AI8cr{A`pETl=INakKG9Ot&0V@&x8q7vNdZkQC`A!?!Ewa z-xU=1?SQ#&7bqV>+7^dnVNL|c6O6#(-aQES{)+**HwWV01gf~VK@=38plz!jy}O~| z2|9!URCjXh0;ffATS%B__wGfw*AML8B@p+{po)7z6WpE6CSu6(FChkve{j}^gl}>T zvEjQ9;of=Cpzyr|aqk7Hxc7k=D14uwxc3Cyy`VM)#J!uNiFNOOgnQpbf!r&?3{Jls z%+yG~6U0I8og$7Lz7^uI@CCJ?Ans)*+P$|B?zM{o)z{4#?BHrEfaTyzrp^loUobU4 zU~Ij_?{cW~Q1d6o)>9>79*}~so1@c51ysL*+U~D)S`U<@cyvz&6^TCG%@&}{-&>=? zu@BU8-3cNb!Q=~dP_hG8+zB$UiaSLH?4t-yaEaj|1ND*NC0NP%!Vu&*aNX?zG5mGI zgb7&k<86e0@*;`%52&EU?jMkhPj_<xPXC01k|o$b0<tjwh{%HdGXdhCA6Wd82yz_E zKOn<F{sFZ|V15F(Mjk_bvu_i)0=fU<7C0Do8-N-h{4Jc|)<_44^FkmNQICNe3!p}7 zb_6&G7J#A@QjznwTw!2ffLG?AmI0_4=>%4|`vyBGv4Z2!@Eat$Uc`L(-+G{w7ZmC2 z3=GW`DGViA9=*FSfR%0rMK`p`3XLs98$=h~Nz8`dUfc)=Icc{52iPW1=)!EOL)gT@ zfn*bi<I%ktq!gD;hhvaD4{f02hvRKXfI<z_kk|uhNPt2Vy?Hyq<9OQvSq27Bz2MQi z&x03~I*+Z81*J|_hZs=JYk2bI^l*5U?s2@WK#qX{ln*_6_X$AMN63NIH^hR}?*uiw zUZy~`cpPt2kO#G&K&fTl4NwwmJjNjpR(~NHq~7r4OLM5=2@@dg)lkQfV9(C4o}K?a z_c_4Q$7XPA?){5h5ukv8cF(~9@%=?w7$_iGcd#)qbbACe*D!K0lvsIo?>zwOK=q2c zgDm>CQ;dOu;l=MLP-K?`d3NswDfj3#)db02g2?8^e)#{I-J_RPB$|Q2v%42$z%B-c z1OK}X6|e38|KFaWTSD<S=jTpIsHAcri*f}E=k?A?7Dregf!njUF52+hiwmJ2{(JWB z1$B8mdQA^SfqeVobvTmqK`LVmzr9!nQ3>ke`1GpI0IT%reD-1=vSL2Z=3{A~7UcIA zWgzw4t>ES~%)i#2-FrbTRF7WKaF7Atz#YaH+z|izd3NswDfj3#wFJpRx|1(zV?cu{ zM?AY*L0Y>xnjd~}>=sdJe#Fmts#5?a?7;#S4^d%(NCdEWHXfb8&j4C$25OeZf*PhT z6=Od9pU~Ymg_nWBr@O6#7o|41aPSA~!3WIEj~-}VbnLcaaP1CZV7dI>@$#$YpVD>8 zy#XAK-68^xJ3%7~j+!T3I!|7H#Cg5>0FPrg3&Z6Hj+}>@pUXQoKa%g<cR`+k!KHKB z6?t%y+5t&|JLEy33-<EM6%p{{3QFGrT=4Ym0BQ*~9<$&ADK<R$vJOclqy>c59=2d$ zU;w3O!*4H`Lcr}+P^}DZ4>NGX+N&&}hH7uMhDYOJP)`ulE%oTU7yU9J<ime7^DGhO zZ3+gP2dXu}=52tRw*_Ke0%%Bx8{$&1c><W`Ss~0zf|%#P400AjXLAA%hyiL(r+{)@ z@9qnr0=Dt62M>~wdxH@{1r7i-_gW*&XM&ht0W%+z_Z_=I=8J%$pm#S1IB*aDKsG-X z-F#5_?-&vs0Pc+b-?s(irq25>o`M3mck>QViQByU0XwJw?`jA24oiw!4tVYXjc|eD zp@he?w?+k2$QB2I`#vW?ZKYn*)NqieUi5_^WiCF?<|8bi{PO&T8%S~EVGB@0rPtH~ ztk|RT{);$}wVmHRnvZaR(s7(){LARb5C2hY1Q#*SUoeA==-myqj}>gh3pr%_z)k~I zNjCyN{P%#{b}J0zG>~l{g3(;Z1aaMpzz_dF@e8nS0QcrudH(<ZzYkO(gInu9o!@;r zKO(!(5;@VLh38gicuoaHR_|sDP!-g?I{`G@;s^~-mzD!1q8|I*pfT&wd>m9eszBTf zO6(rJrebh6+kgy04Iz;Ipb&zYPy!91hXG)3LydSG3iI~QAS7?|dj3BG@%5&F5C467 zS@Zt?|IZ(O0H23F5Y+>uen6z3ZBYL%4FVaqc?Zb9-OUd`RO^8fpZ^cwg|eu}ejkLF zSq#6uFod|b0Fo$_;qLVWnT6_QH&8{p2c{oxCe-6E{J|cF8gwfJ=5Yq>9^dH?iSCb} z0<@PE)Wvxn?}=$TI6Z;lrUS+NF1Yy%0+9k1l-ijgAsYd;09-=%vi|&sKRvw+MJfZ( z^1lc)$Ux<vKe)W|U<4H_pz#1+5CfF|k3jN&1tkBk;05^~+(?gp8S9T^HmHCBrB{$0 z;JRH8YWlwI&}h6DfaD`^=zf3E<p*j_HQ!)GuG>AjcY_8bJ$gm6gFz$nJ3;y7g?uo$ zZg=$P-VIXj(QE1plD!0xofrzO+bx1Y&Hv_yj2_*SL8f$abvv+t#_YPg!CXhwsvMN1 zSs{)94b&qV7#`ibB_IuqXF&)@%nE`#0;Jrd*YpHP7U~Edm?Jg^AshiR1<4U$E}A1i z>7c<E91<QVtsIZ;-2o6sB!aB@2Jx#X!Vw_l9=)c{AX%s*+C!irp@-xMkSR!x0CUkC z0V;QY`+yw*8k$B4i4KS(?gS!2VnQH1BtXhNdQJC%WTB1#)u-U5*2+LcNPtX1as-%* z<_K29Z!gLqjsP{_P#ghju6gu|#)GVZh6Fdl5g_Fry{3*JS*RmwgP|dzgX9R1DM*e0 zbI}~ZX87&JM{jUQd_a$mACQo^6@Undwg7lYfRuamn(hI~LLKoV2<nLC0f>+QnS$g9 zFc-}c?1tZ7<Ukyu0q*|5TD~6LyA41!X|HG~$Qo!!Fd`fQQtr`fY7UZxIs#Omf}=wT z$q^t^kQ@Q#A~^!oBIN*;Ip1GA@d5`%0=hduU2TtE(X;-Dz^L?x2L?#FN3ZF6kSx?4 zuLGfhG0Ptj7$8%S+yUk~vViJXQ1`R*K6u3bC8#|7%rEyV*rW6J&TlNB=Aq~w9wr8l zUemV$3=A&}K;>xf?ubL;3@^5T@>1{a2_W_g-w*$z4})}iG{52S=r!FBQhf=eqWK61 zSOR3ENALC(7sMGndiP5RN-|_IW-&T~hBFx)L4&3YU?ynjk>LfX{PpPF`~a+Y_X7dE zinT$GYCfXi(Yv|hBFOaJAN25Q_ysD8dN&_{C~m;7_$){<#PlB!4Lk5_m;=(#yZOac zuu}{miX|MugA`DYf<}5F9?b_ShM2B!4XhyozXm&yhThEqH^7P;?7<$zWx60pF~sx^ zh=vaw>Y#APrQrc6#(FoO;E)7Os@~uSo6h056Wp4FL=uPzar<VFVu<MsoL~(M_#>(b zq@j1S1w^q0e#N06#SqgwLcyjNID(xc;J6bsmH`b)5EJ4Y6_AGB%^6`}#T_1C#kdmK zcTn*MF?~e{Si=GQ8jgW9^lr9*DE@(8@l23ni0Kj#w;OokbxsCIL+|Dr5VvRGS8NSZ z3^Dx&#PkLDHE@A6^lttkrw)qM2VCGJfGZg9f{H_k={MxT8h!|ZHQ)-$H6RVWn<XHo z8wlZ5Tn|zVF@1$B*z^qi8vH;SdN+4KOkaRsu_8z@#B>h@u<1AOYxn>vb9*;uKs+iS zjMwdlL5iD?C_oB2NO@*@&6|PY#Y#{Bciw*?^85dPa4vbl?gJ|jLqTJ89G$;CI=_Mn zxCU@y1=SorpwiXW+=qeTg{Rwx{~n$1I`6;W2iehUy8>kDWRPgD?GX^W-0K6><X+bg z|3ibb7y^)sPLE#RjQ{`td-RG{`~p#?=3vTp14!>}SMa#B!u}M{=uNL1%%ozFU7&tj zEVzIMm)xMz8Zy>2N{)uWXb6mk0KpJo1TXDkV9<Hp5^3-G?mtumXw;d3f#HKags%eS zD?sT7P;m(eKkEmCW?+HRkr3LU-{H^7kC%Lt0_;z{X`gtO<sC#{$6<&(!%PT$pb0|P z9EH$R4nk;#2hI@te?asxBtXRjptKK^egl<%0i~Zn=^Ie`0+c=gr9C0~8p<Fv!v=`B zLIBLYpgBH<1zA5F+)DG3OEUBG6mk<Q6jJk2Qd1PlGfOh^OG^|I6^c?zN{jLoic1np zQgc)DO1Kyh$}{tbP|m<$FatCy&%khEHiUk#3PM+`h0qFrA@q*_5c<R{2>oCsgr2bm zLM!}%&^P`;=pCye^be?h4ybyM-w^Qyb0BoZTnG&bXUIS?G8;6m0ONyb7uP6H5bf(3 z5&)w8K?D*eB=6zw>4BsigBk7*8fC%|W^gSk$}h4~hz`xmEY8eTP%YLqG%$=+aB}o> z3HNjf@lZ%CDJjZKDlJJ>$ShVUPAyR=$yZP<)>BaMNlj18Q7B8yDNQX_NXsu$D9Oky zMpB+wl&at#5aQ|U8RhDtqu}A`?xB!hq~PNpuBYIcS6q^qmz-*)pqh)-2}ZFB&OY95 zPX3`G@xGxxA@OcVCL=i{jYx+W=@}RpfShh-0%8&20%If>1b8?GyHe%=U1Ku?kj)@B zK$&QcU|?_!_HhKo5NH4tM7xFtg@9-n#*oJncP2>A4h?pVck}dfjSmRM?s#IOF(fF| z6%==Fjy}PzXwJr#fK0)T@boi`4{#0g^moB-JF#&G4>v;tFp~%em_Z$2G*AvOhdLnM zEyy)6-q$gLvLLX4_#BjY3=Pc8KnaP6P_RICgr^^6jxYp=KEw?~y1)|b0{_qugLoIu zFi#g(O7pQH$YQV)j4VJ*!l7VjfaHeIkjQxFNM|1kGczb43_-3i(6h9(B*G1b2shBB zEWwf~4IxD;I4<Z?FhC|aL1h;<*FcI_|IiRaXv)BzHdBZ!-@&nAXk<Y|TtG@lh|L3) z9v}rI*bM`g9w22T*bQ__4@RKG09uiaS#KFa3QYgd5F>i02S_Oiv3a1<1H7CxiXW)- zU<5BGjpFH)9(Zu42P1fiX-wzzU<5BGjpJPgC_Na#OGo2@OAqjJ(wIK!0ZT1m1TQB| z;+-9XogH0pRNTZiWWfzsP)ionq9t5c7{SX(6MCmYczI|taH$YpGMWrrDukDeCIglV zjo~GuDV<Y+F}xHs9k5hj3@;B&2P_pB!^=a{flCGO^3aUlsQ_LInhjhkfR}`31D6Wm zWun=@r2<Hq7~tkYrJlWsDX23E9-1<RFbP*n#*ji0;RqV{>|K2P!DEY2(DoWS-_J81 zJY*H>1X1AQ=obWHxrRizf@lQa4?M<+rKUFlm9hT50j_?*jv=1@I7TXnt>&XV{C!+> z6r4ic;ywLz6kH=hT!Z`^eLxkzryqn(IFwBcV-;LH!<>D*shHml%!shqJXRsd)h*u3 zGbF?{$PjzSnB>7TB8MtWERZcXqO;|eu?oS#@vam`KfYETC_REOY`i=^$Q3-s>gNib z5&=nqRt-YO({ag%`}@R$lm@wm_<?9N?F?}9{ldXR`7R(uNEoKyFWetR3QOKFH2{Z- zuRo=&UuRcP;*ST7#Oo+PIX?d3ItniS;eKFYP!0ieFh}Vb7#IZ9As)cyMnjkz@s79> zJDg(z9jyn^2845rsZp##aEN12NN7NOxT9wX_Ebx3=>h5+fC2)XjEJz@I94Id*&kF+ zP-n2$&<JU?*9^iY!WkxL&Y-kXfZ7Zi=7ciQ9N`k=tN>053RVg(u705Tp^VJ*4Deh~ zZhld!LP<tqo`Ru*i?fe6LMv#-2SsPND+xNGv#JaXC`LdmPt8kA%1KR8NJ>ou+3phL z5(%A2EGB3@d3saR5=(PRAoG+8nRyB>K>-SG!M>=rfrAKjhAmbhI5W32C$S{INTDdT zI6tQpJQa$V*Gh!Ut0|-xr6!i7f~MBMAz4tYr@#=Bk*ZLPO?z%>afw1wDr}O@u%H-} zii>j-b8=FPP~D^uo>-KZnU{_{?`LcpYlSiCmRYQjmtO)i5;QXlcWr)}f}Wv~se*=T znx;ZxUJ57{lTty37Uh?MCv;Qt%kx0V2c!bUegy`Y15m=n*o@jPC@mn#eshTZ;4yOe zd<A`jz=G;dz!?Uh^8mmFI%uZ=hymKP3!*_AVn8%#GaiWM1`!Ml3@~}nVn>h=Or96S zVPIfjgVKCZ8m11kO9Uju2_hI67`UJ`%v_i_Y&;NV9?TrjYDSP2@aYf?3=D!G4g&*& z5R?{%(x6QRAf_mYU|?W?&A@{emV$&pJ840*B#2;OV32~+(ok9kO3OlNIVcU?XUG8B zLk1F31Q84j3`$U18A_`_X;mn#2Bo3ZCIk409MB;?P;o6N4GSM_C|?Ik>q2SJb_Nhr zA4D)PFc?5-LnsX!S2u?8O`x<Xl!nEN8I%t?cnHJ<Z9)Ulpv_|-8no#PM1wYGfoK~L z!N9;^3#CDur9ezr{6Q9XFfc$Ddoa9!CY+a08WvBm_<_X-Ec{{N4GZ5Es6I<5{SKNi z_dw|`C|wAp?V+?9l;(xfU!aRCZbRuqP<kblo&crGp>!OSc7@V9P+AyD{{nd$4ZFH{ zhJl1UUE;k#w2yNbxNn2fm;*^bv0HoycmYPJZ#=lY8S3u@?lXD&ptd`q${AdJoFhSO z=lDp7dY5=`^o4}_L+t~}A}|*9;ABFAdT??fK|MJ6k)R%&Oi54=PR=B#2Pb=6>S1XU zl(aw?6ty4>O2Z%wDyu*kRMvnnC{2R!f;NyUL^#7(ATwZW5RF;Oo5U)3<`tBdC?x0P zCub`pC*~>S=jBv_Yv}UKlH?4~lBbN+JcXd(5L-h%P}S?{7wqbzkXWKnl9`*Tpjx7k zoROH9j;s!;F$`)*p{^^!?heyf1yGX#v5v>t#~W#B2BOiSnx>Ff46eyRtFZFZ6iPBu z6%uoD^2<|G6pBC&QGh4`tpEb61uX|ks)V>4Iv(Qbr|;_+fl}LJ8QC|(>L&PVjRA3! zImAuLi8;xjrdetVs8z3!R+L&;nwpnfX{(y1;G0pUrw{^K=9F5IoSK?a3=X1#)S}$X zk`i<c&>+iC1BIGzMir<f0x<*JoJy($nUCl-zyb~wS7FZn2#Z1ODkMjNR>^^y*r4{K z703uAm9`3?xIkGorLUlYI*6eKQsU_c3u{e1g@ByY#Nt$i#FQ*h0}>qRur*)BAV-5l z@cNNZY+H<|kcBOJqJGHUfi<{58J&TF0osU1X+s+t#)1kIa5fGI4{`|yjitcL6Id4^ zvA8(32$W(IOB6t{Ujj-|3Wj>7pb|hMF}b8PF-JjF&lr@;H5E|eR{^`VMo?=JWfxg? zp;(38CgWHIDtQdmGRzX(&?FY6V~Z%5^%VS4LB(=nCM4v*ea+&`^t{9zSobq8wW36! zC^a!fzq}~3Bvm25AhjqF+|4V=D9SHQ&j9rnQCxr{WKClg98*$?Qj3cfTpV3of^1cj zKt)1nUU6wbK|U-Np*04YfeZ|=HZ!cPjNV4Z;%m?dU~mY?j-32*q}oUioUrmh9xurU zRb$}ZemSTL1J_fau3tf7QDSaMY7wYp#O_P%k!lI6EWwqNkwQUgQD%M$I2EH+T(E*m z0kpmp+&4|kO-1uIwu}K<-vFtP!B!aJu>zKZ@mT?DBc6sft`)$efY6M|z`zg^>JJ_b zcf-}dgDM4wzXD1YHipbGpcLa7iNy*@si}Di1x5MkMTxn&sVNFb=?GLztEMTGXJjU4 zfFdrx3_NI%ic$xGt8LVfLQ2t4_rNHFkWlcrB?0F{>UK2u!{=#`R{c@#ffTSOQ;76{ zA(8Hf`PYa<^~NNsHz85IDT(UMNL26b;|%S;z(N2!jV*nGiba%(5voNlN@)XIBo1om zV$IQpD20Y0DB%~E<QEjArl6P;lnQF6W)>@ensS*%pmG~jW@REZ&LJBPP=^Vz7ssIG zK}f}j5!EdP2RKT309p+c5DXdPOiV7xEK5~D9$pOycJ}dxmuB$#S5E<~2VM(9G=kRY zgL@(Qd8rD>I#II~)<W9IBo@|IEQeKm0l_Z*ey$48st-||z-mEAqY_jzfLf6O8K8z{ za7JcY37UNj9uB|%uX6bPKg99({|8RL|MNKi{x9nM`~QCD-~U&+{Qe)}`ul&a>+k<^ z^M3#TG4J>PsCmEt_s{$Nf7!g>|FQSbF#ATZ6pl49LAyz5si`SRiOJatDXBS$l}PCb z6w%=BkDh{O35WwPV{;QLGIL9Fp+mMXHP9Un3aYu_VIx?75jsQxI?M#@9N3r|te*+3 zP8eWgRj@HC*w_)Q2?!f=fsLiO1_k*CSt+EHmQ=<kS0?9xwpM_LWHa;15_2*^n;hZe zW)5`_Q=obZz_F5_rl6skq6zXDs8&r%Ehz`pH@e1V2B66p&;T!li4t=R9AIA{2$<P0 z5!hH5wlD`RtWhln*$B#@;OYrn<3mSWGxNaRD~ui}SRslluxC}!>KQ!7C+5LBx8O}I zdJ2xvzAl(s466OD7*x|3;Ja18afz8fK`UfHy*5W!uSo&bR$?c;RI%1@SZi2gNRJAa zjl@n4tEPeL)HJlfWMCA9CND84Ee@q2`_W)&mO)q&EDlLcpfgvrz<dT~O)w1_PXVU` z#!p~AL;X((&HM{WL-)NgDna<1iV*slDwI|O)1dJfusO`?U_Pu(%fKiA<})y8fN5A8 zm*JT*n9uMGy1$N*3&LmRhR}>W5So=2LNoJ0X?_T;C<CDxWWh9SoRYy&4$Nm@kcZHW zpCL5E7YNM{849gsU;^_Q6(J+qu<_Ibv0z^?=<4c%hjw8!NYo(|lG8sx>40zupCJN5 zZ-CMXkr2K>6oftir3<1Ve1#YYeE~{0#6tK6aS-|el%5a|;X5Qi=zt^$osj~eB~l^u z2`F8W2H|U@L+Be&x+4R^x5$LhFQD{{EC@g0EF|<IK11jNLCEO3f)Iq3uz=7Wdmy93 z6-OYn#xV%(aS}qWxB{U$?n3Av_aJn}LkPX$J%pC{2%$N?Kxl>^5ZXTgJbw<Uy1@mX zf)#jN3?dP2Y-JFupqd2A4=%w0CKj%S3YkbncxJHzv>*jl3DBOgo&o~{=(J`qW@ljd z&yJXrfEWuM0fZKQs5TlBZ6mmgRay+HMd6*HVg=A5ZP3;@1zQCW<r&};iQ+csunwqb zg)1$|S4hn)$w)0y@B>xb`9%sYk$#T8p3dMY22g$l)uk{Dnqw`8P83=xI6DRfMS@o0 zdip6K4`!AYr>1}=KS33Ia%oXfYF>!~)*yroC>9i@mSyIb79-RYgBmYs`9-;44=R+D z=PN+kW{xf{pc%~IP^XX}N9Pbk7g`4-jMctkh2;Faw9NEUP%{r&f%rN)E2LJGq~@h4 z<QL@Tr=%*Ft0x&67#M=<wG!AkhaPxN8O^_t5n04|q=BIUc%P~QG=4#$TavGkTbfgn zS&);N4C&!(R8&+L7#bLALPrZh`y%rcGIMgkTPDFy0M)0tiIob)8TsW3DXC?d5S_4U z7dpWU+8|q$T3nh_0vVXiNGwVz2X%atQZo|EGV_b{5W$F)S`#VqRFJDXc!aVfU%>$C zf#k%};?!b=(!A_E(3~!;f=mO=O&5Wi*ZIlGrA43yG`MY4T$-MqS_~RsD#=$UEe34_ z1!X^w$+ilH3g85toLHQkm;#FPoW!DZ(D-9YD#!$EX$RRu3=FH785kOv5%W&q4YLdk z4B$m)3=9krpaPYFfdRH&Ee6U@fYM1&8r&#iU|=YK@{6E!DU>dU(iKp;3QE^N=>{l0 z0ZLDW($k^z3@AMlO3#MUE1>jBD7_j=uY=MDpfu=UMG*5Uh+tq~xDKU3S6zUZH$emg z0|V&bUJw&>K?sO`2qG957(hqhf|#HiGC=eP5W&E}@D)mbhteOR^k*pj14{pd(!ZfJ z_%v70v8kW}0<<0oN((`02`DWErDdVCJe1ae(z;MuA4-Ex&jeZF1my=n=?Ewt3#H?s zbOMx4g3_r_Ivq+EK<QE_4V&i!9V7>`rxq$+52ZmDu7Jdwp!^mn4Vx#N1m#bK($k^z z3@AMdO3#7PE1>i`D187*!{#B6K=~J-^i?Q*9ZKJT(hs2YBPb0zXddLwA5gv%v@_8F zr6)k?sZe@4lm;D84$?Oh%AXCTS3v2NP<l0#UI(QQKxxp?q#*rQq5SJm`UaG~38imC z=?75yA(Va$r9VLFuTc6sl>P{%KSSvsQ2Hm7{tcyJohAWjXG#J}%R*^+D6Ijdb)mFA zlm;DP3i3w)lpg`5W1)0Blum%ssZcr{N`nq!1nDn@^2?z#=;%_AcrBD)52aD-Pf!;D zUVTGqQ*iA9Dirhcu$JS9dPfyB?gcJd;e!|@`3f0{WvOT;A+7%bwPkV>OOi97l~s_d zyAG(L&M!&<jSl83q~;ZuLY8TjfO}d<l@6$m%26oK%*j!J7y+tnF=`)>X^A;G3ZN1` zIk7mkSdRf(FGAW`*xEh#8(GlS39PLJK2-qOiy+;gF^rOo#1e(#g4E>9w9I6v|3Do{ zm`0=u12SO;tJgtwZc?g3N@*%6coG$IGm9Y}gf<o+?gzyQsD=i&dEl{xh`r*}l9J54 zba;JNl$xBMn+rL{0_-%r#^jVIR)U6eVYMtMY>N|fQz0V=dJ2>VA}kO<0p;WG?&+)m zs(e9b03g~rpf)Qg&Hjg;Oafjkz`#I})rdfc4YeVk2N3`YRA_t25ZvAb*^do_iZ>7j z$$@AP2C+eSRThZE9NXC-2N@wrkcZF*6d-hgB7{~@g3uSBbb~U4ZveTtis1p2o}da5 zcTj`SAE5LCbqGH|141)sLg)=p`hYfse?u2Scj!TA3w;Rv0!q&?fbcyGA@mO@y}}5> zk1&SN93~L@!ZgS#p%Z%`^n+Uvdcti8-N6W1HPpceS>?1t3_@o}K<Ex>2>n70LVM^# zXbVFKy}}qme{h7*5zY|W!wo_^ctL1bAHSkFGgmJqvq(R&G$k`XpeR2%9^4ky2TK&C z=Hw@XBM8jJsjj%Jq_Q9tq!7Ql<f5|Tl+xS+1_u1<a#M2|K<Ag_<}&0KGkjxVU|^JH zwr0^}V322JU|8_u{eKHh28INN5C0Q1LG8T{{}n)d#t;81G#MBKI6nNJ0iroS{9gm2 zxjy_q0HV1+{J)~fz;J>4!~YkW3=9EMAO3S_F)#>7fA}w>#lSE@=EHv*Ed~Yy*$@8% zv=|sJ$bR^r0-`lP{BHr#rXT(<(PCiOVD;hu5s-TO5C5Nl_}(A>vuHCgI3#}fZ=%h> z@F3~K{{U?UhK7_6|8uk%7!=Yz{O{0aU^tNe;r|kC28M*p5C0E<qB;A+|2x_Y3=48T z{Qm*s7kv0Hp~JwCQ2gP)1!&P&>4*OzIt&a8%0B!r&|zShQ1Rh^j}8MvL)C}>D?t3} z5C4zoFfbU@e)#`Dhk@Zj-G~2wbQl;48b17&&}Co{X!`KqM3;eKL-U9K0lEwf0j(eY z=jbvpd}#adzeAUSVM51;|4Vck7!<lb{6C<}z>v`W;r|_728IPaAO8Q)WngIN{qSEz zkAWef@56rsJq8Ac{ty3s^cWZdCVu#z0pd^k@V`Zmfk9#NhyM#eCBT#q|M%!IFg%#@ z;r|Ui28IJuKm7lq$H1^)+K2xF`V0&W(?9&z(Pv;tnDODihdu*?!^{u=Q}h`a6lQ(+ z-=NRHU@-f`|2g^$3;}aK{NJI^!0=)2hyPdf85jiSfB63av{-JzhyOeV3=AI@eE6?n zz`*ce;fMb&1`G@r7Jc}iV8Fnju=K<K8UqG~f@L56&oE$MII#S~|1BVOD?a?c04fky zefa;zfPo=k^@sl)h71e~)_nM{V#vVoVeN<i4u%X23F|)mk1%9lxUlZS{{lk>hJf`S z{&#@*>p%Qo0OD`>@P7x0zv09G3n2c+5C30)_!~d`XE0)5xUloXe+453h6e{f{C6;7 zVEAzI!~X=(Dyd5!{x=vgFbLfG@PC031B1e&5C0DsF)$ds`tbjO5d*`7k01Us7&9<@ z`0?St0*Ge#_}>9UbA0@t0HOsx{%-)$5+DCB0MQB`{~rL+8Xx~Z0MP~?|1+2{FnqB1 z_+J4;JAC}_0HQrU{!akW0U!T2far*i{}+JhgpdCZfar{m{~v(pf{*_hOc@wHRDAre zV9LM{(D3oUgDC^UhW3yD6HFNxCiH*&-(bqXP%!=D{{^ND3>W5o{C~idfx%$e$NvvN z@@qf-XE0-6P}utMzXE7g+uo1=9n2UQ9vu1jKf#QF!Qt4)|21X|3?Ghv{6E8tfnma{ zPyctAF)%dne*S+0q>lgd{~u-y3;{yl{(G1+Ff=HA`=4UYz~G?#?SG9q1H%RNZ~y0* zGcXirefz)1oPj|>=iC1qAb!KQ{{j{a3=5jR{nr64er)~r-^T)!uD|`yu>hs(Z~r?i z7#I#r`1XH=1p`CDq;LO^fW)VK`~Sd#fk9x+xBm>53=9hEzWtZ6WMEjZ==*;gO9lpm zuiyX2fM~`a|7$E67!Gj#_&>*zfx&_2$NwFc3=9v%e*C{-$-qz`@#Fs&O9qAul0W_n zSTQgN$o%-PW5vL*LH@^oA1eli0Hq)QGpraG8jODY@33NExZv{R{|XT8_T&E%D+Y!S z9zXs+0LlCR_|IU?z)+C(<G+G61H%K=pZ^1_85k7QfBw(0W?(p=_49v^H3LI}-p~JQ ztQi<SnEw2K1|)9&^ZyHL28IciKmW7XFfcUO{rs<B!@%&s`R9KJ8wLge_n-e`Y#0~} ze186~v0-3P2>tngjtv9DgovO2cYyd&KmT8`VPFV|{rUfc4Fki6%%A@SY#A6HwEp~W zV9US|u=3~s01$t}&;JFs3=9REe*W(P@pt_Ezs8n<!Qt%B|7SppNw5C={{kd_<L7@C z(4x{iKmV)PF)%3H|M}m=j)B49(a--Wb_@&&Pk;Vzv14Fpc=7Z95<3Ql1+RbpKLMiO z{QUm`M8Ey{U&5Y&A>rfC|33B%3>Uus{6E8<fx+R|&;Ov4$~OG_`QN~SfkA=!*Z&&O zh%Cpi|0f(67z%iP{TFd$V0a+#>wkhH14Dr5um5Wt85jh_e*Hh<$iQ$x?AQN0jtmSF z#DD$&0+N^b^`FOyfk8m>*MAi!Q2hP+Z{x(kFhT0q{}3kzh5+eb|8txe7#d`L{ht9+ zC->|B5hn(Q1@gcCe{f=8Fi`yUU&fh%;egVw{~pc^3?Gz#{V#E5U{Fx~^?!jg14Dq? zum5M985jige*G74VPLpm`s;s)3j@Ogi(mgIxG*q$u>SS`3}|7g{jdKVt_%zUPQU)U zxH2#(xc>Uz;L5;Y;PLDK9uV#M>;DrF?e*(Fj~fGnf%mWfCLr49*Z&wd28Ihhzy7zl zF)$SP{`$Yhje$YH@7Mn;Alm=e|34sk|6l)A+!+`W0)GAXac5vq2>kWG#GQfRLC~-N zE8H0v3W9(AzW|aC{q^6$gMr~d*suR79t;cu5x@R-crY-0i1_t?g9ih{g~(t3Z+I{; zIK=$=&*RC!U=aW7zk??O!-u3_|9d<^_1v%jJ3JW}CZzrP|HPAl;X>N4|35q#7z%QK z{kQRAU{I*|^}oZ5fx%$Hum3l^7#J2z`SoALn}OlL%wPWtycrl4%=z_yi#G$qhWWq# zGx#ttY*_Q_zmE?CgTwY;|7Z9xFa+%U_5X<v14F^_U;k5l85k7K|N4K&mw{ozm0$l$ z{1_M(eE#)+k3R#00@LsR69O0*0$6_kmk4BFFkt)re?}k!!v?nB|2G6OFg#%U{r^ZH z1A_qj@BcRf85l0G|Nd_g#K16t<M;m=K@1E5oWKA70MT5(|3?HfFa&V_{=Wl6^ZfoV z5yHUW!2A1uMF;~!0pIWcM?x4F68L}r{{a#g`2Alelz~A(@b~`&5H0lk|CCS$1_$Ba z|IdUnFl-S1{r^QM1A~Fc@Bb`e3=9IIzyDi+X6wa%|IZ0yVE7>R`~Q+K28IcezyJRL ziA(+dFA@%FpZxxB5YE7`K<f8@pKt~S2kGDc8^ReFCP@GOKPQ}lK|%KS|1aST3<u<X z|JR9NU`WvZ{l6xHfx*D^_x~3W3=9)YfB$ER1eF)R|0_f?Fc{eV{+|=cz%aq?_y3Ma z28IWAzyB|ZWMB|*`2GJuBm;wj)9?Q>Q49<Q0l)ueL@_WV1pfZNB#MDyLelU5AEFo- z9;E*MuM^F{Fd_Z-|CDG@JLdQQEzt}N0$IQRgYM`#koEij8xTMH_kWHU28M*}-~Ux& z7#JR8|Nid~!@#g1_xJw^F$@d_dB6X!iD6)9$ou{OL<|GNg5ux*9by?61WJDYkBMbq zD5&`Te@iR_!-I<7|1ZQcFa%Wo{{JSHf#E~t@BbEY3=9*he*bTYV_-0-{{8<#90S9F z>firm;u#nMYJUIEh-YAUQ1ko$mUsq+g4*Bz89;-Cb-(}nBrq^csQdkYMgpk5`2GJ+ z0s})q^Y8y2i3|)5Ex-RyNn~IUX#M^FK_aO9`~6=hiGg85+wcDsNem1P?Z5vYNn&7d z==l9#0Ms1r{QW;BnSo(L=kNa$k{K8Tx_|$_lFYzR(Ea=WhhzqZ3*Eo}^Q15^81(%9 zuaUyQFrnx7f0q;nh7Ucz|0kp{Fa-4e{$G>Az_6kB_x~9w3=9o@zyJS80kyAw|BnE* zq9^?Rzay1_L1Fsu|1xQyvk!m&uSsKI_%P%5|2t_63>#+t{%@1cz)&#j_y0NRpmx*m z|9{dM7(UGY{XZsyfnmd(-~abyFfbI%{rz7ilYzlt-tYf4nG6gc=KcPECli#<e*d@0 zVqhp(@caLqECvRHg}?v*$zovmu<-Z)m}~}y4U2yN-;>S2P_X#-f0-Nx27@KP|JUR& zFdSI&`~Qg?28Mv8zyFKmGB7+?`ul%EE~vfr`~R9;1_p-ZzyJTpWnh@F{P%yCJO&1Z z6~F&a$YWsGu;TasJ9!KY4l95E*T`pJxUll~|B`$LhJ;nW{~yR_VEC}=_kW%O28M#w zzyC)RFfe>r{rmqC5P!|@{~tj7HNXGc6f!Urto{AJqY%_y`~Ck4h`;Xle}y6jh7aq0 z|IaC6U?^Ds`~MCQfBo<OEX52A3LAd^mnddnxUk{(e~V%Uh7TKl{|_l<U@+MD`+o*V zeB<x`9Uyg^e*a%m%)rpF>G%H~#S9D&HvRs8rkH`jVe{|*4~iKW7Ht0g|4T6g1H+cz z|2aw+7!tPp{x4I)z;Iy8@BaoR3=9fefB$zWVPIg`_WS>m5(b71+kXFNDP>?t*#7%} zN+|<_!j9knkCZYnT-fpZze*VcL&MJB|69r!7y@?v{{N(mfk9#S@Bc343=9``|Ng(E zoPnWX&+q>%6$}gpdw>5=sbFAuu=n@>BNYq`6ZZZ7uTsgt;IRMq|CUMyh7bFH|9?`+ zz_8)K@Bc1U3=9PafB#=n#lWC&==Xn?Y6gZ2hkpN0sb*kUaQOHCBOw0a-~Vq^GcYh5 z`ThSxH3Nggk>CG0Y8V(69QplU12hPJ^!I;{8U_Z3qrd;hfW(jf{$EqW!0_Pc@Bb5O z7#I|e{r<nChJj(ivEToXfYcxV{r?F_{qf)bf7CEAG#vl^pQo0A;lT0V{}pN(7#L3c z{%=ytz)*1F_kW*S28IVGe*aIYWnc(6`TKtjNZrZb|EJV4Fc_Tr{eMj@1H*+&zyH4g zsk{99zeXJc!-6Zn{|D4DFeF_4{lB7)fkENg@Ba(x7#JR0`~Cj}NdEfo{~ziY7#ME; z{x4I{z;NK^@Bc3K3=9poe*e#@XJBx+{rmrvdIkoDJHP+$0LkC^{r>?-{_gMp91RQ% z4)=clHvkR#-~atTqJe>7!NcGGdm0!R5+42jzomhJLE-W5|92V~7#g1a{_oPr!0_S4 z@Bcd*85lOa{QdtyBLhRgtKa`Qniv=q-v0jI(8R#-;N9>4Ynm7sE`0j^|3wo61H+f! z|7DsP7!G{-{okdTfkENd@BbT`85kb?`u+b#GXukd-@pGev@kFv{Q3P~qlJN?!S>Jp z4=oG~AKd@^S7~KnIN<T;ze_6v!vxPi|1&^*uRs5%v@$S!@c#4vKq~`-g72UIZ(11` z0{s5`muLgk?|=SJX=7k`5cuc+mNo{4hTuQ{Ux4_bfBws~GcY`e`13!Yoq@q1`p^HC zb_RwI(SQE0X=h;A5cB8%m39UOg}6We89Epk6ypE<*XUqiU`YD&Kcs_!VL|er{|y}s z3>T9B{GS05Py6%#Oa}wQfwVvWzjQD#1f>7@FVo4uAdvCrze^_r!-b4L|8qJS7z{H1 z{BP-GV0e)E=l=;1KkLu`JDm&+2eSVBXX#>K*pTz*zd;uR!-Tv)|6{rs7!DNt`9GnH zfq|j$&;KP|3=9T^fBx_2Vqi!p{PX_|NL}Hd{|`Xw3jh57(#62=q43Xtj&249gW^B` zZMqp4CKUhqAJWahP*C#ce?vC|!-tYT|7UbFFgTR{`M&`qU;5|&iEajl17&~y{{e}Y z|M@S{!@w}1{Lg=#9tMU7<$wOW^e`|8RQ~y20TQqL^M3+Jyz<Zg6+H|L233FlALwCV zNT~kv|4R=8!-ncV|9N^D7#M2){8#B^U^r0o=f6)c1A{>ApZ^uT3=AJ?|NKAE3#xbi z{D0BQ!0@2%&wrLa1_p=vKmR5A7#J4R|M{=e$H2hQ@aMln9|J=|!=L{lAo&S@{x9ic zV3;uB&;J7;`T2kT3-mKE6zuu)KcSz2;lQ3h|7-dg7#95h^Iu^C1A_qL-~T=n7#JFu z{{F9+z`)?Z{P+Kj2@DJc%zyvC0nsdf|MN^_VA#O&_rJnK1_lMTzyD(<GB6}?{{25= zA_Kz$&cFZHOk`jX;QIUj%0vc+3tWHyn@nP0n85w_|CC7#3<tRX{$DW()Xx6<|G*>$ z1_j=~|8GoUU})g``(I=-1H%WtzyEb6GcX+B|NGx#GH4>{@BbJOU*PZmJ(C$28U+9T zmzl!A;2`w(f6WvI1_t53|L;s;U^pQB_rJ|l28ITazyIe<Wngd+{rmq9XwpsW@Bf%- z3=9Xv{{G)Hje(&-{O^C6=?n}G5`X{KfF|Q4|Ng%-oq^$i<lp}`GZ+{q==}ZPGlPNQ zfzIFmD`qe-1X%z5|6>LNgF)Tj|1)MXFnp-{`~SyG28IpwfB#3!0*#OS{l8-t1A{^1 z-~SS`85lk^{{3Gun}K0N)8GF$W`o)VfB##|VPG(5`TKvy90rCDEr0+2n8U!Zq4n?o zh`9_51#N%-@0iQLV9@^ezr;KSh7aw3|5waoVA#;{_y3J~3=9RGfB##|XJ9bs`ul&z zd<KROU4Q@on9snlq5JRuhy@G`1wDWN?^wXVV9@*bzr;cYh7Y}e|5q$zVA#<2_y3KB z3=9SRfB#!70=1X_{-3dkf#Ji1zyE(MVqn-X@$dhL#h`xd-~T%nGcXuT{`+5I2?N82 z$$$S>EMZ{SFy-(68%r1%3a0-3Z?Tkt!C>0o|1*{{FnpNy_y3Qj3=A8l|NS4ajDewG z#^3)tmN76G%>4UbVmSlDhnau>S1e~>*f8tw{~OC07z$?p{co{?fx%$T-~TgKFfe?W z^Y{Oc6$}g;=KlR3v66wIVBX*VJ619<7|j3sUt$#l!-x5Q|5vPHVA!zW@BbUC7#Io` z{{3&Unt{P!(ck|wRx>bsSoHV*kJSte8y5flAF+mkp<v11|2x(&Fc>WT`(I)$1H*@< zfB#pkWnkE_?C<{@YZ({{mjC^4v5tYkV8!46GuAOMd|2`K|BrPH3>#Md{U5QOfuUg4 z-~T(-GcXve{`+5I1E{_5_kYC(P`}~t{~H@X?S#MoEjBVR7_9sIf5t{o`{3{Y9~&7M zHmv{qKVlODL&1i>|95NxwFCbCm)Oj}@L}WM{}r1V7&dJB`~Svf28M#ofB#!-VPG)W z^7sFYEes4Fw*3A7V+#YrhOK}9M{H$aDA@M*|BkH;3<lf({+HOs!0=)F-~Scc7#KF} z`1}9HHU@@*oqzvZY-eCF*!B1SjO`2zA9nry|6@A?!-m~||3~Zq<>SBqckBSw?|=VG z>||j0u=nr(ik%D$8}|MEe`6;DL&5&P|1EYgFc=*8`+vqR28Is@{{H{5i-BRo!N30_ zb~7*(9Qylz$8H7&gTsISOYC7__;C2||B5{f3>%L8{eNQ*14F^lzyB@vGB6k%`}=>! zUIvB_$Nv8Rv6q2i!|}iWBldyn<G=rR>|<asIQjR##D35?)!+XW`xzKEocjC!#(oBd zg42KhTO43uFgWw~|BM3+3?I(?{r}?t1H*>1fB#1uWMC*b_xJyfgA5D?=l}kfIK;s4 z;r!qK6^9rYHeC4o|HdH(hJuTK|63epU@*A!_y3H;3=AJG{r&&rFayJe%YXkz9ARK6 zxbpY^jw1{V23P<7mpID6@Zsv;{}o3W7&ct{`~SvK28M#`fB#z?V_-12@%R6XV+;%* zZv6fK;}`?OhMRx?M;vEhD7f|a|BmAf3<kIV{+Bqx!0_Sr-~SaS7#KF(`TPII2?mCO zyMO;%oMd1yxcB$}jFSuuAMXAA|KlVB!-o5R|3{o+U?_O-_y3Ml3=9Sj|NfUa&A{;C z;otuirx_SFJo@|p#%TtIg2#XVTbyBFFnIF!|BN#X3?H8S{r}?(1H*==fB#3EWnd_H z_V@pevkVLd&;S0HILE;7;rZYH73UZjHoW-z|He56hJu%W|67~~jqm;aKjS<D!-rRY z|Nl78z_8)<-~SO87#IrP{QbY<0t17=+rR%ME;2BDc>DK%#YF~&4e$Q`zj2X)q2T@B z{}z`R7z{rA{XgRp1H*?8fB*lu#K5rO<KO=gml+reKK=c_<1zz-!RNpKC9W_qeE9tL zf5jCBh7Di-{=adBfuZ2*-~Sd@85j({{rx}VDg(oZZ-4*)xXQq=;rrkJ5!V<P3V!_k zzvCJMgTc?g|0S+7Fnsv=_kYE828Ip4{{Fvloq?g?_uu~(Hy9WU{`~zv;|2r6hd+P+ z|G2@xu;K6D{}DGC7z+OV{lDWT1B1c;zyBp}F))1i|M!2zEe3`S4FCS$xW&Lw!1(XK z#cc)#1EzofXWV9B_`vk<|Bu@Y3>%pL{g1fAz)-;Q@BfZF3=9UW|NcwdWnlQg`tN_m zT~I&$-~StT85j!K|NXbP$G~8~@$dhPdkhR8IR5?ragTvv1Lwd05%(Dw3b_9L-*KOT z!GQbUe~AYS3?I1v{jYeyz_5Ym-~SsA7#Iq8|NXak$iQI0_wWCVhoJiD-~S&E85lP3 z|N9^Dh=HL%;NSlpj~EyX1pob)c+9}?LGa)IipLBL8-)J-zwwxXp+NZGe~Txe{`$ZF zGoCOod=UBf|Hl&sh7F?s{zp7zU?>p#_kYJz1_lH1fBz+(F)(}(|M$P*83V%xiGTlZ zJY!%eko@=G;yD9@fz-eMGoCXre31J0|HpF%h7HpH{ztrEU?`CJ_kYI=&^*Jx{}L}5 z7(U4U`(N>rfnkH(zyCL0GB6a#|NC$8ih;pE;otumuNW9UDE#~X;}rwL2E~8>BVIEw z6e#`szvDFngMsqD{}OK)7(OWf`(N>ffnkHnzyCMhFfbIT{`+t7mVv=Q?ce_yZy6Xq zsQvr@<1GWj2K9gcBi=DE6lnbWzvCSPgMsG1{}S&R7(QtJ`(N>%fnkHzzyCMhGcXir z|NC$8fq}t5=imPs9~c-u==}Tt;{yZ32Hk)EBR(=P6zKi?zvCkVgMt3P{}P`V7(VF# z`(N>ifnkHezyCKrF)$Pu{`+t7nSsH;=->YtpBWfF82$VI<1+)p2IGJKBfc;&6qx+` zzvByNoZ{bqiLapXiGTkqzA`XuF#Gra##aW00`q_WExs`@7+C!KKjRx{T>IbuAKw@l zHdy}qAMu@mp}^|j{~g~M7!0ic{g?Ow8c+N8zv2f2!v>pw|8M+YU?{Nt_ut|tXuRX! z{~13S7(Uqj`~Tx71H%UUfBz$XF)$Q3{QJM-7XyQV<G=qBzZn=lIR5)z@tc8RgVVqN zH-0lP6gdC;Z}EqL!NBF;{~3Q67(TfC`~Tw)1H%T_fBz%?GB6al{rkV;F9U;t`@jDZ z|3K~afB!4~F)(cK`1k+DKL&;Z&wu|d{xdKbc>Vi7<39t#2d{tsfBa`)*x>!|e*^;~ zLxIn~|2r5M84P^?{g+^5Wcc9w?|%g&Bf|#2fB$bVGBOnS|NC#j#K>R}@bCW&CPs!2 z0ssF0U}9w05cuzZ1T!N;LD0YdJD3?641)jtmtbLJ_z?W>e+3I8!-kN5|8KA`G8BaV z`)|R@$Y2om@Ba)|Murbz|Nj4AWn|b8{_lST8zVzO#J~SL*ccfMBLDrDU}t3b5c%(a z1v?|dhNyr4Z?H2m6h!~~Z^6OHU=Z`~{|pXBhJwU@|F>{3G6W?3`+tFhk>NwyzyC6v zj0_9X|NZyiWMojt`1iknlab*-#=rl2I2jodGXMSO;9_K8$ols`go}}3Le{_k3%D2= z6te&Qf5XMdup#^3e+zC#28Wz~|68~j87}1f`+tF(ks%@X-+vh%MuvjIfBzeJ7#SRj z{{270!^j{|{O`X6FC)W);(z~Bco`Wsl>Ga@ftQh?q4eMXKfH_#0cHRGd+;$b2$cW( zKZTEx;X?Vp{}1>W85UIh`|rTd$dFL^@BadRMh1ndfBzW-7#SQI|NT!9U}Okr`uG2c z03(Ay^S}Qpf{Y9on*aT85oBbT(DLv96G29XfYyKiU4$4J1ls=nUn0cFaG~wre->dz zh6(Ne{-+2tG6Zz|`+r23kwKvI-+vVmMurQW|Ngg#FfvT&`uG2d2qQy4_rL!xqKpgz zJ^%hM5oKh!(DUy<ix?xrgx-JuQ^Xh<0{Z^_KO)A+@SyMCe*tkuhJyZo|6{}%85kz~ z`@ce*kzvAwfB(OTGcqVl{P*8Mf{|gv#DD*LBp4YSCjI+=LxPdv!lZxyRU{c15+?uq zUm(fI@L}@5|9d1E85*Yi`_Cc8$RIHF-~SLPMurJf|NUP8;!pec{|$&g?caY3X+{Qt z>Hq$>NHa1_nEvno1rUG6zyC5aj0_WI{QI9F!^j{o^WXn1ApXpM{~2T%83bni`|l&m z$nas-zyE7w85tJL{`a3pj*%f?&cFXTa*PZDbN~H6Bge>aVD7*FI`WJR1@r#>?~!L@ zaG3w^{~LKm27v|t{`)8}G8|a&@BbPFMuvig|NiqRGBPME`u9Iak&)rTqJRI-C^9lM zEdKXjM~RWaV9CG#JxYuW50?D<|3-<CVZqXW|9zAh84{NL`@cq+kwIYjzyCZcj0^{s z|NEb#!pJaT#lQb&R2UfoR{s01qsqu2u<GCc9#uw$3#<P9f1}FCFk$t-|2}Gr3;}EY z{a>TT$RM!x-+vx;MurP(|NYNVXJnYL?%)42>WmBl>;L`N(O_f{*zoUvj|L;dg$@7y zztLc1n6UBRe;-XohJa1~{;$zwWDwZ=?>~<gBg2Kw|NiG_F)~cp^6&o{Ek=fbt^fY( zXfrYhZ2R}WN1Ku1!nS|^-)J*3OxXVKzmE<hL%@!I|JUd+G6?Ma_n$|Xk>SG5fB$oI z85t(*`uG2gE+a$0?tlMv^cWcg_Wb+bqsPc_Vb8z+Z}b=$ChYz9-$$R3Az<IX|7-La z83gwK`_E&*$Z%o*zyCP~j0_VF{QG~#fRQ2K;J^PmhKvjXhyMNVF=S-8aOmIvH-?N1 z6Au6T?_<Qs5OCz*|20O83<5|0{pT@eWVmqj-~SwAMurK;{{25=%*YUM{NH~a6GjGs z6aW7Am@qP2IPvfQ8xux`2`B&k_c3K;2sric{~A+927%N6{_~hIGF&+Q?|+UNBg2F< z|NfsbV`K<8`|rPwIU|F>xqttA%o!Ojocs6xjX5L3g!BLY`&ckC1YG#{e~kqrgTTdq z|9LDK87^G>_dmyykzvB6fB(-|GBN~Q{`X(UijhI!%D?|TR*Vc6uKfG|#)^?)!qtEO zeXJQ70<Qi0zs8!8LE!qo|2#H~3>U8d`=4XO$S~o?zyD`!7#RX?{`;?E%g7*b>)-z# zTSkTpxBmTqW6Q`e;r74(K6Z=@0eAlWUt`C}AaM8Je;#{Ah6{K9{m-#yWSDU8-~TiA zj0^$y|NYl-U}O+@@b7<*10%zQ2mk)RabRSa@bKS%A4f)pfJguSuW@8#5P1CWKaUe5 z!-dEH{^vL`GE8{#@BbMmMuvc=|NiSZGcpJ~`}e=cnUUebvw#2JI5RR#c>eFdj|(G1 zz>9zX*SIh;2)z9FpU0Jv;lj&*|8ra!8792?_y3G5BSXOJfB$vd7#RfK{QKYI#>jBt z&A<O|+!z@qy#4pz$DNTO;N8FfYup(b1m6Gq&*Q<!aN+&G|2ZCv3==;5`+vrRks;vY zzyCU(j0^&w{{8RqWMsJT>EHi1o{S6=KL7jg<Hg7j@a5nCHC~Ji0$>0A=kaD_xbXGg z{~T{dh6&&P{XgT)$Pn=T-+vt+Mh1Z&|Ni&*Ffv^D@$df|A4Y}=KmYyr@nvKP`1SAq z8ec{Rf#3iB^Y}3`T=@O(e~up`!-PNo{-5z<WC-~C@4t>eBZI)dfB$>@85u78`}hBi zKO@70|Ns8`1TZoLF#P|&CV-Jafbsu-o<K&13ylB&=L9k`Okn!||4bkwLjd#t|2jd8 z3<50w|MvtjGF)K!|Nl)8Bf|vN|Nni085siD{{LSS%*Y_X{{KHu2qVJ<_W%ELLKqn) zaQy#&CWMh8fb;)<olr&w0j~f5dqNo*E^z(-|0a}?VFLI6|2|=i3;{g<|E~#SWDwx} z|DPwEk>LXG|NlARj0_X_{{KG{&d3nJ|Np;E1S5lh!2ka}5sVBM1pfbj6T!$ZLGb^7 zpGZcA0HOc?*F-Wh2nhfG&lAPSa6$P0|C}gBh6y77|DTCsWC#%b|6eDXkwHN0|Now7 zMurPw|Np;<W@MNk{{O#E3?oB;#Q*<mVi*|&B>(^CiDhKCAo>4)PAntC1gZc3&%`n^ z1W5n?uM@|}ARzPqe@`4E!v&fD|KG$hGE9*D|KBH`ks(0t|Nk}dj0^(u|NrwOFfv?_ z|NlQHfstW?!vFtg5*Qf*6#xI%Nn~UYQ2PJBCy|lig3|y0ZxR_9CMf^^?~}yH5TNq^ z|C%I51_9Oo|9O%b87`>)|DTi0$S^_e|Nk?|j0^$l|NrZxFfs^e{QuvR!pLwz<NyCR zDU1vgH2?qiNo8aR(E9&>O)4XUfcF3YJZX#!7qtKX&q-rsn4t6j|CuyKh5+6F|8>$C z83gqH|L;j>WVoRB|NonGMurLc|Nr}BFfs%f{QtiugONeN@c)0FOh$$ahX4QPWHK^L zF#7-hOeP~kfbswTI$4Yi0w(|e_hd0LTrm0n|4kMn!vxd+|9!F<83N4y|6h~M$RJ?; z|36O-Bf|yr|NnDx7#Sv5{QrL@hmj$`^8bIGTt)@~tN;Idav2#eSpEP1CYO<6g7yFZ zK6#7`0XF~tugPO%5U~CKpC_M@;eze||2g@L3={1B|38z@$Pi%v|G!QFBZGj$|NlJ& zj0_hX{{Medz{oJc@&A9HLPmxFr~m)g6f!ahIRF38Q^d${!TJCHoFYbs2`>NtpDAKw z2yp%XU#FOnLBQ?*|DIw-h6`^0|Gz0_WSHRo|G!TOBSV14|Nm=B7#RdS|NrMHWn{SE z`Tu`TDI>!KumAtglrk~|c>n*eQ^v?3;Pd}~PZ=Y_1)u-_-;^;jOz{2x->00BA;9nd z|25@|3<CcD|MOHZGF<Tg|39aKkzqo>|Nmzy7#RWr|NqyiWMmKs`v1SDl9Ay;(EtB$ zDj69j1poi<Q^m*-5c2>3nkq&Hfzbc|d8!#1E`<L7pHt1qFd^*!|1;H$3<2T)|LfE+ zG6+Qc|KC%?$Z#Rz|Nl2Nj0_VZ|Nr-?Wn>75`u~4TEhB?K^#A`nb&L!bqW}NTsbgfA z5cB{4nL0*>fY|^4b?O-z1mgbx@2O{GxDfaM|C@S7h6(Zi|NAsBG6W?2|G%bzkwGBw z|9_rFMurQC|NrMSGBQj^`v3n-BO^mV^8f!jO^gfzDgXcXG%+$<NcsQ&O%o%-gw+54 zeVQ2=0@D8fU(?LUAdvq5KTiuI!-e$!|8rUx875@>|9_^1ks%=S|9_oUMh1bb|Nnbh z85u5Q{r~@_m62gW_W%DrZHx>7IsgB!X=7v%$o>DHr=5}ELhk?nIqi%L6Y~E5Khw_0 z5Rm`>zfK1ugFwOm|2-Xy3>OOi|9{iL$S|Ss|9_uOMuvc*|Nqx?g4(73|MPS)GF&MB z|39aTkzqo~|Nm#Y7#RXe|NqzNW@Hd3`~SbEn~~u{+5i7<x)~WJl>h(l)5FLRQ1So& znjS_5fy)2?d3qTcE>!;ipVQ08Frn)I|1-Ue3<1^u|LgQIG6>ZC|KHQc$Z(<N|Nl3A zj0_WM|Nr;tXJiPd`~QDUKO=)c{r~?w6BrpT)c^mVGl7v|Lc{<6XC^Q*1T_BtuQQR6 zL7?gX|DK7A3>TXI|9>-)kzqpf|NlOd7#RXu{{LSyiIG8|_5XjK$&3saTL1sgnas#A zq3!?wGm{w^0^0xo*O|h|Akgvuf6o*~h6^44|G$~S$S|Sv|9_vUj0^!?|NpO<%E%zl z{r^ADG)9IC-T(jROk-r2(DVQQnQ4p+0lokK>r7{45a|2=zh^ol!-c;8|KCh!WSG$Z z|G&=+Muvb1|NpO<!N?#m@&A9GnT!k<CjS4QGn0{F!leKI&&*_GFqr)R|C^bN3;|RB z|5upB$gp7A|NkMg7#R|#|Nmbyi;<yW=Kud2W-&56nEC(znOTes4zvFMe*xmp`v0F} zHY3A?+5i7*%w}Y8nDhU?$81K14|D$i&zQ}~uwd^0{~fa#83N}0|G#23BZI>H|NqZ` z<mdnY|6w*GL&L)V|5fHNGHh7*|G&i?MurCq|Nr-y!^j}8=>PwOIgAV!7XSZ0XAUDn z!jk|0H_TyVn6Tvk|05uEOaA|VFo%)BVCnz=e?a0(|Nobm%gFFy>Hq&Ga~T;NmjD0X zFqe_RV8#FcXXY|8Oj!B<zr;L7h7T+M|2LV($PlpZ|Nn$}j0|1t{{OF;$H=gF-T(hn z<}or9Z~p(kU_K+m?9KoG_soZ^nuVSB!B`c<z*r%`D9yvp0ovC95(n+b^SJ*0Klq$F z7k2QrO3)d0CJYP=3FqJcKLFYg#wXy$C*j4<UCz<KU@v8@Wvl`|_70>Uw6EsJwfFy# z_W*;;1Wj!|xc>hCLeOSrcfJKo%q@(39FBYom>}noOabk=x%dA656}Wo(C|M41H%di z28NjXsA79S=kPv26$9;wF?sm@|8A&WkbR*2Ee{^P|6c?;FwmXv05h`>E6BbB%n<un z7#SEGKA`FW?Nxd6;r;(YP%ydkJz!+218I2x;(`Lgf{}s2=hOTD)1dZ%#C#YT7;Yem zf$UCTWMD}7{Qm!c&|!w|d=r?NS2BYPn!wD!0FnpoEphpeTYdo}1A_|phyPbVn`*&f z6$=k5kbcnKkth7P<v|W!BJ$zC2xzORJ6{7c^HUa({h<9(pe3Q815=kMeE8o6Iuy}~ zPr#8+!kzB`M>BID6SFTHpM)cyfD=gl0S*T6o^t~x1_l<h5C6e?SzP!EnErxf3&3(d zObiSi=Gf&jm>3v%EV0YAFflMpu);0}N)sYB*yZ*xF)+-q#V!Zhdm>~1;s0Dvgdw>T z6lPzT7#J2feE1*C%)r3l&bNS(*%=b1jF31HVFs;v`|v*yi#%xGy$Le|gMvGDdjpsm z7?yZom&;*hV9@aT@c$*mO$m^&O8|#m2Qvf1A8+h(OPCoLF8F@<&j-`DijTvQ526p0 zhL8ARx9<)!1A|8ZcDWzS3=C%i;o*bg77-Q(hJaw~at1663|B(na;Wjo!oUy_hTXml z76yhp;n?L`SQr=*BC(sffQ5nKNz{k`olw7niwXuvTHFJ&FXqF4TbP^$BOj=&0mab` z76yizSnP6NSQr=<#C`aG1(bJP_yU-~;TZsq2LV<FhLm{hayqOG3>pd8<vds!7~Uj& z_|Fa6iv&t<CqZ!p$rmZCkTu-TvDnwZ%D}KC8M~QtSQ!{9Qn1VIU}a#iNyRRA1+*AH z4ZGY2RtAP6IOKTP7#KRzvFp=dV_@*fz%J*)#=szuiCr#%je+3`4!IgO28J0~AO5pJ z;twSrX0S0Z^kjedF9?xKV44pKPe_{I!p6WbA?L&YnONj5urV-*<YCwMhK+$iBLBmG zZ1KRs&cN^nhnxyKXe|MDeGcpl3{wiR%f+xWFk}>c_#XgG6U-~Y#Y_PxeO9nDFw80W z@E=rXFo4qF2ax|DX>bZV1H*+<>~b5}85mf~Kl}&pp+wVnhMj?dqXN6!3w8zuo=WUy zvT!gkI8<SmQ{Z4=D5=Jj1J&;~91ILAYCil=grsW)rWigBka!3v6V_si=WsAEMAUxx z4_Y$B;KHZCR0dJs!ok3B0E_q>4h9B|x)1+BOM}4b%OUEwaDdi8V48D=gMr~k9j5pb z4hDvVdQ@>xJ@AKvf#F2`hyOo8?HEuU&nyA1n?ZFZNM44Mfni1ahyS4PVF1fZqsZHE zGBC{O|L~s;<X^D742paVCj&#rblmbaoD2*V^Kr}1;bdUQSdLqM4<`dd#CqKFcQ_ds zJhp%M9}n^01xDt3OrTT-+E)tl-ye|s_TiS7;bLGoarDFgj}ZM27@0*-{Aa_(z_8-% zhyVZJ@~Gh#!^ObRaTT|G4HpAL#$DX<bGR57Jf7l~-^0bgpz#*B{2eX^29B?|<^OOo zFueHl;r}1F`^8cGC&SIaaDwF{PXF0(Gcc^+!7U%d&A`whf?K|Zn}H!i2DkhiZUzPq z72NWBxEUBUba2bx;bvgqFu^VVhns=ng*9$@86F0P6V4xTrXL#~1_lmq-10Fz3=AGY zAOBy4gfB+=s{!ec!Yw}sWPc)V^Y?)CXX2K>1G2vWxBMR-28NFEkN?}??)!<7KV*18 z<q2;0+3+$j>}bO-4=Uqhco`Tf`ab>-g49z1Oj|&?4^qZg@G>y$>Hqj2ycZl)j(b7m zK>2bCFKAEH$N#gG7(wmR2_j6XAjt`!vK1u1g_nWh!sbu^AA@>Yp#BWgYLGmrDG2UU zT;XM4Sa9vr{|HdM18UzgodU^&x)Pw}@C;vg85kbi`1C)OiJ5@`+`yM`;!|+q({SQ5 zaOAUa=euCv%-+M+%i71%&)mkuw3^Stk<S1uui(Td0q!MmIP!rncL({?1=O#){q?^p zs1xME7r@Ld0J1Lt<lY261_qJWU;l4{)DZzpYxy`peyHGMVA%8e>;DPRehnk@Byi;j zawJH81|I{1&WEr6=Y#y<!q>paya6l^>Mw!p+`-4du;$a(|4SfYTflS`WMu(p+Y-YS zJ_ZJdFJJ$Af=<SE0(D>{9QhR7`8IGjv-C0dgFK|*2=)iKYqJ5=H3ON+!_UAF;qmRi zD#XqL=47yy1t9lm@G~&vczydH2$5@GWZn*z1i1$!@4?T&u*T=x|6X{XVG%ejEntMS zRWtY*7-Ryz{qKRe*MX@8<X#7Ge6{d1FiZ&i_J1CvKYW0Zc_mmcsBZ^y*Ajlv9*S@O zm!jzf$sOQlU|14~DF<@X9exG|i|B9vUx2n~y7M)#K+;JAD9Ay2|L`*~a1?+0&jayO z0>n)TU^ht!FfjZn`S!o$3%HMTK@_6*0;rn^GTTCcfgvXI`~ObRp&IUd3)ms@3qV;3 zBp)Hbz;I^w_y1o&feY%lLghhLf#fR$7#P+Z|Ng%pbhy7e-vLIZrJw)-c^TXepCQ1& zAan8i|57wRgY<3@U|?vt^!@*2&_VO=d>0s*l0bSv)f!mu6;L|7`~5%oXl@rixW7Pt z{vg1>P;&44|44|r229|5X8_j6Bgnw8<No*m#vn(6+VEhx0&sX}2r@9-dHDVRBvAZ< z(jz3WK+zA@>mkU%5cBl=|5*^d0TAf`u-+6w28IXEzeDneJKqK-h+j8=l!E-zBFMn- z;rsXh0iXk_-1#mrL&E9;$SRPVmk2U2C~*Dw4?efvh0g)vW(Tmn2Lu@ySa^Q?mjD?C zl0!;YcLW(2IQV}2?}DVO1&mB{K;Z;Rl3;iJ5oBPf5c%;Rd^8f+UEp#A>@LvQfQ%3W zgNOEy|H=Cq7#P609yB%}02&)$T8%U`019r9JAH&07&>14_-_sgTa+-!5Mp3h^ZLjC z1t3p>!><A47I651!k|NlfkEdzZuu1;{hxmP?*}C?P+N;>AxJ-{sRj;*6G99OGQWQO zKZa&E$X!o_7#J@6#xBPo%)rp{_s9SDpiBWOhr!_oi8mQx28IZxpZ{Y({V8|84bU{Y z0b~WpTpM8qh8gTX|AWtwKnwc_VFrdZTtEMd!SzOh+zZwV@^1x5p8x0nhmf}81*qBZ zG(1C?fuTm?=l?WFc)`mHkiA=k85k}||NP$$atM;WAh`>|3=A%^*xmF7<R68f|7StR zf|wxr27EjS$W1&V3=B7Pe*S+3y70oCZv!*aa<H30P5{a4h%hj$@c8*Z1$3c>JKqPW z{0Er4j|c<9kL;iSJ0Nj%ff1UHLCyrZEk}fbA*1*wM!p1@-66ujaHr(w|71v7J;2BW z4li(d0FqxJ!oZ+Y{quhes8RuqV?oRY4P!y#Uxa}nr~l{wZ6Lcrd43tl>5%^76A=am zf$2a0gT_O^eJ!LoW)NjyxH9YKe+7^y-1#OjGWCKqf`&lBc|-vuKNq*W14w=WZuta| z{9@el4Iuet*yTY7LoNWxuf#47s!~DYu}4H17%r^&`TrA00FqA~`4pO&`<R$s!Llhx z-5XH`h81h^t21C=U=R^wU}#wP^FO#j0k1z@_zWP`C-X*TK1BTq8iNI4F$M;nO+Wu< zgYpq(o5F=J0l7)R9K?dHO#w28fq`L)7z2aBHtLx5K#YMQWEXYJ(h+B1sMtpxvns?H z80H-M`TsI;;kAMhTX;G0C4eqoJR;7(U~rr|?h=q-U<f%)9kXI27#J$fQ*IV0%de1N zV3>3H=l|`XH15bJz_b-I7H~j<fx+bpruYpB28K6S#6L(dFig3Msh&fUfx+e)rnrJ6 z1H%(6;uew&3_aH|)dxs2Fqqsx6$hQvks-;zaOcL)|2m+;5Rykh848p~C&2QkBcB2I z4xlNL3=9u${`?O<Zwf^%b2%eSF#`iw?Ey&!2AA8!seL2Kz_8*DacU)`7#Mi&5vSHg zih-fvK5>34kYZpcc|e@nIZ_M^6%Prk1#R6vA;rK@^XTXQouKj`Qf5K=G>&`*pu&f( zhqV{n_-Fpl0_x>xfMr2_8E_vU0b~Y`Gy}trm*krPIx-+Ynt`F@<In#Bp!x*v4o5x> z7e0q()*grxt~2pzID&dyaQhuVdV8c97(Bj^r}u<31B1+W^7OLEfYxl2r`JJ-f#Jv> z^7PioFfh#cPr6=EUA9Apfgy+K*MIQwM36iJtMk6YvIt1s0~rPe7iPlhIAj?ZWLOBR zGmvFq_(Fub2w4V(GpxV<gSIb0+s-iebtAj4L6(8Rg^jSf6|xKrdx%hXL6(8Rgq^TI ze#kN~@NoS4zYSELqK4l(WcMk^F)%FQ`1QXBp$=AVH6p9?kYixr;r#V~3ql>tziVLX zKy8Zxka?WH{_la7gH9kx1sA>mXxm~fBWl|M6o*UXKx^}V{ReI3Lkr6$kao}vWex)a z!x=dSh8f(y{yznojobzSmjMCIY(3Bs4dyyVz6eLY1Sh@>C%ytFz6vM421X~o4n`-w z35-sBGZ>xt7BD*UtzdNG+ra3_7f^~?VmR_~fbV*<k!N6#;Qa;ZOFHrifC7ezIfxN7 ziwbJigW7Ki@(c_ie82uXLEYrSr_jvAYz<cf@?VQQ149G<um9ID!|oi+e;{>h<QW(& z1n{c^h4B@628Is;zy9Zf`h<`&$dOM0<T`Ni!}J3wh(UD@NDqes1A~t6um9jP_%QV_ zKV(AE1Z}6;C@?U*5dHOk7pSa54sXaf5-3uUhmoqWH(>(6^;m}j14E4Dum63Za6(Cs z%x&m(-WCN0h67T+{xd=S=LiZUaC?{e7qVJVzx06u1H%%TU;n|EkugBd1pT7Gz;Hq4 z*Z*0dz6Qjv;Mza~oK74-=>%F=G_#?`yc2kS5!B|gP-I}xQU3LR5oinoo8KoeqBM9r zxv=@30X!bpqR7B7LHE~xCQv5{n^_I0W_=gKW|ku#s51?6+Z9Cyh5*-J|C4dGEdx-T z=7p~<uAs!gP~!RPzc{ELi_LAQX8pru7N~yBQDR{5@cZ>2eEuV{S%`3lj5so%W<m{j zP>y3@0Qqf$5(C4NfM1aM71<1|X^#0j6Skm&rr$qG3=AuRfBpXs>JMWx3$@R3ln0wx z&^EY-G6O?Q!mt1RxYEM{)Hp1|ksd&PoTALYupkSXzOlIrHO#l-=o<up=FJ``Gccs& z{Q55m8lxp_mJK6cL>ga$Be-Dn<O^Uv$HW&A%a;IR7BZn0njU-&pu&-<4tuZ!Fo4$i zB&aYjuvGo}zZ}#qBW%Avy8ZmjaQpR{_#!;Og|-J@fhQkmcnRycbO31m&<zy^2AjrT z|1)r<+XCb~z;v6hA`0xC0_Mq#d=;?}Hj@{2uN5#)VC1U+WjORo!-;PNqZ{7_Mkl@< zjE;N<7@hb|Fgo#FVD#iGU|?`z1ns3_U=V5h^`9Gbc_3zZxbuBLO((M$`F=2_@ij0x z@^vsd@l9az<om$L%*W36gVB+%0nB3x<@>?t1m!Tta`OFP^nmdUSdn?nAj6_yax*}@ z1Q@S|iSGxaJ6{8n6VxInz8OqTd<&SI_*O7E@oivo;@iRG#CL$niSGoH6W;|UC%zj@ zj(iW8ocLZax$}Ksa^m~J<jB{+?8Mi>?8!HQ*@<rkvlHI}W+%QC%%H&}C%zrbo_q(G zefT~wG6g`L471D;$udX24G@1tV+(x-1_sa?uN`U(40jg)`VX2%z%qy7!iSdM4zu&E zU@V8mj1yAKIPu+JbmDu!=*0Je(TVQ^qbm-V`GD35{bJ@@!I+C=iYqjJVWv1jP4NV& zW@O}B!5D|68YIee7OSsX7#J9O)EO8$Hvamr0;<<A(}p9T19F;WHe}@U$lwcb<cn|u z=L*cGj4QM$14@;kri>%s4n~mQefS)hdayc!0aRv)XfQAsZ2tBCF0?KN&-sAnhrs1D zxE%$lADM3PMU>*O8`g|+g*Kx=IRa!iEJyh81u*rXmhzz571Y<CqQSs0Vau=om7w+z zsIOnn#{sI#*Jv;>{MhpAKWJ<kBn1*XpuxZ(vK1i)T61^><o>O{{%-~;A{dTLUD!gx zmCwNin>fgP6HNw&2{bf+iY5cY2O66HMU#OcVB4?%e~1g8%h<vJU-*P*F))0fq4`@t zXJu}uo%=<!85j(9{DQ0#BAkBqkrF<j`n?2ncIS>?|FuB*i=g?;0$A&J=snM%b3d2t z{Pq7CC@xXj%}kd-&0<jB$Q9K1hpyxVwe`P%&J5l4>;Gk_9!MVyRQ5wUVN3^6+xj3q z8afONJiAHL6Qjexuw(bH|KFkZAlm6ndtq*K<WtCku|eyKC+ILRwCwryUj!63sQy_F zQ|HR3z!VGPK-U7E&|zT6+5781`0Rd1K7nQ?&}t;e-0Kq^28IKBfBgra+Ygb;2Q}nD z{$kK&U{Klj>;F|uHy(spfgXOKGf*$=`}O}7rk)irJ&t?|MKCtVUm3a#3_bgQ{eOq4 zX9`Rm$X{MC4g&)N=-z?_x(p012Yx~3!6AJWa9_>=5>?EejC>wWd;w12O2CuPfhip+ z?i~3Xn2sayp<(q!mw{ozp<n;AL2iMBM=B^hK-~`kJqCs+hkpHcg3Hx_q`-45I(iHY z5{G~N2VZ3f(dPk@1cjrA9s`5V;a~sfgY==s8+^P2IUGTD)#x!W>^S`EzcWY=*t}Yh zaSWho`x$x+3~vtq`ri*q2grHEk<S1$<qPVhK|2fjjHuO!BWMEW0%J9XC@3C2=rJ&; z9R2lw8pvD}cQQA@`;N#CWq|b2H1ru5dXD}2KM{Lh0W>3pQaP(~V=EOv?IQ+;3<d^< z3VjBKJC}a_KLeWoM)n`19|M{(M=|doD>n07L5t@NvG#Ew%jv=K_5^e`?ww!%!54;u z!<i`<6wshJ09_W}f<+EgPRSTBFr2va>%SprFawhIoIt4wWh}FZ9h?9?`5a35Jfipl zKxxnub$AnGPlf>l!=5L<{s+S2n#mjHh89o)div`>_~LSi`&>bCpfS+}1`G@)Pk;T_ zgvJ-7E#e5WzyLO$%JdAxg)U7259b;%fb`xmU|`UA_6xGU0onbId~m(Ye;83UL;Hpj zh71gUp8xtUfUDnx+BZCot8WN$M~Wc>!-22A{;T5g1G6A@cYw|b-tz6&e`P@iY-@Eu zL)%DWEX>|G(n12r9d8Ul^VPrqJAuY)aD|5+Ge&rT@{NWOXg>S*|K$ijfX4SA14?@k zi)j>?ZooL;u?^69#VS0%{~top=fYP2DZiK&fD#mR7~GYwfN4Jx-;u9?$pMKEN=F-v z7#NoD{{FuRk&fno(h5lKj1dEa1s`^~7e)*WXZU_&E!S8;H_7v3ms2oiU@+nT4Oz1a zNt>l0`+OJ}7;KCg7-sPQ{(p){zabaJj#&KGW6Z!HA&6-g$Zso*85n8=G2IC2V;?bQ zVAvq|`@bNrzAkE7EyUWMaOF#27GwhV`<)<tTTi|OCVy<D4s7p%jR^xogxK%@pz;jV z2Wti|C}04W-w`GZ3~R)G|BnETK|#_QO1<TdJkION=fHdty(05Mig`!y1#F;j*kHoI zutn<k|1?DWCxGGuBzMMyfq_FBQw~&?y)a>5h>`yNe+E<^q#SVqrF8>DUBXliS_B6z zNgVk&TruhpkXa_6v#hCP7U&#o78&Ze>x?M_Lxjw4$XGpcodPQF;Dg^x`Na59!i<4o z4wcMG0iDTBC9^h|F)*meQpb;f%orFlsALxCobfeOFbj0N>=bj*89u-N^MUdXay&xX z2I%7ePnof0Qd}K0X#MuXoPlAE;_v@Q@#lvX#H1A$3kC)SCF+<p!GeKd36;#cW5K|n zp-dfjX;?Batf7)wC7`q5RjA{x1C|U7TU37k--$mS=MWQ*JXQ=08mg3=1!~SkSTQin zQT_dYImlfo?QEvWur3aAGaEEN&|}5GAffjAe+aZbMf4Y$nc;17M?M8#Bm;6_Y-r!+ zf)xXUiTZD>?aVh;3=9=G<T$Ju81~?hQvqGjse#>02Wtif7aVdi)(i|CIOHm<85quB zkpqn{O|fQR5YYVn{|G4T2<CsL*`#EDkojM%85kNgf75JyECh6C0FBHCm5*C&7#JM1 ze*afs$J!=FD<7E-VhbNfJ_lwiHf%Bs44^QQuw`KQWAOVwJ18w;#sT`=<`QfsIr0Ty zo$~~lUt!C@u*Q&fad5+yfq}<}cII2yF)-v9{r(SH8VqXt!P`?<>nNsa*un=_6$J{P z8FmZ|XK3jDA9f53I>xjMp9p&fh8`N4zr&t^;f*ov!e7FHfx*XwcHvXuz`(GEhUVXJ zU|`@erJegN92ppLOlcSXGaMNh&d|{PKO7ktbj)ZMJ`qj~3_Ub7e}@wT!y6i!FX7C< z;A2jk@B#H9E1Ve^)|mhP555}~xqd<Is}&Q|ZaV_HGsgV)|9<rL0;*X>#Fz!TU&h3O zI%dVVFfde5$*dJF3=DgyWY!nZT{)K2@uPz)1A_~d%<6GvVCbNdSvOo67|u}1EEUil zKvvWVuL3s)h7c;5wa1NtVFs1V;&5kRxMPJFdxNxRVB^e?nFgjf&~OpT=#UGl2xN^? zh&uy=fHif@THwyW;6f#{-ncU`R8Yw*3l9c{HB>UI#e;$20hP?U;K9HkVndy<m+@p^ z@Su`e8J-LbHB>Tdizn!8V#@spT0g<y#lY~y=J$WlR$@@~0orRN;>EzgU<>WLgV(hx zG&3<R0559=jkB6~F)&Q9{rz7Kqyao89swGHWdNBM;Kjf&#r8LRy(P%J6fXvb1Gc~a z&xNW7uYqNn1~;$8i-Ezx?)QIRsC+XMlRL;jka-Kd7#K?Ie*cdK$wAM8+5$2UP3(jh z0|Spes=7N~3=9!yVjsL17?z-kv3N5ud_WVE@Md7JaX>Xs$D4tn14#_jPjT>OV7TJ& z`~N+V`;hB#?ERpvr1parcr!4BIR5^>ia7HdNi`odNwb88<|p_tFnn?R{eKs6?w?4i z`w#dqFoZbKE_@Vx85ow((EJ8p28J(AzyF^gE_~*b8a@wv85mNWX=lEJ9|OY`XWE7T z0zU=@6&Ko>&*0C%P(nlV6Z{z%j?mEj1O5yQBCfOxAB6x0h7=l_-w?pSu!V-^KL}u8 zU~!{e`Qs4Cz>q>i^A`j%FdT8CUHmcxF))a@)6V>aAO?mMciNOcp!UImAO?mj?uhma zX#MDwAkZBOzyIq)%hX&x4hE3;n;-@T4v*jet)Su+d>r6C-YmhOJ5qoD4~2?D+9!VC z_6ex{q7ux&P~d@G9^?j>V9;F+zyE`-t_2wZ(gV8h^Nz>w{}CVocpEAZY$ixgMKA+{ zj3+`5NUSHAfx!bw3}nuNV9@@H-~U0^A%ezPA#O_pn*)+R5X``E#1p$b$jk@93=AS( zsP=pbW?*nY6XOU0oo|AwP9}tbVTRZ5|27~$fcAxh)*OZ~FdXsv4PQIPlnL2~>k-1h zAmfc#3&4~H5l;wVU?{;N4!ZaBj5nq^6G9jmRD4jyL2Fl5gfKAF`27AqAAKznXp954 zud<&5sXGQ*P0YXma{H4I28IVAzyEWB#`2NYB0%@EGgTt3G;rlJU@Au9gZ5pifbLuk zg^u;1&Sh<fO+SIu`Ghhsc!WX6j8N6VkIMk5D+y&_ctC`@IiU;;72$-<I}!>y9|pfV z&>rMBp$rTwB7Xmm1%-nnC<#e`cBL}6F)@cg=GP$mY(Q?52xDNl6N%qUkUEDj28NO- z!s;@@7#Mh>@v8&vmzxmAz_29xH)J0nJl`w<IhMnbPk{ks=aw+g-NA(8<4za@!;KjH zc7n`f31?s^h$U>EPB;StM;w0hKy#lV;h^&+fB&C^SjP`u`vTtM*v7=158q1%UaA-X zazjTr0|QSYVK;0DXJA;8h~Et<3=9l6!WkHBl79bBV8%K}20DQSWqlz7GhYXzBhvOq z*q#ATz6QopY^_cPh62!jx(Egalhoh;vk`tp+DkWq5ovAB6uudZ8GH*EJ^3au=0JBQ zfY{kc;^}-d7~P<1m`-4<zF~mGKj=>4bi!eECxU_DMEdXlvq0q+YJQvq%eSEQQ=mJI z9Ws9ZKM3*zq<ny_a}0p5G5ii*VT-b3)RQluo-e|OFCd36A_KffDxELF4a_Lwi*V-) zsO5_Yg>q5$dqel`*F=KOzx@4wJ19Rw+y~mE2|9Xr4J1E8$}n*G7s<e&lk@xkJ5YS0 zy0HM}M&>k(edPt9^!NmHH*@~)|GH3nAnUzBR)LrAG0P#Z#bSVju|yOD!<_>B=?t{) z%OQ$^p``Hl|7eu8XW;$r0nM=Ow!KVz5vfSK%9swL@|hJFQ3aUXkqUBfp#;h!YoZt! zGRp9~38d~y6axc8IpOs2CyIe#Mmc`-Ky&aa(F_a*6~F&WI^xJnD0|76@3KJF&%ySF zxxv$V0rLeM>oW_A`6}EXo6eY*azZvFd-4@9i|Hc7^BDOm()bz}9ibbQJ^2c%AR;iE zKznXLxd)ba-Jtt@Jo&)s0Cbmj$By6s(?EL^A!!IvF7-1phjSrSd<>AYkQHJW7>*qJ z{r@m155ddhJ>c>fR9=Jb;VwD+`~O)K`CVXnP<aiicT!>)7!;2D{;vp4e~x?-pu`7C z=VHj|9HbU>pSQ}<-~UxG)k>nP-4nyWpmB^iwJ%~A7<7&kR$BpT=fpBF7@UBX2gqYO z;I-!)kjgY>Rz_$L!?rcSHiCJAj*EJLPjVhc=>}@gO^9V+XgT%!{{fWn+zAd(P+r~; z%fO&=`uG2N(C~rfW!QdzR^+_w$mamk^CXsmVZxc;|3g6K8^k~0cn9lgV`7#@t&hNZ zB;ptt_MH3u{}T>9JFw{SiDO{sxcK|O3l2TpXnH_-wIPmyVaes+|3PQDGeF8f(7IyK zxhPv;Wi&|LiZ})an=8NnZv}-5s=Bo>b)fVEy1)I(mEZp}q2Ys+*5Z)U56H|vaSRL+ z*M1|PApuR7Oka@AREcL`_;Bs_|63q`LF|UAV?M|PQ|QQN0J1+Io`GS>&ENkgW7^+= zY(J<!QWMX>AaVQme^4BO+V**T9H8a+3{&DkcTxWSuLZRa7RS)`DpGtw>IaZtPQ)`X z=-kC$mVwlLh-Y9pa`*TDR?Kj$g?R;}P9lMULFXR+@CK=KNMK+%a_=|Zb0k21%}4;9 z-~anR=(s?TUzq~<I6!N@8WI>7mfZjSe+|?<@Oc+d%M{wKX9|FAF{neT79f5H^>L0Q zFfa%__zl@V0Ik1nBrq`eJox<|biFiMx@v&=6BJ(zi3|)|9{m3Q3KU<Cpll`qT2l{d z6F-E+7r6QXpHZNb$iPtX==cAN$Z7+cL26GRs|CepL?Q!&&XeE&LFeUz>_?A7MR;C7 z_@gHgv|j-+b`J8}f<y*}kY~`oENuKc5p-wh@BccWFoTMnNMvB>d4?)>Cy{|+1)AOu zi3|)!ki<Z4Wl3USc<}7^e^aQxU~UZnt<i5|V%C6{DUh%L#ivCQ1H+r=zyE{w>VV@j zh>rslo&iY=3??sr|Gx=x8@RqOXl7#a<+E_(bMWLdU@8LzF$V*<KVFl>!0_V5@BdX$ zwM-R|KI4=m28Mu_zyJHg#C;*+YmyikI?%*H?mv>mz;NK@@BjKB_d9_sfYmQdF>s4_ z!eSj__m3n7hCQz^?G{L8U{HCDX}3l)149g&ILK~?WCn&QuSv7JB$<I>!kgdlJq1ja z5dZZgGcf#kgK77YWYFENzyE{wgMq`^kB<Xn_nu@1hK#qQ+5I7zfg$A`rX3t93=DJ7 z#6kI6A%%hA$~&y(3Vc5vDBe9%7#MQi|Nak}T1TXJPy*^_s)Fwbf$XI!Nnv1^@c#Gz zJD~W5v_oL+ZTJ~UOiRFd9<r~^4ZJPElh45qBmaZy%p)lb3<@7`&o4bmVPIJB0sH(C zLn;Hql@F-%JR+$K3<@7n#WYeG7;@0WY*HB*b|8s?_JjnaGBB`w`u+bJKi0OQJKq9k zlzvwcJGQ=+BOmCTOwe50f>Z{EDU5$G=EgvF?MY=|IKlYme=7DpN}y9!QSAE6i`_2J zA&VgMc+wacUhx0<pDl~S?GxBg%sbDC-Mk5)bM`^^wWKjHaOh&XeE|alLq!?`gN^Q= z|Cc};4Iyn3NWT#>Z-%j-u7iWGgV~X90<$OR4wGy~WZp9ro*oBwS2Zv*8#5zoD@W1x z9>jCy10N^}?Vrh{GcZ)-|M{PbQLZp2LCSkb*$qzr=?n~e3J9wO%^8-YGcYg|{h`U6 z7^u#;lFq=8Q1l07PWMGR14B*GpZ}oad_iRhWE}A=sIUa@t>?&KV2COH^WO-RCm9&P z`{!gb7#KQ=|NJk2`X635BaOK+-9gQgp!0-4{ndyJ28KN)fBxGuBc~;Bzt92RFGSgE z2B}*>?w^vuz;K}a4}1<8R1U4kU|@Jt{s(f`0HlnEwG%^OB|9i=FJv$<m{iavY(eH5 zWHK;Jp^^C@zfZ_yVE9sjh&xbSyCjo=L7?&vWGy}DJcOl?`eIKe1H%e5aZuP_$pqc! z@aKOtBHj?~{eC894n{r?NAOO~DwGZ%$S#2_28NXCKkz+WpmAIYP=3f_VAxar=l@Ai z`T)=QGaZJ_(Ys_ZFcj1v;tLc`5m^ijb82W84?D6L82-@Ee2HuZhL~E~xxXTtfng5~ z&A*Y&z#vmcJNH}UFfi26(EJ%W3=DT@X#S5J1_qmY+J#R<E(60H8k)Z&mx19A4b7Lx zV_=ABpk4S><S{Vpp`rOV@)#Io8foKxP+Q3&pMjyK@z4K5pflUCwO>A<w)0NnXqA8t z&jyvR9r+9le<owLl|Xha$!B0Nm_nU)-W&!7hA;UH3;~M<XWI)@cT6c@V3_cPHgN|s z|4RV_!v`9gA5zG`5b%_C?%z_#z_5Xa=8F_DFbF)Oo%>6QK;sv*Gyh5v1H%Oxy5FRj zfx+N8?c6`5n1Nve4bA^j%)s!0hUSNqFfatXpk4TEDPdsPKtuCIN*NdgUeeC}C8Z1u z1vE7ON+|=w1sa-fQpUhw@QQZfGo_4yVFC@!|5C=l@PUTrhm<og1iYqQ_-rX>VAw!I z^F=Bc7zEzX&iy473=9P{H2+Ek1H%Oxnr~7G8jq!2_)Mu}V3_chcIJPnWMKF}L-Rwb z7#ITH(a!x_su&nH(9nF5Y6b>@_q20=Ni_pQ0S(Q+Qq90{frjRr)G#m@e4t(UOsQdD zm_S4Gztk`=e4wHEA+-z)0Uv1>K3i%T7&g$*e33c^27ynsbAL%41497~&A(E|z;J<v z=9|<rFc^HMUHD9?XJD8>L-W7XGcbIhq4^;V3=9EZXcs<P8W<Qh(9nF5Mg|6fue5W2 zNh1S80S(Q+(#XJYfrjRrG%+w3e4}0XOle|Zm_S4Gzcev0e4wHEA<Ya70pDpCK3kd@ z7&g$*e32Fg27w>6bAL$-1497~&A-yZz;J<v=9{!KFc|!#UHD9CWnh><L-W71g6?~w zo%=)D7#IS6(a!uWZ43+>XlTAjI|GBjZ`!%Pq@97GfQIH@X$ReRN;~(PbTBX&{Gpxs zQ#u$JCeYCQFC7dFA82TPNGAhBz+c*h&z4RGh7B|{U!;qHLEs<l++Whgz)(O#^RIL< zFkGOa`6k^A3<m#c7d}(E85kze(EKmm3=AJ=Xnsf!=<NExG+EySn!nr9!@#hCfp+GL z^fE9AFw)Nal3oUe0veisrI&%>0u9YK>0@9pV4_|4OzC4_m_S4Gzw|LMe4wHEA^i*t z0nD@upDq0i3>#=@zQ_ay1_2h@xxZur1497~&A&2%f#CuT%{Q6Iz+k{iyYQJZk%3_X z4bA^Dk%8d@4b2ak#J~{1M!WFYGKqm<0}ag=nasc-z)m~&mrQ10D4?PFS0*zsT%e)( zCQ}#~3^-^PK2xSJFifDK`Cq0mFnpk)`5{vo7y>wH7d~62GB9kQq4^@y7#IY&Xy^Wt zX$%YnG&KLpGzNwXG&J92I_N%P+J(=Q=?n}LxM^qpm+1@)A82TP$P5OC03O=8f6EL8 zh7B|{Ut}f&g8(n>++Q-2fuVqg=3kk~z;J<v=9|o7U@+jLUHD9y#lSFuhUR~n#lY}^ zhUSONW?%^5r(O7Lna#kkfrjRb%wb><5TKp=OXe^z6wuK8D{~kaF3`|?ler8G27<H; zpDA-07$(rr{4aAE7(UR@{E&GJ3;{y43!g3X7#KFt(0q~k3=9Iov~z#Sd<KRB8k&D) zJ_ExA8k%pifPuk4gm&RGWdQ@j1R9$EWdQ@j2O63mvXFrxK$Ldjvt=Oz!v-3fFS3Y% zK|qXl?k`!yz)(O#^RFyoV7Nd-^Gy~rFc^r_E_|jeW?+~=L-W5ZW?=Y0L-RwHFfas2 z&@OzoEMZ{SKtuCImNGC1NYc*zB}*9?3TSBlm8A>}7ieg{$ub5811Z{t&y-~h3=?Q* z{+DG83?FD{e#mkLh5%{Wh0m7d3=A7+Xuik_1_l8c+PS}E1p`9?4b8u@f`Q=z4b3-M z$-rPBOS|xyvXX&e0u9aovJ!N^B<<WEvWkHrK#q3iZ&}5_uz`l=i>zi~5Rj*x`%6|c zFci?x{41*&7%tGze3LZ{3<e6c3!f=#7#Jqd(EKlJ7#Kd#(EO0K3=9E^v<sgtYZ(|e z(9nF5bqovwO0;u-$vOsx0veisWgP><1sa-fvYvs#K$&*oGi5yk!vq?d|7AS`!v`9g zAF_dgAwY$8;j?7}1H%RynlG}Efk8l(cJ43P$iPrQL-Vg}WMH^JL-S2GF)$dY(Jp+Z zY+_)TKtuDtY+_*eKtuCGHZw2;sM9Wdwrpl#*g!+`MYb?72x!pG{Uuu%7z$`;{*^5Z z3>Ro<zR6Yw1_Mpnh0m0&3=9)!X#SV23=AJ=Xnx2x28IAF+J(=SZ43+>XlTC3b_NCk zZQ8lNWIF>x0S(Q+vYmn90u9YK*}=eIphLUxnX-d{VFC@!|FVOD;R6lL5827U5THxD z@Y%AHfnfs;%@^6lz#yPUJNK9DVqhqsq4`&KF)&=9q4_4e85j)oX%{|Ib~7+cprQF+ zb~7-1prQF8dl(o33}_cVTlO$8Y@ng}B6}Ga1Pp2C{*t{63<Wea|H@tlh6^+_-((*H zgMkt4!e`1p28IbVH2=#!28ItbG(TiN14Do@?ZRiveg=jOG&Eo200V=73GLipa)5!M zfQIH@Il#bhfrjRr9AscHFr{7iOgYHFFoA~Ve>up&@PUTrha6&H2r#2v_-r}Ez_5Xa z=8GI=U=T2;o%>4;GcXj;(EKZh85l0m(0r343=9Sqv<sgpM;I6;(9rxZM;I7B(9ryl zqYMlImb43>Ek_v`Hqg*~kz))D0#>whf5|Zhh5{Ozf8`hh!vz|eZ*rW0!N8h!;WOnp z1H%Lwn*ZfE1H%Uznjdn4fg!+#cHy(-1Ovkc8k#S1l7T_MmUiwhImy6KKtuDdoMd3Q zKtuCQPBAbT*wHS0rkrA6m_S4Gzno%V_&`JRLrya=1lZFqe72lsVAw!I^F_`uFbFu% z&iy547#Ip@X#SNm3=9`&Xuio=1_lF1+J(=QvkVLqXlVYIvkVL$XlQ=OIR=IRC)$P2 zmU9dY8)#_0$aw|^0cYB|zvMgvLjeuVzjB^|;Q|fKH@U#TVBkW#@R@Rffnfp-&Hr+N zf#CxU%@4WAz!2a{yYSg^k%3_Y4b2z1#K0inMmzVHTw-7-prQF!E-^4%prQFDml+rg z+-Vm+Q!X<wOrW9pUoJB+e4wHEAy*g}0z7CJK3lFZFl?Zq`65>t7z8|N=l+tb3=9P{ zH2=y~28IhXG~eVJ1A~DV?ZRiuH3o(WG&KLqH3o(cG&DctIs-$1H|@e_%XJ2Z4Ky@g z<OTzSfDi55Uvh(ip@4?wU%A1+aDj&Ao7`kzFz}^a_)NLUz%YS^=6|`#!0>^F=7-#3 zU<mM|UHELd#lWzEhUSahW?&HTr=9ytZZj|x(9rxVw;32N(9nF7I}8j40kjLBDR&qc zCeYCQFLxLiKG4wokh=^F0fDp&pDlM87&g$*e35$$3<5#4bAQP_28IF}nt$aU1H%Ox zns0KSfx#e{HsJ%h3vkMP28Id2fBze@LhjCOW@6T6<>O!g$!)mLz_2Ca@Bh1qdswf7 z?qT&{U|=|NpMjww^6&rIED(K=`+A-DG+=isZ$Q11u$~3mP00-4yY3|(Ffg2n{ri6f z$R5ZY-Jtt+S^Aj!nV4oFF7yW7&Fk`jfgvF7@BbpGT1U`@yb8_CeN0SgAf|v59|y>N zIS&{ZR>b}NKLex=`OZYpeZp)#tbHu~%xz3e)qEP@tDq&o*Zgw0@)<ayih#`8@qmHh z50%VfdC0&J5KkR<1w3S6SQ7vDe-C~?=A-%uyC3H~WMFtfC9_^UWMI%qppLst9x*T! zP|2)@M+^*GsASfeM+^)<s9;tC0|SG^V+IDB#J~Ufu;(R5J_nSm(4R76%Sx_%4ldZl z85kHqX}IPw1H+4yzyFWoPb({kNh>EFGce?&QpYTjCkzZ9sAN{c69$HoH0ro(%@YQO zA5=2y#}fvInsn;8%jGEp14G8&|2y%=;~Zk*al%suh7u~61v)4B&Qk`47a4#5A3=8) zq&`4P!%VZWB~@HW7-YW9GX{o;%)kFP6K8%Gspijl#=x+HM&^U^_@8GC3=&y?|0}R# ztuN8T=O8xsJMuX&Td`r2VPF7-QNnWuhARbs|FeVcVn?p?AaUTr7l2%jFfGAmk|SS0 zH8ydO`3IgeFtilXE)Eo4Ffcr!q4^Cj7#LiN{{G)jTpF5&EgbNr(FZRW7?u>#E_@tb zGBB_d)6V<_FBuq8XlOpeD+Y!m#k33mgjWm<DkZcFp98NL7+Pp(zQSt;h9@*Mzu`3l zgG(vx!vDc*28Jc2v<rWSHw+9cWwbMY!5apK6dIb(@Rot$2o23oc+0?`Qcj!j0kt6y zyk%f$DgXO_0($w0+NUZertQJ=j)CDs`QQKj_{}OJ#;k~U3=BLK)G=$xI|haTDw*}+ z9RtG@Dw$>To`K;8mCWjR&%hv4NuBVz@}7Yqfl6j6d|+T$LM5|uJ}@x6ppscTJ}@xo zR8c3qSUxf^6i~^mfR79eTdMy4uSUs3j(i%R{0JN2hy!sIz{4{Fj(ngI4i{7rNdIuo zM+Sx$R5I(uM+OF&YU;Sl<P!r!0F}&Y_{6}_LM5}#d}3hOK_#;!J~J@9p^{lCpBWew zYN!+T8$L5Igiy(>Kc5*GI;db4s2}3-g@IvD&ENm`P|`ho>=C^$wUyL%{E9CO3^uiY z|F0s>{6<pE=lIIN&_hG>Grlq~+^MC_m@z2)Pkd!yu&JY6_-K4%VCbQt`5oUF81B^l z{eObE_?=H`{J!|cz~EC)JM%rhGcfF_r(O83_|Cw<(?C1(IesuO#L&?Ej2{dPYiMZx zi60CMe`sjF#!m(YpGMk+f5%S-hB-7e|HV%RhBuA0D}OwGF);Wv(a!u8zZe+SG|?{n zIes%R{Gp-w8NV4Ae41%j{+#&Dz_6#8cHyt_hk-$+g?8q5{9$0Ip`rON{xC4yp`rO6 ze;F8TT4@(PEB-Pt%%P$A9RC;?{?O3;jDHLaF>SO9pA-KW81~T6e2xDM3^MJsbAQKw z28J3Mn*ZWI1H&B}n(x8D$Y9e!yYN}Tz{oI%hURlHGBW(3q4^n%j0`cIv<sgTjEoF> zXlT9$6C;C67wz2N!NkZ=LqqdlFflURp`rO6%!~{+-Lwmz70iqbb7*Kj2MZ&^9~zpU z!NSN8(?h%PIl;onu!n}`Yp^mh$n?_A{T-}~3^g<~{{<@}!yOu$@4?2%VADst@L9pe z$S{Y7=5w$!GW?;T`5Ekt3^Dz*3!fA0j0}5dXubvqBZJHY+PS}jgOQ<zhUUNEU}U&M zL-Rd285wLQ(k^^fa56H?p`rO4T#O8VXlQ;07b8Q=B-(|~2`)y4Jv205gPV~-W-{&E z-@(nuP(wrWUvM)r+@YcQ9z2W;HdAO9J}Y<_8RpQ?d=6elhCehkKZBQ%A!aJ=!si4p zBf}mVny<mf$RIO~cJA-sV`QkIq4_WP7#Z%+(0mVmMh2Vdv<sgV{EQ59XlOo%03*X6 z8k(OWz{n6YgLdI_LV%HB4-L)N5M*SKnMphMcL*{v)X>oU7lMoocW7w7hY%x!%`Do5 z&k7+%hB-7epF^0D;SUYX&k$y0h?z~h@HrvO$gqco=4*&BGRVxKo%=gP7#V74X#NWk zMus~yG~Yv%k-=sz?ZRh;C?mrh8k)}`#>nu8hURC8F*3x=qh0u%5MyN6Lqqd5#2Fc6 z=F`so9pa1(H8eE;g*YR_9U7YNA;HLCvw(KtvqFNAVGa$==a6J%_(MbUGb9-qViwXa zd`?I*GVGzD`5ID;3^I#o=l%{UMur+1n*TzIk>L&v&G(RIWUyIGyYN{d&B!o^hURm~ zFf#n1q4^myj0`bLXcs;wWEdIt(9nDhSw;q#rL=Q@hb$vQ4GqnIA<M{chlb{R$T2e5 zETdictdL`5m_tMJIpi4`{?O3;40%R|nB}w!pA+(o40~v3zJ>xLgUkxrxxYh!k)ei$ z=D$#2WVk~^^F0(98EjV4E__xfGBV7eq4^w2j0}HhXnuwgBSXw8+J(;vB}RrlG&EmB znUO(eHSOHrq0GooLqqdlC^ItLp`rO6DvS&^YiJifD^wU6=Frf54pl~mKQuHyLzR&s zW-aZ)=Y%RF!yX!%uc5}sAhV8k?(a}zWT>H``7hKM8Sc=~d=GU-2AlP?3!fG0j0|&V zXg-GqBf}pWnxCP;$PlxEcHwhEgOOnm4b9ikWMq)pNIUm;XfiU?(9rxBnv4v0XlTBN z79)erCfbG13N1#4IW#n%Lz|J|4-L)F&}L+a*-X3eIibzSu!n}`Yv?dC$ZVmV`#W?P z8ER-~{tF#OhC4Jg-$R#?!DcJ%!e@mpBf}gTn$Mxf$nb}T=4a?JGQ@17UHF{PV`SJv zL-RHC85v}@)6V@J`iu-UG&KK(J|n{&8k+B6z{p^;gLdJw!hn%s4h_xcFl1!-Lqqd3 z3>g_>cG50<P8c#W?4hCg8b*u^GP`K!{thEXh8h~0|H6oo;SLSW_b_H;u-Q$!@L6HZ z$S{Y7=5v@ZGW?;T`57jR3^99X7d|IU7#a4^(0mP3Mh2O^v~z!lDI-G-4b6XH%E)ks zhUR;iF*4Zfqh0u{Fk@txLqqd9%o!Q}(9rx0b4G@k{j>|86XuKzduV9Bh6N*o%mLcD zzr%u&p@xR$zp!9rxI;tpJuDd+Y!1>cd{$U8GR&c&`5ab^41Z{7eufnzL(C!Ch0h5q zMut5!G+)D-kwNA#?cCpC&B#zgL-Su)Gcw$vq4^#*j0`qMXcs;!Y#15l(9nDiTSkUI zG&DcMmXRUmDDA@Mge@b(9vYgjVaLcIbBuQG@33QJsG*_xFYFi@?$FSD4|_%io8z<# zpB46u40C8`K8FJ%!yg)&pW(pB5Oacd;d8=)kzo%F&DU^bWRN*YJNI`uGBVWA(EJyU zj0|^ZXugLNBZJK;+J(;wCq{-jG&G;XnUUcS4b9JRW@LytO}p?p;mpXehlb{BxG*xv zoS~ijJ6sqUYG`Qw3l~O)J2W)k!<CW2<}B^PXN4;x!yFo#&*8?%@P~%xXSgvk#GIpD z_?&QKWY|MP^EKQV8D!4W&ix(kj0`n2H2;M=Bf}jUn(yJk$Y67UcHy(agOOnl4bA89 zWMue5L-R8{85v?O(k^^Xcrr5Vp`rO2UW^PfmuTny4lhQA8XB7a!i$mN4h_xs@MdJN zxlFt8S>esdFo%ZbbNDba{Gp-w89s~*F;{38J|}z_8TQc7d<|bl2AQk0bAN{~BSQ@h z&41y`$Z&^-=6m=tGT2<BUHGi<V`P{^L-RTO85#c2(EJR4MuwQ{v<sgT{)`NJXlTAh z03(CU4cfWCBY=^ihKA<92w-HmLqqdD0vQ=>ZqhD%Rs=FK%%P$A96^i>e`siaMi3)I z%q`l5&xs&LhCMViUn7{2LFP8?+}{z*$WTK=^IrrrGTfn|`5qyR3^sRY7d|UO7#Zf! z(0q<iMutB$G(RJhks;<T?ZW3oC?mrj8k(;W#>gOZk9O|w2xDZZp`rON!WbFu(9nF3 za7G53`?L$672%8wb7*KjM+76o9~zpU5y8k1^MH2Yb0UI~VGj+>*N9|fka<Wu_jg1x zGStw}{1=go40mW~zDE=zgUut_h0ls8Mus^wG@m1yk>L*w&CiHtWQci8yYM*?&B(Ba zhURO;FfzzIp`H6XVi*}}XlVY67)FLWG&J8MmXX2cDeb~%MJyx392%O>5y!~zhlb{7 z#4$3&JfmIsoQPv&*h53}HR2f=WS-N`{T=a)3^g<~|3y3_!yOu$?~%aBVDo}@;j<!v zkzo!E&F4sDWcWiv^D`0|8Dd`2E__ZTGBWI;q4^p~j0`fbXy^WpBu0iB8k+wiiIL$B z4bAsRW@NB=O}p?}k<7?2hlb{Jq%bo4p`rO1DU1v;Z)g`jCsG(0_R!FLjZ{VknYXla ze@7}KLk$hhf04?_aEFHGd!#Wk*u0}%_^e1{WSB!k^EuKP8UE1F{ET!)hM4!X3!f9| zj0}5dXud`UBZJHb+PS|YgOQ<zhUUM>U}U&ML-Rc{85wLo(k^^fWHK_$p`rO4S&R&S zXlQ;$79&H<C)$P2i7ZBjJv205Bb$*y<}>Zw-;vG8P(wrWUt}{f+@YcQ9yyE*HeYBL zJ}Yt<8RpQ?e2!d3hCehkKO>isA?7RX!skRTBf}mVny-<^$RP8LcJA-UV`QkIq4_WJ z7#Z%+(0q@4Mh2Vjv<sgV`HT#6XlOo10VBg78k(O`z{n8ugErwK!@$6BqJWWM&yT<V zr$g_LcHvWKW@4JaC*a7(VZgw^@S=c`!RF`R|3XX*3=B>nVF?$$fM(`CCgvlId=XB3 z37&ib6?_tod;(5<91IK~Ju-!i3>v@x{`X>p=y3u`E4c6(G_&+E_cJkDGx8}o@<}*B zwJ?C(5m3m;;PU71|D7oA*aWhW!-9c<p`ehF;mM!B|D~bsa02O9aOBf);d5wa?SUA) zpOH_)kxv0E2Xc-hpMwMg1H+m^Murpr{{9bxn%&IA6aaE7NbW=-BLmNWOgWI7o)j`N z1pNQ|e*sh<By47a-2{?nDPm-}@c-}s#VGP~;PNU(j0_13|8UB?6frV<z$2eh#K_RV zh+BV45hH^D6Lxt81_p*DMT`s!nEw4ghvL3{VD~xlDKLQ4oG4;s@L|TS1{9xfiWnJw zF#r4Ci6cI%(Be~ofq_Axn2{lb_1}L@klhRn3?MO+Vn&7n)_?zXki<NS85w$z)PdqU zrkIgo1?#{6`w{jzfnrs|k<Xx+t%tQ265A^o`82@du#^O{V@5F}gAV(@{~btntO1$F z{_npMR16gF2Z|XPj<Emx9{>__<P&ISV)BBei95xN3_ozl{Q#NI@$Y{yLZ2^8pGXNK zLje{!4+aJXgAzuDEgb*;yQBEY5tLpHVCk1>B8ZDfzOH-*Ow&+>7(iy#lrS>v;QaUh z1;`EHTqNNH%2D9(f#wCKWK@kHvr<uoz-FB&VPuHm`u9H^pS#jfHG<5#h$_SY3R96% zMuq}z%&^e_`M;ErVGH-a{|PL}WdgK72x0-{W>Ag=n-f#Y$nb*y-+wER|6D<FQvwQN z1`!4ZhKf=~1~!3z|0O|k9()3zjC_NEfnh=^BLlm@zyB9N=7aSFgUnRO2Bi^$c#uL+ zAPp_9BOeDSTyx488GZ}@`wt372C(0fz~N!Sz`)Q^#>l`f^6&pjP<XiV3A8ae@<}u^ zyYMM6`Gca!z=_Yok<X!!Pay(S3WJJukjha!8Ul0;feSkz)=hxYpyCE3GLaudGBCjS zYtZ<|p?oOC@EOYBfoNl3*Z^VhLMVnK*`Qj0fdO1TFfcIe$P@z0NP|fR1`a4K1*H!_ z<w5m1NC+nX_22(|5PtzPh-6@3g-{F;5aSuxp!^e1c`hhF7AnsT<%9Ash$#vpkT6sm z!;5T)`#{YakaP!BBdDwc@!3HH0|SFNl<rsvVZg*Wpp_cT-3|2+c}@rgE>A&Bm^(mh z5dQri;y*@cko<%4Pbh+985sUU`LKBT0OfB3H5wQg7=A+eZm@uXXrmf=0va#q2AV<R z+YL&GLFqIoT?VDwp!75-y$nikgVM*K^ff5`3`&24(rg<cHj6=NH7IQcrQM)(7?e(f z(q&M(4N6ag(#xRqHYj}zN?(K0&!F@-D9yGBYCn`#gVJVD+6_vFLFqIoT?VDwp!75- zy$nikgVM*K^ff5`3`&24(rlZd_CskkC~XF%-Jo<Blum=vWl*{eN>78*%b@f&D18h{ zUxU)mp!7E=&9((<Ka^I3(q>TF4N8YW=`<)^2Bq7e^fV~F3`%c<(#N3mH7NZIN`HgW zY+IrBLuoZAZ3d;?pmZ3NPJ_~AP`V9DPlM9Sp!7B<eGE!pgVN8S^fxHYwhd}Olvab% zW>DG<N{2z|G$>sLrQ4wNG$_3cN^gVG$Ds5zDE$mde}mF&+oASDX*DQq2BqDgbQqLQ zgVJSCx(!NCgVM{O^foAc3`$>v(h%E-Cpiir<)%U*q`>uu(kqG}eC=Wgy%tKRltB0| zp>$R$g#Q{!7nDKxpP+PEIfVZUO4n6D_&k*mx(!NmRYCZDP+F!M!k-4ErD`Djc~DxX z7Q$Z!rM2oH{B=;;s2;-K1f_KvAp8wb+N2S}-vOnKnjrjbP}-^)!rup_Em|P_Jy6=A z6~aFPr9Imqe3^C#y&g(ybU^q^p|nybgm2LWq3=NHsBQ@VI+Tv<f$$$gY1v)~KLJY5 zfzmgiG*=%)J_<_DgVOT-5b^m?T4@4=|6wYGu9ya)d8R|?1yK6e3<y7E7KFYHrCn!3 z_?w`#=^O}u1(cSW3*py7X^nXh{tGC5VgZEjzYsznfzp<XApHGM`hpLH|G*DI7lcFT z36T(5zzafefYJ(3bqs+J@dPM+07@qWLBs`uA@l(#T@V7{D}+L5SkAcs<u3?>ibL%+ zfYJ$2Iv^S<57lU}57O{RfYJd`keWZC2GTM)Pz#|8>LIkiB4`WdD1=Tp4xulcgtUx4 zJcQ5-9z$pW2S|&>!2?2n@PyC{ydm_2WC*<=6+$aSL0U8$)<EeU5Zd7ogg$T>LMNPq z&>zl2=zxn5dctK0ZEzhzU$_aO8D2r?fIm?BAA~MofVP;}A@m1X2%T^jLNB-np&cGU z=m$?BbirE)?eHE#3w(so4BsGh!fyyY;U9$Fzzk_AF5rRC0s;_vfgpswAOfKa6d`ni zErh<10HG5yAoPb!2%S&>p%1h`=!PB$oiG7HH%x}m3#LKn12ZA?gE<hIVF83zSPZ3? zK<T9r`oRha9k3QcAJ_<?4Yon(1-l@0!+r?;;V^_wI02zAT!PRHS0MC+s}Ne@I)vVE z14298g3txGA@qVf5c<Mh2tDB`gbsKMp%Xqp=z`A>y5Spyp70YwFZctYH~fdv43PA_ zfe}hGL1|_P9l!#iKS1dTtPuVLHV7TS4xt}F=>;4RegYSS{s5&P@Id$ud=Pp9KZGt2 zfY1Sg5c+^Hgx(+qp#$U~bU`X4-#viR0cjBa1t=Ym4&_7XfD9-fN(W>@_@MDrP}WYU zgzyU*A#?+H5RZXj!bAvv!AuCfVIhPTSP7vEHbCheQ2GFrJ^`gKK<OJ$`T>-F0i{1c z=^s#<0a9)ha6oARC@le{6`-^Rls16S7tA5Ffjxv4aEH(z{2+8d7=+#s3!w#4A@qVA z2;EQup&4#L%Ao^LI^aHp|G^wu-dI8C1{(;yz!5@UI0H#P6V5{DfO8O9;5>xB0Hr5f zfbat@LTCYKx-XD|#4Cdggcg9t^M<_;e!*J^o$w7pPxua@7yN|K8`vQ|-3?zMd;zGs z4@V*V2ge}vf#VQ*!3ijR5<(w11)&X2L+A}qdcqk9zu_!|E;t9F1ujD91}J?2N-JD~ zh#!E`0+%8D1y>-n!!-!~;1Pu0@CHH)K>hcC1L6+>DF~e)3!w$%A@l<U2t7d&LOUoy z=m}8z0hCTqhKL)eLg)=pnn4Z1SI~yg6QJ}5eF#6n0774ogbW}Q$U$fYc?jL$0FgIv zgwPY5AoK?)9pDV%Z-CMQE)aeJl)eC^HwZ(_`yc_K12UlM(joK$C|v**56FayLurOA z2tNTzAAr&d*%0vtDE$CRJLEvbH$ctX&<NoNbV2BZZV0W=1EC*4=>@$IenKCFZkPa} z9VS9(hDi{*VKRhvm;#|0rb6fgP+DOog#Q3aFPH`47c79#1`8qd1t`5>351`p3_=I2 zg3t}CA+*C92)$q<gihE5p%pem=m$`m;VXo{K?pMPQy>hX4MZUH0#PU}2B8}yA#{K= zgl>?9&<=7C`T~@mAP?bhP=wF|Y7n}?7(y#lL+lNJ(hX4h0F+j!fyg&N=>t$&p%x;Z z0Hqf|X@NS3cmtF^0Hqn~A>s~D8dR2pa08V80ZJz{K-67;(hku0SLla`AArT<Gzgzz z9)vFV51}0xApR0ygwPI55W0XFLN8!}&=*)C^a2hDoxlm98Mq;I0xy&nfY1uia1Ky_ z@Har|1ZcQAC_%&xR3Nm2283>a(i@=k11K$^36Xbz(gjd@gBC<wKpR4TfYJpz5dHxu zEuahGJLo~^15jE(AHq+7(i03Id;voUodBgbK<NiY5OD)&dJr&!@Drf)0Vu6t4iRsF z(gmgv{sSogfIEcW5C)+?6hml*?GSpx4hU_q2SP8{3!xPbLg)j#AoK?qy&J-RZ~#IJ z9EQ*iQ2GOuUT_2=&TtGu7eHx;;}E{XMF=hM1VR@;2Q&}FLHY|D;vsZFB7}Yb?MDd2 zK==tzdIOXefa({3st2WE5I!IQX%{3&L1+dU2)#fSLOaMq=m!cAx<Ls-E2u!|26YJi zKnp@Q=s;)%JqRse0Huu~^ac|M9bg8bKbS-436>Drz#2kduz}D8b`V;@1wu2pLg)uj z`hXjRf58JnAMk|G3&4}!3=9q45PpIWgm&<S&<cJKn!z7JKY-E)0wDYafe?B_5QM%E z4514`A@qbW2;Bgluw!5-h=A}DA|dpJ1CV<6!a*o~2udG@(4epf;R8n?d;tfDz6FjD z`U13`EHH$W4+2IIdIOYpFoy6iK<NY%2>%0=ZZL)L1<W9{f)#}R0HqIDL--SHAasH) zgf_5)&<yqv`T&$RaE0(0+#vJ;DBa)=;V<xm&>Q?AbU^@wHVA~!AE5MxAPB!87(yF_ zK<E!pdP69LUl0bN4Z<Px2PnNE0>UqdgwO_25c&g@-VhDpJH$Zf3s5>C7Q+7kr5oZP ze1Uify#PuZBtZBFpmabYg#Q3a7bHRWAE0zWGL#Rc3sRtbC|!^W<wNO$G$<cRKX8J? z_X249$3X$oUU>ke8x$dY0VN2%07@GuL-+@vbbtzk{{TvV(17p{XhP@-S`a!x8$uiC zKxhVC2z>!cPtb$#1N0%Zf&qkn0HqfgLih(PAoK-G2tC0HLI+qwXaO4teE~{Ou!Zmg z>>#v&J%qjhr6)K*_yLX(TEGcHUx3mRoFV)G7YHrj3ZXAR=>#_j{{xh6aEI^(JRtM} zC~e>g;U9p~0bUUP11MeK4dFBRK<EijTEG{|htdLmP(G9v@Q3oDv_Jrq52XbHA$$fS zXne;&=nt_F+94i7CnP}VhC~Q`Aqhe&q(JBiuyLXN&~gJ>&MbhoKO1r(>B}GwLO+1g z3-TfSfC323Pza$fK<NcV5Pm>0gk~s#&>Nt1LMenVPzIq7K<R>V2;ZOrLVtkL3o0S} zfGP;h0IeS<K<mwbLy&r{0ao9gfbbbkLg)ify5SUr?{FGIGn|3Y2cUGrSqR_Z9E4^# z51|i0>4pms{svP%P;tvpU<RQL%pvp#D80b~!Y{Cd&<0iz`U8~SU=86H*g$9lTL}FD zN^h`(@C)oAw1ESJ{s5&nI70XZP7vC_8A5-6(i>bL`~p`9ZQur>KS1dX?ht-~2ZT28 zgwP+L^ad{ozrY(p8~8xz4^Vo8FN9y<2cZr8A@m0*y&(X?F9?Lt20;+|1C-tn4B;1q zKxl(d2>k&{ZwQ0%3&J6^K?H>U0HrrXLihzy5ZWLbLVtkL8)6{*f>;P`5C@?@K<N$f z5Pm@dgf>Wo&>x`mh9n5TAQ?g%q(JBoP<lfugkO*bp$*a@^am)tAp^oM$b`@aSrGaI zl-`gH;TPmUXoFk`{Q*jE$b;|;@*%WA0fhblr8g8p_yt7}+MpOhe}K{(N+A4#QV4BO z2BAMd=?&!&enAC<HmHQqAE5MxDhR)z8bTY?K<E!pdP6OQUr-034eBBE2PnOv0m3h6 zgwO^}5c&g@-p~x;7qmcVgH{Or0ZMOZgYXO5A+$jUg#G}fH*`Yy1zix@pc_JefYKX! zApC+}2yM^@p+7+B4gC;)!2}3xFcCt3fYKW#LHGreA+*612>k&{Z<q?<7fgfD2Gb$* z2PnN^283TQ6G9uzg3uqJ^oH3Ge!(0FZ7>%?e}K{(=0W%c^C7gs0to#9N^e*Q;TJ4| z&<2Yk^am)tVF`p^uoOZYEQ8P=p!A025Prc52yL(uLVtkL8&*O11*;*n!5Rqt0ZMOJ z3*i^6gU|-+A@m0*y<r1{U$7BE8*GBmAE5Mx%@BUU76@&y6+(Z2(i^rx_yyY`w80Jt z{Q*jE*a_hm?1In+yCL)kD7|41gkP{1LL2OZ&>x`mhW!wJ!2t+ua1cU&fYKWdLHGrS zA+*5}2>k&{Z#W9!7aW7o2FD@v2PnPa1cYC35<(lCg3uqJ^oG+Ae!&?CZEzMse}K{( z&O!JE=OMJg1ql5CN^iIb;TK$j&<2+w^am)t;R=Lba1}xuT!YXbp!A085Prc82yJi^ zLVtkL8*V}P1-Buz!5s+w0ZMPU3*i^sgU|-|A@m0*z2O0bU+@q@8$5#0AE5Mx#}IzO z69{ea6heQ1(i@&Z_yx})w80Aq{Q*jEcnRSbyn@gMuOajYD81nggkSI$LL0n;&>x`m zhW8MD!3PL!@DW0PfYKX2LHGrqA+*642>k&{Z}<w~7kq=z2Hzp{2PnPa2ZUen6G9vO zg3uqJ^oHLMe!(9IZSWUDe}K{({z3Q!{~@#i1Ejt80ZMORgzyWPAhZE9g#G}fH?TnX z1*{O-fDJ-_fYKY-A^ZXk2yMU#p+7+B4O|d@0XKv;;DOK|p!5b_2)}?2LL2Zy=nqhO zg8+nIAPAuigdp?>C_Mq%ek}-sh${p`=nGJKLI{NK5DK9`K<NWv5dMU42<;F7p+7+B z1(6VbKoo>#h=$M`pmahEgs%__p&vl$32_j<Lp+2QNPy56p!9@92tObRLJK5A=nGJK zLJEW*kP4v%(jfE&C_Nz^!Vk!R&;pqd`T~@mkOkofWJ73y90+{@N>9jz@B{K7v_L+D zz5t~s6hQa^g%Dbx2tr?g(i4gy{D2Y&El>)fFF@%DWe|QqIfNFdfY2A9^n^+XKcEUi z3sghs3s8DO4TK+13!w$-AoK+&J)s`L4`_hU0*w&*0+gQ61mOoXLui2(2z>!cPiTek z1KJ?8Ks$uK0Hr5%K==Wj5L%!MLSKN=6S^V%fF1}f&<mk2K<Np65Pm>Egcg_pp)Ww` z3D5<N0h1u&0+S*11t>jX3WOgp6+#P4gU}bC^n~dUe!vU}Eie;8Ux3mRW<mG?vmvy= z90+{@N>7*z;Rnov&;s)z^aUtAVF836un<BEEP~J%p!9^r5PrZC2raM_LSKN=6P7{v z0m~t@zzPU`0ZLC;3E>B<g3to1A@l_(JpsDFGhi)5Twoo9z5t~stcUOeHb7{BjS%_* zl%B8&!VlOCp#`=;=nGJK!d3`BU>k%M*bbpDK<NoPApC%x5L#dtguVc!C+vps1NJ~@ zfxQs=0+gPx55f=F51|DPK<Eoldcr{nKj09A7B~!{FF@%DM<D!wqYzr)7=*q6r6)ia zyat?rhzp#A&=;Wegi{cHz-b6Aa0WtOfYKArLihpaAhf`F2z>!cPq+Z#2V8{E0+%54 z1t>k?GK3#+1wsp4h0qtE^n_~=e!z7IEpP)uUx3mRZbJA0w;;5@Z3uk<N>8{0;RoD> z&;s`$^aUtA;XZ^P@Bl&!JcQ5}p!9@C5Pra82rcjgLSKN=6P`l&0nZ?`z;g(F0ZLDJ z0pSO{gwO)7AoK+&J>fNkAMgf33%rHU7ohZncMyKSdk8J?0YYDZ(i1*H_yM0Fv;cI$ z@&zb8;R{4O;46d{_y(abK<NqJA^d<J5L)0TguVc!C;Wo&1Aaqjfj<!X0+gQc7s3zt z2cZT2L+A@odIESS4g*5~BV@c@fC)lhfYK9~A^ZRq2ra-0p)Ww`32YF406T;h;DFE< zp!5Vz2tR-eLJM$1=nGJK0uO{Azzd-T_#pHJC_RB6!VeID&;o)G`T~@mAOztD2t#NA z5eR(&N>31l@B_pkw17B-z5t~sNI>`jk`P)z3PN9i(i5a1`~VpUEg%b_FF@%Dau9xi zJcJfdfY2A9^aMo+KR^jW3n)YA3s8E33WOh^3ZVtmAoK+&JwYA9572<n0-6x|0+gPh z1>py1LudgV2z>!cPtb+%1N0!YfIfu20Hr4wK==WM5L&<pLSKN=6O1AJ022r;U<#ox zK<No)5PpC;gch)X&=;We1WO1%zzRYOSVQOwP<nz5gdboFp#|(9^aUtA!5+d7aDdPP zju83+l%C)O;RiTFXaN@peE~{OaE0&#+#s}oJA}Rfr6+hm_yL{}+TGdNN<kwusWh*o zRKd_#&sfhu*Q^xGHL5c*(K9g7gbIU)@o*BLQBoF$kN?pXfL47mGO#ezK$gdW_uPTh z2s1D+U{f#0z`(%7z|Wun-6sK33&Nmf_aN~AG;w37df2`SkXjJ-WB~1{kYos$1z9Hx zavw-M6f7>v0NeKf5(D8Hs5!8G8Xz$c2JNF`WDtSxqX3D4@NyjPUk^54f<XYfJ{PjO zj)4KRtecTRf<XdJ9JKrwB(8uaz6WZK2AcRmsJH=|_%W!s1)BJ2s5nCrBphJwxd;{K zKoh?X6&FAgzY7(YKofrq6<0tLe+d=WKofrt6*oW={|XhiKokEB6?Z`M7ihx_DBK*- z)Uz>y;$4El15KO<CXQx~AXGd6O}#i&JOWJ|G(8KlHvvst8LB=5O<WTy9)M;qXqpye zP63*F&@?SbyaG+!3TjRSnmP7R@dh;YplMo=IUQ)?plMl<_yja@(6lQ^d<L30Xqpuy zo`7aAXc`41o`EI~nmz%E7odrQmhXYYE6~KVVeUs0FMx`7poy14#V4SNS3$*Rpo!N* z#TTH7w?M^LpoxQ)2ZG$W0ZqIQs(uHW_++T~0W|R$Q1KIJ;&Y+m7tq8PLB(&Ni7$tW zKR^>-0~LRPCcY6W{sB#V8&v!Un)q(0I71<7`2bq(2nq)dH1VTQ^#W+(r=a2zXyWIg z;tFWuSD@k=XyP}a;s$8q_n_hyXyT8d;tpuypk<gK_j{m;zlEv~KokE26^}p@{|*&T zKokE170*BuXJmquKLu#wY*6tEG;waIcmtX^Xn82e{T*oHqEPh{(8Q&n;xo|1<)PvW z(8N`s;w#X^HKF1g(8Tqi;ycj9jiKTP(8MjE;wR9=ZK2{9(8Qgf;y2L5LCal1;qU-W z+y|=u1)6vuRQv;)IB1zH$ebT&;<)N@T;kD8*xN0*+A}F&_Xsn%ghTQdsO<p5*xElu z;N`kP3=P{M?K7C#R<JqZ@coi7@!4Q;F$VPgf=ief7=#%38DQ&$uR@mdGHis3!{$AA zK@B<t6^G3m!o)?@85k5myC|XM22?r2WvF^sKNhC`2~-?5o(~iM3KfT~zsm;ulYxPW z8RAaZd<RUuFjO424r&gx0jC5NhppGKg^C-2#bK^P&`w}+eg@e3v}mZ5KU5qxZUVD6 z0V@6hx{jn8s=g2^4(orw)HgxJVe9Dpq3Zjg;;`{!n0nBDT2Q>e)~B;T8)R#t>S5~- zq077&c0t8q^MWvQPBSww2r@`8EP&S68`L2dUxuoOjqk342s1o^io@oMVCH-Ui$fJ5 zQKBr6c!8~h>4D0rfyJR5Bq|Cl&d&gw4}h7U4Hbv22L`nxLE0*y;;{K*nEFnrIBYx} zCO!))4(m6<#8*SbVe9B%>0}pF9M*4wsXq-Bht2!I#P2}GVdG3N@wZTM*nBZe{2y2x z<P%gZ#R>^G*gC>P&}^>;6^G5^z|1j+io@35?1viX0TYLgbHda|Ld9X@R50-@s5orA z0481y7Ka*&M9l_^^D|6<?(cwZdt_J+6^E^3fSI!cDh^v`_Z({0ai}<K-EuT^KlEj& zIBXqy1n67}1_p-vP;mzn$odc$s5xwGkZ^;|N5bqChl<1Y4~ap|SAvSe_KAVds9|7W z(1(db*ArfWnr{achpi(7wfjL*zEE-4co)pxIH)*m9~=1G9R>!5ET}ka-%b;>{3(Ts z!}dvm@;S)-2Cz7Yg^E{!#rYWup!+@kLCfduP;uD2KFmEQpyIIoKs%x8FGIy)<2o?) zPoUzk^-r*J>?>3pwqNTQ)cp+Xkno4C(}aZ^KTI6DP6%ec0#qC}zXKCDgo?w~Wx&Lp zpyIIkKbUwhR2()>0TWMwio@0`g8I*(5G;X;!{$+8>RX}Wu=RA{bCehu7^Z>6K{`?K zS+F=i18hIuQfN5bfQlzT_g4u($1NU1#bNVwFnhm1#bN8YUqQ`b<N$>ys+}O72w0q- z0k;3a5G2UJz@P{fp8#DicoZsb1Qi#6?tAfuirYiQVe36%;o}b#hs|5S+?fCshmBjp z#0#O~u=Ol3@g}G^Y`yydsJ;DAaoBt^O#K3=IBee+ba^EMX!|0#zJtzp!PM`Cs)wyx zfQf^)GlJDa$6sOM_o3<&pzG^hpzVh@P;uD2D@^@gs5orh6HJ_s6BIA}46ywguyB)t zio@pZVCuD@;;?Zyn79p89JbFV5*j`pP;uD$1(^Css5orhyDwCIDp(xKL82Cd#rYXv z`&_|iY%wq}NUB5J{{b{`#K6Fy2Gz3;svfp(5xVS}VINc+w*Cd?p7T&~*!oFW`S1WL z4jZ3_sRwQA1jP$%eGyEYi3{Q$*!&7iTo@`2o6m!Zt3btJ`$RzFaUd@kLd9Y08e!_4 zz~UeksJIF&&d&hbHvkLIHmEpkzs*5tI++9&hs`g*%m;1z1o;a#p9&M-0#y%NPX-e| z3KfUV3&X^(!NhwY>qEKaA@2PP6^D&i!_<SeM}q8yt*e8H%X34*6SkfnRu1by#bNtr zY$3)oSVP5O>osBK_&~*B^KUTmSg1H`y(UaN4=fHf6p7jh7UySxt*3#h2Q8}yxgR#3 z3=;=!ivx+n)-}S!pFqultt*GMbG|^uVe>jL^{hOQaDc6kfr*R3#G&iPVB+dfaoGAK zn79R09JX&F7+Ri#md}ISX}}CAu-l*q)PR<!gT!I`9AM!OTHXs1hwb|SpX0^AzyMli z3lfLTd%)}kZ94;r!}cq;L9Af_Z9@Wy!`30d)Gvp+6Sf~y6`F3hK*eGEoHC$sa}X>J z<sebFz~cN2uz3ZT`LChkuz7r#_#c=!bbb#e4%*HHawlw_1SSsJCI%8W_yn=^3bb7Z z+TH^ahwVFt)te5yka&cxw}zEV0Z?(+eywbXaSX9gao9Rfn7w&W@eR;@%dm-tN~kz& zJta(i7gQX!pB%a^k6|iQ9JZbbrhXYzJOR4z2fA#P0kr%X<S*E~J52o@sCw8w3Rrr6 z0~Lp@`+}+e3l)d0ONNQ_@j=1|w(bWeE)Nxl?SmD7EN^1ahKj@Xw<|!?GiZ4=$epnD z^04w8w0s#P4%<i34-IF~@?elSY~B}UFK8JqNF27$64qY^Er$h(!}g=W&M*WmPX&p? z*8jrH0WJRoiNp2_!0K7hGE0y+Y&```J!lytNF28Q7A6i_CI}Kg04ktBb2QL=0a~sG z5{K<?hK+B4mW_eLVf$rZ_JWpafy80wIY74;F^KR(!XLKp;s`W+RH5Rq{Xa9H;-*k> z*#1X-s5of51ju~YdOVo>LCds2;;{9GFmcc_C}`IZY664;E$acPhwY1j#TRJV3`iWd zUjil$T9yJ5ht03U%m*$10Exr)+d-EtFo2e2fW-|U^Ww1Z0WEs~iNn^D!^{CKE5PPX z&~!UCanLk1$Q;<Z1(-RY>0q!pbR7gt95meu5{Io@fQf^qGeP1Gfsp$20W{vT1R(JR zTSp304?1oJq#m}O7A6ilh6N-J+aCuT9{?SL0urA9T`vGrzXobPY~4Lfd@ocSc0NHO zB)S+*!Nj5K2Vv@OL&ahHKVai9Z=m9^eLAr4|0@6*XM-AwMDYkh{0m#>0yAG0Dh^w3 z3lrCaio^D`zk`}(1r>+Q$HUZnL&ahHqG9d{hl<1IqhabZpyIIo!Jzq3kQF6R@eeAH z_*w)x27qA}R2(+14>M;qR2;VN3})|cs5orD5G<Xafr`V<o9F^r%D}*I11b*NF9Qqb zmr!xodN7#1zo6o<ecmv8*@PhB44b!ysTYTe!`8pU#5KU;P)8t9pkZEcx`M7_f~n5{ ztLJBc?X!fX!*ZxNZ2cfieFsz=w$B76J`*Yq+s_FzX9ZLow$2--ekW9X0`z<aXt#mk z7*rg#o*t(DI#j#>Gy%lGzyKSUcmfrN?Z1Jk{|XjI3IHfmL>LmDu=8s`?L3f_JX9QZ z{tB%A(t?V^*0sURw}y(t_BFxc*9R&N+cyd8FULT|Ve467=Hx=fVf!gy;&o7Q*f|G* zAV)GVFm!>%p&TS?9$1{80k)q7X3l!3IP5$gSbJ|5R2;V75H?<Y8Y&K3hX*s~4pbbr zjv6NZ7Ag)qzl04sZu|=>4qLAdQ_n2|34hpmHK1`=kT=Aj;;{XCu>7SC6^EU7;RjO8 zz`$S(5@!;CpDPI!X0QZ_Gw?IO_SL}b^#Y5-R3YeeusA;hY#+Klv^`t`6^E^hgqhO{ z6^HGcg~jhwm^gHOG)(<6usFg#80#EZoSy-<&I+dfK2#jGUmP|L^9CvoTYnEz{}(C_ zTc-yT=M#m5^91PmD6sq`4Hbu-x1a^Bzto}Puyu1Vb1b0ZuzemdaZjlD1n2<F52(lD zq2jQ8p`h_NkW>Lw`~ql!2Ll7cZjc}Y0|Tgk11gtb=i9_X#}6k%)x*}c!|YuG6^E^p zgNbj2iVJu^#&2Qm<U3Gt*!ecFa^odb9Jc-(mVbXi#bN6_Vdis-LBbQZ?i?mA0~Lqu zCxeOWLd9YG@S(?nGFU;yVdo=+K*PZuDh@kmAQzergQ4QEb!IU0Q=sCob)YcuQm8oW zd;!pWA;^j*s5tC=n@W%%0|P@pR2;TX4`$8+s5tB#o6Au3YoOw={U|W?dtu_xa}hx6 zBtTkDLd9Y0oMGy3LB(O~MPcHvq2jRpi7@d$P;uD)9GEz-I3!+R`-5QOa$s?g3sA8Y zSe%~$w*M5S-Ww_oTSo^Ij{%FLngQbF;Slczi}N$U&O3n~`@}FEDh@mUg%cXTi(%r> zbE07VqYY4T*t%_)dk#XyVe21Z;+LS}u=9+Vp!xbfSRCYHRQwq%&d*Q)JvR!LFF;eO zp!^717YQ?8Py!OpuyxolaV4lYY+WEs+!!hjJ4XvNUkGx6Jye_ldOlqQ)SX^nagYjB zTm=^AXMmk2zzz+!E~q$cA2h80J_{-iJ3j%mZU$uHDwsHQJt@qcyP@K+bB{plT0rWL zL&ahHB4FxoK*eF_sln>6$53(Der1^YFHmvVId{<Ir40X};su}uAq)%*f1u?ak0d0V zVfz<g=Ey?DVf$5J;(AbV2I%>Luyg&b!QvpFpkjBhI6nhy9Y4&R2&g!0oheK_6Dkfn zhp!8AJQqU=R2;TH0H(ebDh@j*$_Z-yM3^}A90ORtbrDn?b`BkE-fAsW9JY=hX8t~? zIPClv@I3(x3=F5C;;?hIlA!iphl<1Yd&10l0TqXxYXWPpeg}&~H6u~tQjmCo?T>(& zqX8C2QV3-lg2nk6VCQha=BXW_;;?gA!1om}FfjN*#bN95Vdlp}#bM`fz~+zgq2jRh z=`i&TP;uCK5HNcuLd9X{bb;1Ift)%QDh}J{2{UIMR2+653@m*1Ld9X{R=~=ub5L>E zz73c;_hI7Db>=Yf4^VN~{$Q9mqckL(Vf)=+;zCey*m*dxc9A?(Jm4+JHw+B0aX&Mt zIPCm6Si8a*Dh}J{2QxneDh}Ic4iis>io^EB!Nkj;;;?<KF!6S%IBb6uOne4Zd;|2n zI9PjrDO4PG{ur#j-UJngogV@V=fhBO*tt-O(DLvCSR4`t$mDylI6nhy-yh69pvzrA z<vi^Cqq$H`yfTpRhph{Tsh5L_!`9cs#Py-#u>GzuaR;b4Y@Y&5JP;-hT^A1%PlAfW z&h>!xe~Y2wuyx}w^(|0w*#3N&_*AGkY=1jUd>L3A>PaN(C|I1I0k*#!rv4gK9CnTm zY+b=qs5tEWFB_;?pP=HfeX1~Xm}Md12|F(m7LNi@aoD+ZhS2o`vQTl@Icu<R(1eP^ z_Vd8Zw}Ohp_RYh@y<y_e{lGBs7^paGp9)Mo7b*_hmktxJgNnoUiNnPEq2jP}J7D4q zpyC&x=OaOnv1C{e6Nm1HgsDFO6^EUh2AfAX3l)c*KLJyJ4=N5jp9wY(@)jyy06nh~ z)}HwV6^ETC0}D@XIY_*~_T9qFmw}4I&J~4~pBhkc*nSz9dP}JI1n9bvJJ9ry02YUG zkf?sJI6njI{3)3G=Rw6``@&%6uZN1m_94Q=4?x9X``uyU7s2947DAbi!Q%W3u=AK; z;qVzM4m+O_7XJUC;;?gZA42=}T=I}`gPjWjvsW4_4m&Rvdh8d28cZB|J}+$ji6K-R zwqFlsjuTWIwvQVo9t;(S?K^~tr$EJF=a9n2+Y6!Mu>C<W^-WN5*#0Y+_++R!>>LxA z_!6i%Y`+>zd@EG^LIGr4Q4%^2atA67J7)r>{w-7-c1|N~UgjrM9CjW7Og)zZB%CKe z&)0>ms}Y5Y!}d?Y)T=?oCqT~^f|WDoP;uD#$M2!@VoqRjXyQPk;=tnk46yUEp~t2% zWI@GY=h(pPt%i!j_UppLd!XX5^Q2+xSf)e8Vdn$G=HnJY#bM{$z|7eU6^HFJhKV16 zio?#Qg0+jTLd9X{8N$}3Jc5eD&RgPx)|(%p;;?gNVCFL^Lc$-mUlt}V3>HTUFeuXm zEY8mW+cytW?+O)%odW?A4}*%s_CdkK)1l(9{g^QE3aB{jTqxM_d>x9|$LE(o)x*yB zf{jycg^I(@^MILu3@Q#g=Nx<|8v_HwWvDppJQ|q#Copm7`E9WB<O@_BwjUOzo>d7F zp0M)^VB%t6acCeSQMzDpeg@e2Q?T(FJFqyCLMSr;EY8mWJBI>hej-#Hc77*peL@~o z9Ja3&roJ934m-~sw!WnYDlPy$Ukm2nIbd<9Bao;IIK<zA#rYXv=cT~x{Rb6?olAWU zY8IC=B-~)<cz%NRm&Kvtu=Bc3K-FtN#bM_x!^%Tbs5optAk1Djs5tE02$*;{R2+7W z@HA+CNrsBU&efX$wYLN+4m)oOW=^XzXg#nX14991-8i)PVVD9{4?AxOrhX|@9Coe^ zOne(u9Cm&yEFKR-#bNuvVd}3y#bM`Ug70@@U|@I%6^EVI0aO18Dn0>vejBX(WLANM zKkS?pn0gVYIPAO{n7Arf92zJ{lm}Rxp8>W{7N$NDDh}Ik3KP$Qio?#M&4rp(4i&!u zTId2AH-L(_L&ag|_-=>B*JP+T?0y6AeUc0e3=5#*uycVy>&rn#t$~We_DRFsvll83 zJAZo~bR6ImR9s;-q#lGGN5$|FEDkae74xe?!V`9GC}`giNQE3!9Cn^3tX-rF6^EV6 z26K-cSRAAi73YJ+`59p6MZ)rFJyaZaK7TUQ{asLT*uG+z`Lm(ouyg8R>pGT!#Zm19 z@iyQPzXBHLXMo)+kOo~BaUUiQ+OWpJzyKS+dJh$col^mG4`@LhsK2%WdM^HCkfjU^ z4BTpv@PwVe2P-FKpyIH58%n-FG^j(xVdr(h%(sAw!|o-3&3`#V#bNgzJcC}?<p&jq zoreuuj}Z+Ohn=qjGd~9^4m&3X=FVEEIP6?SSib9nio?#^gv|%dhl<0_3xS!x0V)nV zpBT0dWG_@4cHTK`J>*HKIP9ES*!ac`s5tDLOIZ4OsfN9tWrnVMgq?p1Q!lIzx?59% zfdOrQiUL$U?EIX2kZ55rgo?xN?|2NIFtCP+L(e0Hg@X@N9CltMOgt7Q4n0SIKD55b zf{Me=(Se13HB=mSZaFL-JD}pQbM954<;fJVIMmTd)N!ylKLhN%Ias{hfQl=C7XE?m zOM~`v|3Sq+gBDIOFff4cP-I|WVAp`8XV|%vFn3Bo#bM_|!OYi$io?!%gNa)~#bM_s zz{I`5;z$mMGV{RV{0y-3Enw>FVdBtpOkwTz2~cs^IW92u3!&n$b8}$go1o&b^U+}9 zhoRyD(EBZ5`T9Im`~md5PMG=!P;uC~zp(!42dMZ5=y}sdP=Eb}io@<pfXxGPX+pwz z184yf0|Nuhd}*jS>>NgzxDHetcK-!zorxt>9CmIUOuZLW9Ci)>OgtJY4m&puCY}Qo zhn>3x6R(Ae3qa4=fr<A)#bM{Az{KZ6#bM{W!t%uis5tE0L74i3P;uC~`mp?a7Ag)q zhZ<H--GPe3&e?;R^A;)&J9iwm?)N8D9CrRVEPt_SLBbz)KMwSmXa+&3IP4rEnE6Uj zao9PXFmYq3IP5+P(0*W0)H^`MVds><)CWSvVfUguh34y6s5tE0GMM^2sJH<1ylz-I zSql}1-B$n$w@#=y?0kOcbs-E>q2jP}`(WlTgNnn>=YffDhl+22-Y?V-I<SF(f#DET z9DY9zv_3tn#lQe9GC|&l;}=l%uzMq5<E~$z;;?hLVD_?VL*fN?-Yu;B5rc}u&WVJn zSBHu#K=0)_0CkTMR2+8hF3cQfs5tB#E0{SUP;mih!)F@Q2U$>Y*g3Q?_0>>u*g2#y z@gAr+>>M1J_*|$s?A&WuzE}qphuybP1hscJR2+7X0{G5f(7ohPaoD*fF!OIh#bM{7 z!Ngxd#bM`P!o+{W#G&UA!o+!WK;aK{1QMkQ7UySx-ERb&cQb*C!_Jq3^@CiY;;{R4 zVCzzXpyC0CA%;VbUuDREio?$1g}J8^Dh@kO8WwI{P;uCKHZb+Gq2jRn4Pg5&S3t#K z=fc6%?}UoO&W(eKp8|_R+=xv61B>%B!0v^C)$d%o*uza5EY8mWJGTvHz713yc5X0C z+!rj4Y$Jr71QzFKFi3&4^ZTLe=sKX{uycB0=FEhO!_Jk5jX$h}io@<{f#t`oP;uBf zRWNgoLB(O`YQn^?L&YaRD`eRElebWD*gYb!a_J{j9CpqiEI)GTfx?ZS0e0>m%zSC6 zIP4xFSiPwM6^GrsGanK>3?@)<*g2{&b6la~u=8(V;$cv6*nLhPq4U4VP;uBfkTCTn zP;uBfxiIlosCWVN9w*qi_avw|?0iI+e-}f=Vdu!f%-I4Khk6EyIt3QzXMml{1yg?; zERLiQ%KQfw=VyT3a{()px%ENe3{`|gIfKRd8DRH@m_qBL0H`?ZK0MfZ&RDQGk^xX= zE?AtO0e0RM%$;>maoBx-u=N1lP;uC~x-j)~z~WFtk*J+uaefBa`Gqj`r=a4n^BG~{ zx545_20)n~!Q%W3uyaIV>X{5c;ls}WJKq^5E({fio#zV^SAmK*fHrP0FfdGlw(||4 z;;{RRVC@iFs5tCAR+u?{U~#D9kf;o>I6nge^xnPqVi3t<sQ3l6^(qZeaoGJnu>Nun zOdNVoiaoS`oB<VwosS1|&q}B`?4FR9(DbkaDh|7^4K_Y@1S$?Y&mCsYRj4@ZzB^cb z@c=3gJC_xv{v%i%;zwkX&kzzXuzM6!K?ehX_PasF;rA9o7oDp>#bM`B!pt{?io?#^ zhKaku#G&WJ!^Fd(;;?hAVd5E3aoG9kF!4&LIP9LTMNm()Ld9X{iNVxQgNnn>^M;8p zhl<1QsXPZYXA4vucAplk+&%ymhn>p{Gv^{y9CmIpO#Bf{9D0sEO#Cxc9Cki7Oq|6C z68;6yd+cE4n;=vib}tHSJ&7Vz9Cq$6%p4=AIPBarn7A`k9CpqqOgscC4!ge#Hb0&S z6^GrI2wSg`0~3dy;|DXR7Ag)qj}|802Nj3i*8%It&4-G^?vsM;KUxD7huymXE6?|W z#i5aeM7;xx^E1HCt%uqBA1V&JM+w$G;W37WGxWXzn0i^LIP4xf*g8N>s5tEYDcF8S zGpIQ1o*r1g$rUONyH5z#j|hQ^!_KRQ*_#R#hn*h?6EA~`!|t_#g<Bg`9CptUY+cY~ zs5tCAQkXeQpyIIm=>(zU5*wi6u=9su;d~G(4m%eUX3iz3IPCm>nD}F;IP6|DNk>TJ zyoZXz&QFJ_XE0%45M+{op9=?3&A`B80*M#cePCyy5|U7H*nL#6^`fd!aoD|rrBL;T zP;uC~uP}R^pyIH55n$rMP;uBf-!SnMsQ3ry{WY-hx>Be(?B1%AP<xx8;sFmK0{<b+ zM~0bTaflc)xeYAN&j7nW61HyVFjO3N-ZjiUS774MbJAhrPod(ldvjsqfuEq_1<?Ec zVC|FtP;uD#yD)PEOd;Vv0eZeNOk5Ev4!aKtHveb{6^EUN3RCX{6^GqV2FrK;U~%LS zfUxty;`|J-^ZsGxG=Rk+YLLkVU~zs1*ga{mcv%Y-hu!-DGiM)E9CnW!>|B@AP;uBj zR<Lz1x1i#%^O|AiyoQRy&gqAV|AC6b&dG&|^O`}z6Lx+&Ok55s4!hq6)^67aizE9K z!VU+E^E1Hi<AR-=kp>lq-OCCKhhnHW?0jgLy)962*u7uS?l;3^sCWVN9#mMpv%n0J zPht0*LtMkauokKwc8(~_{C!Yy*m;>S@$*n|*nMBn>(UtRL&ahD6vsfzrB_gK*gd<j zdGoJOaoBx~(C!ceqd6#?VXi~a;$U%p_&sPa|EfX7VfW#{+-VLKhn+(T6Ze3M!|sXH zg|^c|pyIImdST<42~cs^`RuTMdLdLCc5W%m{3fV4?0#+7JZwKy9CjZuY@Nw0s5tCC zAecF;q2jQ6q5GlztZh(n*gYXI^~a&&u=Ai{;y0k;uzRyTp$qpPL&ZNp@B4zKw=Ym} z*!`wyuqdzq#S1?J?EYL>`Nj(shut#*vsVr(4m+<MCaw<_hZ%~XeZb=U46u8YVCrL` z;;{Q^VB&dDao9c0uyj=k6^Gpq0aM=v6^Gq33d^U{pyCG5d&FS<{pC<`*nQ$J#363o z1Qv&|k;wyKaefBaJzy~NFG9sX)Ilnc<<NNi0TYMbCkIo{VF?Lm*u7h@c#(vP!|uIY z1GQWYEDq%$Q3hafeufXw`_o|la)gS*&O?TUPY_HTdQLP<JQ*eqJ^vRbUIG?JvJlFw z2aEGF!0z!3f%flupyCU5Lc#%bULHuxW~eyqzBfMTMEeo2I7kI5eg+ojXMo)g47*<T z6I2{_?>%fC$bYDK0Q8<QSUB@rLBd%%4Klxf0cx)tR2+8S56r*%P;uBf<uGvvs5tC? zRoMK#FH{_M9zINc984T~uXGzUJ!C`0VfU}ch(P>Z1{H_hdm020W@v_r!|pADnLh<8 z4!f@bCcYFZ4m)ofCcX_S4!c)20&4zYs5tDN5t#ZbFmdR8ys&cn0aP4z4+Kp8N3b~5 zP$Y`c8WR4ndq7`6<@liDu=^(eK*i;t;;?&^VCL(?#G&^C!NeV);;{SfQ<NbFc|*lv z_n5%c$H2tBAn8E}nr<_p;;{P|VCt)&;;?(}VC$N?pyC^#_rt@+6Q)ANVdqrC%vlB% zhust23ms?J3>Amn=L=g$aR4d~J0Bco&PAv=?3`_w_#>z|?4B){_-Cj%>^?V`IExJ= zUSRi^!1@uQP;uD(6)^Q`P;uBjT`+NTsJMUtWWco@TF*K`#T}sc+`-fbL&ag|%)`V} zpyCst=e)zjOQGVh`*vXBZBTL8{cbSv>0oh48bBrwg2h4YPe{DO)L(*%!|wZmi9d#l z!|r2(iGP8L!_NDMiL=^5!V`8+7ED|WDh|6B1tzWz6+h4d3Ab&~^1%oy4!f5PrrsGU z4!i&Q9W?#;L&ahD{K3>GK*eF_|HH%!q2jRny<z#f7Ag+AUk|3f4<-)1cL^pwA1V&J z#}?KOUk4S3ou3a=zaJ`|06mWjbiOkveojNhVfSRg)Zc-M!|q9imFI6^;?Vm{VfE%e zs5tDNOPDyn9VA|0_gurqD`cSJuzO%&_Ub~#VfQz}#O<KsuzMI_;{H%^*!|P6b1h<^ z;;?)FVCr+B;tQrh;vKe*r2;AryRQhAA3LGqu>0I$^Rv^S;;{QcVCFA}i9_$bfQj#b zio@;~f{CAmi9^q|hl$^Uio@;!gNeU}io@<7f{Fiuio@=sgNgInL&CqH9#YWGg5Jk0 z4i$&pTMC=E(twJ??z4r7TSCQQ_qo8#_kxPU?wf>(M?=M7_qW2*c@9+kf+XY|kJ-@n zKm}MFlp;~_9I!Y)1MD7OSUOw-6^Gq-3>)9u0~J4T3$lP~0@VDIQ1K1!kakfrRQx?u z+yQzYDlC5gK*cwlhN!;^bry#MBs^jF0mH&a5-JY6w-)A3EvPu`zDZd4SVP5O_kcr} zUom(<#bNio!PG~>#G&_3!o;(n;;{R4VfI!-#bNh+!qoS`#G&`Y!Nli6#bNik!}d?D zf{MfLF@mYz4HXxF-ai8qKLZtq-8TpmzY7+J1|kym4J^*j&;Y%c9p-)}M@Tp)^g-@} zg^BY)#bNgW!pxV4io@<#gNYk}#gQz8GIPM<{0t9xAn^-ZUr_-Shuwz(Gp7?O4!iFJ zCO!)+4mA{sIsz8wXMo+$2~&R+Dh|5`7AF1-DjskVvXKIGJ~ha=k5F;gJtHvnOiqyS zf!*@~6BmYx!|t<!iK{@xVfSsp#7&{%u=~ki;%-oJ*nPaP{&+Y{9C{BDOnnAa9Cp7S zOuP~*t^hi)j)8#zdi)kc3sf9-A1h4#RH(RuIb@$cEI%%SiaQ*Fgb(OEeNgzUg^CMY zf|Td5dDvZ0ao9b*F!N7C#bNh!!o=@D#bNhG!NlJ}#bNgg!^HnV#bNh9!NmEUA@TBn z9g?oLfE>xdz#t73hu!B0Q?KI;S_g=@?*pow!2+rtb{`l_y(d&$0DA8aOgsuI4!g$~ zCY}uyhuyOT6R&}aH%x})FIf590Tq9+0ur9Ed9le*@d7zWxxE7F&;>AY=sl+}^EX1p z4^%_Uhn<(W4=N73j~$k;&w<6Eh9Xg4!Q%W38=N8Lz~+@0T_EB7!5q@yh0P-fK*eGA z+riwU2o(>=fS3ciuLWd{E>zsW5h5M}F^<6!EDlnEisQiI{0tYM_eR0Y&xeW&m_f{e z^{?xp;;?(=VfDoXs5tDtahUi*nD|9V`hm5V)<VT$_h!TF-3Jw)U<0uiHvVu9Dh|6} z5!Rl$4;6>q>kKpJ15|v%Wk~*-1a05`hKeuP1xXK=q4g%4D<u32bRhW@HeM|Z6^GqJ z1~Xp;DsB)C@z-~Va~ZtA;;3N^;-%sc?*fbSGr;b7g_%DaDxOdYi9pzTo)utmkcp^x zGY;|dU~zti1D7D~bcSlX4HXxVf;b#>K08S2IaGWDbf6TrF6%E;9Clwf%$<C0kZ_xD z2&5Zv&WQ?C9CjZ&EWS*k;;?%&Vdl6&#TP&~P{RBh3>Jr&jZCJ3#rYXv_aej0DT9i` z?%#%qw?oAR&O_oIR<2HgiaTgR;vLrBUI-P3-HQt|XA@Ms;0GjLVB_Tbq2dmpgBckZ z7+Rr@Jq;Fza*(K-IK<z8#rYXv_j|&^?JraucF!ElJ$&wv@IPPyDaT;vMaV<NA3*PE zS_o-AGMGZeVfXC8%yEN?Pk`R*2on#7io@<zgo$TB#SiE~!VQ*RN}=Mg`yFBG+hF3* za~t+Uf{$S`SR5%}pv(n0#P@>5`58WFL+q`Bsy_u4Pk@>aI~U?QSRASdneu>y+l7;m zfPtM;!3`6K-ZKVszYJI$*#HRJA1uz#ut5mo9?*SJAem^WxWYk*IBflJI#e8X&o#{a z3aEI13nU(4^++dF{DUlHL1;3xVw(aM2ib&*Pk_bw8DRH(!py%36^GsH3lo0@72g28 zpB*Ot8!V1$Hi)O-2?@6YlOW{=tUaI$6^Gp;2{XqIDt-alo`KC@ctXVsp!apd)JH+Z zVfQb?#IvE|0e>Ln`4?#VDT9fpLj*wghk@ML3>7bU3n}*uA=()F!QvnlsQ4gQoSy-9 zZ!yf?OHlC*vmqN^Vd9U$;;3eTcrsp~@By(<u`yVjp8<9cFf3oYK*a+DA@0nDbZZ#` zz~ZQ8fOrKs#Mj^uKZis70a%=$q2U4~eZu_p5h^ZF28myoJDI#e{^Do25DFRZf~}L` zhl(!{gP3y*>V9dkILO7Q*aj@l&j7pk5N5A0RD3}u#9mlCISMKcyB8IvJ{u}706ixb zw(hGOD*gd&y-f#H9ClAV%$%7}@dru}dtu`|OQGTgE)a28J8dIW{DA^Q9JcOgFI3#Y z7$Oc^r*Rf4UT_1FK4JC6EvUG{bx3^#TUYZGD!$+ZBt3kG2H!`hIPCsQnERQ0An_8Q z0x36O_6kGA8%$B%qYM^@8j3{efW`S41Y#iZ3tLZO2^F6Jz0VnDuNO=ldQUD)JQ^wv zySEl5o&yz!-MbAFuZ4;~KszU=4=OH@2yqYW+?d%=@eQgFf5Fb%Sq>F{U<65ru=;2V zSRBctQ06JHI6s4c5^A{JhKWP(k%hVQ4OAR<Z#GQ)FI1f27{p%Kc>-L%ka*d!7PZ`v zhKhgigJcxge5eLgyx>2?U(n^+45m==2MUn%16xPr0u@(qfrvw!YYf3qafYuDbJ8Hm ziy<B=UVyfaWjeTi<Y%~$3JGV}y7vN@dgy)aF#k3}#bNho!^9^+#W(bz`gbu@9CoiW zO#K$9IPBh7nD|ksIP9KWnD{lQxI+mfoG(Em{x4Kq0DA8<Og*0;B>V%Q2a^4Sj)zM_ z#SMZ`-Khf=4}cDg!Pf0KL&ahDlf%ppfr=aKgXB}#dYeS3_=ZEM<`+T56Z9eOhn>4v z4;6>qQwuYH0#v+!8KNGxUS%#+9CrUWO#M2jc*AN)yu;cLyP)D5;!)jm8Y&LEuN7v_ z9jLg$1yuEKq2jRnOJVB&fyJSj3W?J3hlIZX=s;!$$i15&X<Mkc0ouHqA5=WyH>91T z3+=B(g2h2PQE?7foSy-9Z#m38wNP=`z0)x9KB#yBbi*!8d_GkC0|TTSfQfH_iZ8eW z$w07n%WkOnhZm^fa|R|}gDQR(Dqipw;xE`eFE3!?y{PJcLd7SrLedp%9*{Kv5}pY` zkbDYTA0h-5zfg+mer2fm0?>t$3=9mQ`#3=%Xap56KwH1z3>Amn0}Ts@5UBWsFi1HA zo0mz3iWh(m07u$SR{|B^V2$dpR;aju10*B%K#XIU027DaTMV;zAygc8PcKY-QvhUq zDFS+*EXYqFydA1uVKZv_KMWNwn2+k7D^PI-KZrwM<>zClct9s=cz%J37eF_7!OCsM zKuElN(1+LyTlc~X6>k7taKOO806P~{7Ah`a4>2E>PxYYU6MjO{8*E*QB~+Y27or|E z9^wTRpO6d*Paa5h!Vn4--_U^S-!!Or0O&w+r1&a_iVHv+8X^#HF!Vvi7qmgrVFq+v z)>f$a1b>KoVC|)2P;rBgsP<lmio@<7hsEOysQ3hE{|F}j6Dkh7R~shI6$A-q1wTmo zhpj&phl(H2gt!M5J{nMQ1L!&#Xt#;M0xEuCH^g6eA<@qe4;B9qit7FXs5tE2a+rG> zq2dXgkn(vR)SN!3_=XHrbLNA^K`9j#Zw8C=GZY*{ReuC3egUmsy9yOwPy~r6So{1L zRNMgV{HyO!aoGLXF!yr=L&6hwKRrxb5-L7n86-Sm;#yGg2ah1(b_P<MF_=Nc6{I2I zU;y==Gfcb|;!fE5mH?PIv>yR0$6}%46QBp=!|ct2i9_pISbnJki$g6#qUM0b`56>u zLhOab`wFP|1y_i_VCQ)3go+!up@#n{sQ8BEsN%Pw;sK{2=D^(f1}c6b0g`_^AlZ`P zJ6Igaflwx62qgRq{-ByK1Ql-pUEqs!PJ$9t{J<GVIK%8UhKg@sgv1N1zOaLeH`GDG z0T%w=Q1K5T5OG*|MuWwnjzgjf!Q%W37og|Bz}D3?Ld6#dLi`J>uX~{48{#4P6m)Mf z$hetMafU*Oy|DS0RZ#JUFo?ghWkISL7`8#h4HiPw&w>au9D<31F1A1_$1X#~Kg>l9 z&nHlE1uIB|fbJy)8TAn?4q~BV{!mD~T=)cW53HSO0u?tfM>XFSDn5Z3;$K+2goQ%R zfvMRJ5r+;lGo(P(U&usFKc!Id3DOYtFmu{q;+3fCr^Ceep^C47ieK1{D!vmcUVygF z;S^MSf-giptUSLB6*q8&gg@*)j@MA}18$H44|H!XC<K2&#T7yz=@3?bDTG17Qz08- z4y<3V3l<0IM8!^Eaejt|9!R>n18oQTLd6XdAm+g47viAe1>O+%!^HEU;tbGv^G#4| zYM|l*lOgJ1;oJ)oM;iy81r~>DMxu^_#rYW&pz}enb@i8^;to?F?u6y9dr<KUpo=+> z((QYwxWGD8_b`M*!fnELNO;2PcOIzthj3K$WuW2*Y9S4Q6_Ds*P=ktph=r(!g^xK@ z{DKX{UYI*QpyCE9ko12MYECFrTmf`pIs*d(Y@b>RRQ$qKh&izEEQN{}bfM;#ZP4|r zT+sEClF%@11FMH}kf?b$#CL<m`56K<Q1i<fsCYpRs=ari;sH?*4+TJiiQyGg{DLLK z{jhNO4HYkFK{bab0va!8Ao21F>Q)J;_=g>+>NR2FX#2jcpyCI1LBbi9e%ztr8+ak^ zfrV!TRQ$siRP!^T;sG;I)mK5qCtN@k?}myW@PvrN(!(66xBv%gc&>$tU&x23hq-4T zRJ=hQ5}tw3e0mltuFwNXZ?N#Z2^C+U4+%FW2}r>Ghl)>-hlB&{{!{KqNH`1Bq1r1G z32Ogi?i*5us$akXF@F!lSq%DMafn^Wq$^mQpJ9R=s(-_v;tgjZ?t%F?9V%`RjT-(H zQ1J_PsOEG+#REVW?jYs&Sy1r}r%}yW4HXY?Mm1*-RJ_3f5}vU0a!<g-CqTqu;d})u z9&iy-e!}Lt9zw+@fG#jXa?dBIc)<=xfWgKm|3k$$RH3?4APN#M2F|GBiePc%5QeaW zz~cN27ohWETOq;>aZquASE%uu4;A0A1l9ZosQ3jNh&y59VEs^WgV(6)7eK`W(B|3K zK*bfJAQJ+e(1p?4q2dQ-Lc$HUj_wdtTww`B9Jb!$EL6PUC#t>opyCsDp!(}QSRCqk zB<ep{oS)%C0jfCy(U5RHa2Vpw$q?%qq@m&y5>d_9fr=~iL()SmB$ya1q2dlP5OG+3 z_kxNyxI@f=iAO`l4}?L)VePaWsCa-G#9-L^gle!jl82zo8DMdKhJuHv{#pqYpCF2w z&Ub;up_-AX+hB2ih6fxF_bUrRB%g!DA#4;f1`=)o84&lw@~<FN{6huA99X|e2`XOD z2=Nze-dP_i9<UY?@UV3wRxokU1zt$`$QvrYp&Zq}F;MXdmmsbzgjmB+1Qq`<9aViZ zRD8laRQFGTiZ@6?%B9Ira~43w1I|I*3Cr(mq2dnE`B~UJ=`N_a0O*29(0v$CbB;m9 zH!Oq*z{WQ&L&Xiy#=D+C#TBd|;R)+^e}RfGfUZA;o%h8W3yGHrArODT!e0z3-T+-6 z0v*<6P=bmZL_y4lm2XB+@d=9|=D_0D87dy|6;(V0CcYe1JQXTl@B!jqSh-gO6`#O~ znm(JM;tQY$#KOjlCPBpm<RJCVEXZ^+!#t??gnCqa*F(iO>_m<C12FLzRPl>oaY))h zCZB@E`58VOh1mNHD)|vAo)Cj-FH;;O{6A!&nlB6$Z$Mk0rT`T$;6pXX5GtNv3yBw4 zygNe04bGyP9|RSja1i1^*tsPcFmYYfaIS=k7YINaXs~!`1B*k<Kqlva#rYWopy3Z& z=eZIpe&H}Ad|>02JHg_}CP3Jy!Q%W37eE)@A?52kQ1K5BArZR-l3W;`L&XK4>kw{2 zjA8f!6)(7r8V;=Sknj(<g&Lk>Q1K5FQPrzM#SQ9F#Vx?%P|J`gFR(a2!-w^#>Z76J z8{VUe=fK2SP~B4t7DqA|%A5ig=V!P8x^NIFe3nAR1vF9PaT`>80zazwaj5tKVMx4u zfJ8IHWvF<82dX=tK*c|x&DVSdi$fiUL~$fQ!uf(Ys=bm>aRCujaV?m*JS06Ig2t~I zRQv*TT>-3L><kkxLJhYNsQ89Ph&l5i)iy%{RJ=eKQf|ZAKRHnG31?BwsfCIc97YX? zKB#!YUC6*2beSN-OsIH3IjT9Uz~V>&17#k<A$|)i&d+cm4b`02F!2MZ;(x&6P(zU@ z(L_l2Pk_#+!^(3-sCdCNRDT(P#gPnvG9$s_{0s)r^*pfowKSOcbw~iuf~KEBsCdJE zNIeLvuWO*<0skQCq1&4o+M(hTwxarL22{M@0wf{8%AciB@d+<b)o+7}Ur0a|KMob2 z(2Xj711c_^4M~S9q5i!K72jZo8b0qp;!HdY3>%;dq0|?UIB3o)3zFVo<0_0v(C}|X zwO0r#ZUCJ(hoxsZsQ3bTh<ez*1AVBtfIh^XuzJ=3Dn20{q8=t52o)EIg{X(k*T+G{ zCwxRzpAQumK)dg_0V=-15RzYD^Q65{ae)=6=F9_&LjwhgIs_KyXSlE$RsChC_=m#~ z^I`p|2T<_^Cn4pM2qgL$-oV7s)(QNDieErm@4=f43V$SrK$)^Q#BIRh{0s|@K-?1z zwbv6W{viz#zp(gX2u?04G1SXvh>uT9%8V~bOlL?gDk&~0O-s{DW=KgbN=?r!E=etl zFUgHh&dJY9EoO+1Psxu@&&f|p%!yAa$uBC7Pb{rqNY2kK$Vn|pP0=&Jt|C4yGcPkf zv8X7qGCno0q^Oc1ttc@!H9n;@H@A`@J|2k|pP83gf}*q}zaS^4ESDiK-GCu4-4KM$ zK!iDnuwckbH!=bfAXy_Q+ZZfj0wzttBuKT95k$EqNCd3J7-XE0G1vgGY7?-42}A&_ z#00DwY=|jX(iAKJa)OZ=*iJLBuo+m78CVb4GO%4BwZ<S-U^|RKvc@2bj6nt(gVY&= z)ER@+nSj)Rtu_IvGXbeH0jV<qS!M!KYywgYc9AJaG1x(-Ad5{wI!r-2OhGzKK{`x9 zI!r-2z)l7`)(j+J29huXNtl7`H3u1G4w5hjiJ61MEI?uwAdgvqjJE(8Zvisd0;J9Y zWPk-ou?0x6B}lO)NU<eIv86FXMR8_sys=&~LwvkjkfX0_ysMu}d^|&Zyt`j$ysHOP z(8DE&A>Q4`-^tM@-rvnF*fk_R#L>yeHJ%~fCDPB)*VCCHwIVgSv?Mj&#L&=;p`<7= zuQ)NeBr`uR-pIhr5LLj)$QVVy)Y2SPz}V2p7-68XDVlyu6IA6!rYOc)7#cEUW@pA1 zm*kej=jY{Q=A|MTW@(9{*woAfRlv}|4ApcqQ%gf+{RSxdjV(-&oN8cUiKNsN)m=uW zrp63urFqFAiAgACm>3zO`_SAN?1i$N{Pg(JyyDFCywsHV<iz6C_zF-SPAp1AF~Qi< z#1f$)BQY-}CpA7TzbH91z9=;(6{IgQFC{*uD6=fJD84AQI6tQp6gjAdn3y8GVrF2B z=6Yj8)NnDjK#MgqQ?#HoLiLrIC0f`U8=)C#YGG)O;v^#zV<dk;gP}Apv8XaWH#4ua z7#`Rb#ug|Vjg5^_qt(O^-7XUoR1cb3SfUD;8C#em0yR0eAU@P3AT=dDHQp~c$iFPL zC?~N1?f^pr)X*_9MDx9c1zfGMu@RhYWN6AzT$)r+l%F1-8*i9mY;J5~nr3cklxS*U zXcBK?l4xm}W?>PJ7BI#JMo5VgVYG>%DQXCsqjD`wP=d+Kz!DMVMuv#QYk?3pF#rcb zaY;&iPJVi3a(rS@W=RGjRc7Xuq^749#TVot#fOQZp%IErMy5zv!vfV&W+o=60w#t? z&N4xDGFqZDv@k%8c@s-xR1HSPmZ+v1n^>Tx4O1fv)X1|mM^#{Kgl>eTnHe}#z!|hS zIU_ZtG$%D4x!B9g&x=pW$xqJC%uA04rQF1v_=5bR5_rrTn!%ZtXl05ea^xDDSu*6N z<`$=xIJo<GIyuK1=^5#n!u6UMTA~Jkv85Rzd6^iRqI(TBk(-*L6~<=92B@AlGO;j6 z*k){o=2lQ9ftCG+hNzOJMh2+18KH-)nUMvOSB%Y2qt4g@Eh3DJj1kI>(Ohn7Xn|Vb zm>U=%RD+Tfa{L$@A{%7}N#wYr6B>iXIr-)B#RaL!nQ4{rDXBS$mGLPl28iG>M6c`2 z&?-Yv<%H}E6GODbXl9I-gN@7#P`zbpVTKy2rY436f0}^WI><H{8lse;*wQN0b7_gi zCGmOr@kOcWASy9E9qutu%)nyV*a$U0nHi#GA5$Z=L}z4zmZnTWZbB;d%#tjUOw$aF zEiKHGl1+``jZBjaQVf$V<IxIjGgGvTXoQ}1%@Adni4j_IGPE#8%?zgIXm!1zg}DV8 z`8u^MHLoN&F()TJC$+fP7`5axMh_ly1N7iQFSISu3rHga)F3y;$fV|IUNbg8Pev9D znW?F%@dfcFi3VwDsmYe{#idE{hNwx&3@IUDHiVEW2sG18LG>RjftVN?A<8xrV`E5j z43f7XEt%ZXoRZ9foJy1`!pzbPwd651Hb(WfnIT$vVrF4x4)a!ufu*U5Wl9pnTZsD0 z617Y*v_$fvF-9W?sW?I_SB*@O3JkOuG&V6tuErCS4bl<~jM7XjEt4#bQ&Z!Ul1vg! z6XPw>lAjq`yU!9WBN>BAN94S0WPql_*wP45I2&3b*k-7S(8SOJHKGmC(}$UX1yYLw zl!M{XX>5*K!x$N(B}WrO6SRWg)Ce^yjZ93<k=r>qGdxoEH8eu?19D3nwNy2>G(&Zv zg%MH}XoQwljg8R-K-mk_V*n@7f};F_#Pq}xl<FKMp&FuRU=#G3#|%{M!ottk6g4c2 zEzMCw%Lr6WW)^4WIu&JtnwRORCE+Pa;YFDxsYQ^|C?h2&z9_R8)RX`<Kv7&{W`Nf8 zG_^$Qc$gU&TM`xcs7b^aJ+~QIqV=@U`e9}m{TNWVz=FZVzzj7v8(W|mXJ~+yNKHVc zN_>2JZhl^TaY<rPNqjtt*G(<Z@~<&+A~v)@O+RL)#)uSdjMhFivqVb%pdKetwG)bK z%uLZdXo=w+b3{ws5G@ZGqKCGri5a30Ge+xFn^~guZ;Z@9C1G}EJU9)fq=H6yKqVo# zW09FxmY<vm>hQ&9rh$ieiZfDEP#kY+j@CIdMa@yBhG>Pe5h!ku%O+FQa@H7>Ea7nv zYHT4ZHZn)9s14BUHZm}P)ES^5rp&~g%&OG*lEmWdc!WpbEfb`X0yET7!PE?``Y<#! zK}``BXn|;Eg4VJ!GDWHojf|1n{JEt$@yYpl$%!Q>!Ds}k?O?t}YbP07qPfS^3@w2e zgY1XtHZib7b*(Wdd%<MUx<Mv}<|gnUF|;sa0LL<_(@czzyR-RuWvN9a@dcn<Rh*iW znvCd~n1I^!$gyQ=idHdU<U31aqyY=8C1^af&PN@%Ff&F<<R+#js4-}a-qbV&Whqz? zm>44GXEd#5pdln=KNx{ZMQ97iGSNIW%`h3#R6q-4P&t528B&YJz!J@w7U%`8k(nV< zfoqm(X>O8iXkd_P1Zo+i#V02vnWPz*CC4K*s7wseJF*zVWEN<x9}^?A6mMi~glOED zTN)r$rp6XXH6mL5V`hq$4oxi$5G@H4Q&S|r8={r@Mn;B+LKTz<k;B~B2-!Vofn;ie z)?G6LjcFijH!?(T)EXM1c7==$VCfE2LqdkFq2pum#hF#8Y0c2w95vp|Kp_OG_mhiK zLG^t~DySpG04_nn?f?ze#lsu-Nr}nX@H}R0f(TDgV;r6+4J=SCF*QZ2{>@B~2UkEH zA&?U?QWFcnBkGXi4sMT$p#f@R!ORrB6fsAu|II+%2bg;dk-CP4&`vHWtgwz*fV`iW z6A$hOf`Sh<ur1LGN3?8Uj-IScOc4pt2+fhEhL#9Pv_UFE3qwR<hBQ`YVrYO`$Cw(U zr706j6GUg++|s}l+<eMS%*n}5Myt=v(7TovNKJoZv^WHH!C@f^YAk|N2XuVf*wO@% zKP*rq%hcQ$;Y$-^ON6%!(c9GKXkBC@6R_LCi7^>kSQn)x=ERre$CoAMl%^J=l<uaW z@ikCFN-4-MK#{RP>WrCNqBVO=Ezt%nEYMo=W`<~yV{B=PNcl!+13pHUX#Eo-)bKDg zKr69K%`8z9nHl=Pt&u6(u&l8KQn7DhYG#bR1Bh^>iJ>7{1Kb47NFyV(q-_i;8IU8{ z2vqSP3z#C0M41>Og^3BM8-}dZ&;WTr&e#++Vv9?_ql2j_unH2jQ*4Z!EKQ8j`lM!- zXmcZGrf7pj#)fEKGc!UDaWk~6i7|s?jyV^AmhX&A4Iyod;?$D(;<EUX%7WDRw9K5; z_=3cejQG67T-2i22z}NCd5F;ntsF5nF+{4349pSrjhTTlB1ajcRTie`%`GGJ?zXWJ znm5eQCnSu}t6U>c&4?1Y<`$?03tCIb)W96kiZ?-T#v2);4M3SAiV_p_$_`^p-Pi)D znno`h&5TWuha~XKEI>xQaE|Z8r=K#5<5LSu6LU~X7jx9+lc@z-ZD)!;^k)njRst1N z;F1k8my=(FVx}chTh<7vDnOrJGBrV@3kyU-FhMO*OfiPW(5D+r%~0B5=tE-Y(*<Tm z=xreMv1Ky@Q{?uaA<oeb^x_j#mBZ>8W3;l<7<sM+Ezy~RdVk2t(!|gbsQ`pjgWv!M zO=FcM=3tw`GBQL>$wmff{c}^$xDU*ENO=;iKru2v8?`qzLW>e(OEW~wnHZxD-k74+ z8Ae9tXb}qPlz~$as3DV_k(!(xUzC`ao*JK@7GIo`nVcGrRs|YcqQ$APA)1-y253$+ z1vwEpM2*ah8R9)deB%+zEkb;qL5nRy5|eUJi#KCSv}|nzDvMwtXJTlI+PpAApNh0Z zYaEzbpiOlcTNoqC+oI(7lA_E+P=B;E54AgLXkZCZ2bn;MPsuOEa4V>sfIH0yJuWPf zC%+BRCVxO}7H~v>rm3;EDB&|;Wr;=c#i=ErHnagl87Shwfs3Vu4Hk#Ct&Bj;6PV*b z<spo10_ry+t29K;9VY0LuV$cOQe@@E7G{WQ#1L)%&Cmd?!C(YxMaO&k#}}8RWah<} z7NZTG7#X9N2%usR?p9E55ZQ85bL9Cw1GGRjHb*N$O$^a;yD@qJWNcuFl*K`Ha7ji{ zYGR6K3TP2Pd}&@$VqQvqE=nP5W{fuIVrFWJ=scRBw}{LQ5#@=o5n>q2#J~(u@){eV zw$IHBO%S1MVrWF%D5N1$0|{RFBxRPwC+FuTffjQhhb7t=3;K+YrKu&PKUbUyTKN=T zP?VpXT3j3tiyK2oX9soC3)y^23q*~KHY8?dVvZ=qEFlq)n3I{FhiJG%$KgP!95VU_ zABcl@@X$&-L$v7%Q)8s|16qt38X|R$&}s`KQzXYBjj@;_V#~w`&2D3mhmbvQVq}0? zQkfW{EgKr72kjZo%SkQ{;TGqkZdW6<mmxPXPNX2V&$T9}xCR<9+NBtk}oQPQ=E zkpUv5qPF`D4NOs6D<*~(i0-0^AzF23Vq}Vx&W(^l4m9NsD))$LGv(!%U}-lQnOG8+ z8%!9AGjmIG5=&647()Z}LIFKL8)0;<jG(KEpu-(WIf=z3sqj@n#U(|ldFdq?D4}U; zi8kSCVrYOGhNdRwsM7|fMrdnQj6hW>Jj0<4XBZlwr6^EU2UBcfYJyZG85*ND42(gO zf5^$z$Ox%HXkvg?l^KCdMpkZafaXwRL$q}$#^z}Ct|@2?71<0^3$*UB33`9o2sF?D z^N=x`jc5~5Mixk&8)M{QHxmOyLN!8drkSFzZ!t1N4K-BdX2=tu=wpt?=4kCAq!nSH z^<Qv*pk*Xu1N6mI=B9{}-w09Wn3*%g$0t`Lf`+HT<N8?Fw1E27D4}eC*2FYKPlZOv zRVrHl(a->WR0cFm3LWD`nKecYDML%Nz%T-p#mMe5GenC|Ljx1Uc#n~xF`|9|7bYlr z(Fcu9EYUjmM&@Yq#m2A<j5I9*uUbIM58#!GIchy@0?LxGxHAE@l%T#x83;$s#zqEc zZ5k6pv^fVe6C;FYO^ngUJ<+H8ObuW|TA<b+*483){1Lp!CNVv|C>1mf3-=Ug9R;Y= z1-Bm2n*Eu1DXA6c&3;oO^jT<Fa~N(rv^{_{SdY82hMGEzjL@2C7RHE{f)U!pk}3L< zRbxX_OW3#-N+ck1jWJqbWCB_gjhuo^K#d!CtQsN>g&3l(A2G5-8|*YUK#n?4s303` zY=NfS$P8&5-voW;(!>aD-qRE|8U*ffrl*#~m!=fNW0|-%LtEULm=h0Oy9yrRjL*y~ zC`Fo<0yR*<2>@qz17*=2WO)`OO~Dh9i80bVueqfGWbgx$q%f!R%#2Z5>KMhf31pfQ z?oV(iW`dh~7~VBCLaQoF4Us40Qc@F(L2d=DzCx*jjm^=zrbeKNVX#fGUJ|Ghf+qxH zq$0=|t^H;U>NdfH5T$XQmx{F73#r^PKpL6@b#q|$n4>rREYO^ZR0*Lk1~s%q>KCB( zb&bu<Azjv#%DlwfOi<qzv6dVZPw=Qjns`DV`U5!>C4|sdIT~Sf@yyUlHzQEt0rM<+ zJJ<|;?XwYRmItQV+|mG1<6(?_n4q;Oj6wY(WXBp>q9qg~(A+%CaPXQ4WaSoUomFGd zv<tEVGfVW!8M!JqL2fjm&&Z(<keXPcwf>APky;2Q#%R-XhM+DxICJ3Wei;zzei<1f z_0vs^(JDkEP#psc7(;WUwxTh5Uk$bCh#m##J#;hBkTY@^S|Ba6GPgwYrl}dy9su+q zWD_Iw`8xD9utp}vh#_KQw1w<Opq2gc@gcs>(484D@0u7Rg}kW&qV6$7AAK{$XvUzY z81$iYLj$B`4<@E&MzE?1rL_dzW&w@g^gOiE8=g;14ABM_jV;hda*dIfo|_mVH62Y1 z(Iy#9P0-SSDM&d=RH2naW}v|lM5sXr#6TqpWNH^Y{Q_E?oe0|L1X^;1Y?_gU38Xjz zYXDDalqD8rf`<G+B{g{46~d>+XiGMXKt(*lZnXLXy~SjNwiMXd0Bv-`6n*uuu{nAp z0W?wtD&W&o^HPgIliI08MfpYGVW5=Evdm)8j+~^*_^Q;Re3V+o9H}X1fIg@Xs#1^x z1v#gI)_ljurxfQyt8Nri%}mi6k!F@?(Pxg_vOpwaq|E}x#>nGADFyMli6zMynR)3b zHld|)Lrb)PF#|0nhsA`kF`_qRh&EwnVuaRsHUkYF!*rT}+HEin+Nudd3#2L!ZFIv3 zeW{PBIZ_}RqBhqJK|7{kmY_7<4bfB@nWGo&pr#)v{=vBtHg8-4TGO4F6OX<$+0X*5 zI5#pz8tz3)%f_IILzt^T?IPq9VQh&s3}^^xR28S@6=#;9ufhONYZ0}$4t3NYy;3wW zL~^Q$F?97?aY1P@>IjChCEEC^8K?z_>~=GZCHQ7Wkaiu|v?`?RZDvGNPXT?l0n|{3 zM+&Gt4C;1(mXDRh7nc;3CYQt)loY|OLGhR&+7zX^fdxZ(QDQ+sYEe9>?-!3&v6-PQ zD>MbI*@VTKi4oc&K2y*r6kOKO2$3z2mTs6BqfOcyp)UtBMPImSW^90{(oBrd79$%Y zuYdvd#gSvf)X)IhD*$y9i&9bc%$R_32C`~%w5r(13@yBjK)bqNK17o<Hb)+-1l4)S zMw^0~9>@Zq?F2BB&}t<!(1Z_6&cqOTd>nm^mYFG9=gQ0iZE(W~ePNW50a_En#0af; zF$FC<L~*Mr($*KWH3EhfXyv;ZdK1MAv;Y>_IAasErDsO)at0Jj@a{CS9#CfnROaO5 zm!p)_W}vATWQE4)8PXWk=Rj6rhAv=)zERK=v{Vch0caWC$OI`d8lw#f7+RvO1u-)+ zK<a~|Ptc+_HjF_D9mT1rYn;tM8v|hO0*$;Ot2G8q6C(>48laW$h6ZSZ3?`s(hnZ)B z-flBRACoY|SmBCXf0-DgEr>G$wLoB|qfMolfL3t9<V=jv(v&G^Juk9X%nZ=hGnrVT zja!<d`^^}=95%sNafZB{3UxTf1T;E?>;OXpwA^kA8pJ?WVT4|4nShEOm=}$VA*<!U zBV~D|xv52&$)Nc^aC0#=B|bB+Bt9_(brH2CS_94ksX#R`G%_Ko@<1*)k!IvTQx(Xr zH$+c7Cg=;ujf{~}vWY3$K1yRyI!89p2z|GW33}7W2oyfZT8u#j2Q0u$jL|0oK>ZqI z<)#*Bi(`yHJK5kBBU)<(ULPWNbd1q5h%tIHGd4Ga%*25kKv)YVoP$L1`2~p4CUn1= zftENU`^F5kF%#~1tTsW5K+t+hlp@f`1TFg*86d6XK`WumOwpF;nOUIC$(Vv#Kgdoq zMW4MjH9+fv85)|P<{wjYw7$PFs1AU|E&5an$|Ro&QZhqs&4Y%&kzHkonVr#s!PFeB z<zxuTLC9v9g2u#Qu0l`n#-IW=K0c|q7|Xn%sR>%!#|(Yg($E5}#cKvyT@16#*bp%p zh%`%MWQev_)Cjbv5T?lly+F3Kgp?|{QzvNiB{;#r%rHV55kMbz11%wgt3`GhtltEx zp+Qr9kY%Ib@(X8o*9?6y3Vq9@p#fULF)>71vxYG}jJ`1pv~C4CK21RDyO0HpKntQ_ z-a+c7A@!C_jL|w?rj`c8Ew_U9<Uzy7@Uc(mwuDN=q&;Z;L~?0SQEFZZe7!(Qeo<~B z+Tt2x(6%a=Q%ww@F$-C2j4&-ZKM!e%C(5A(pmiNE{h-nqT-6lBqYSd3&R`pXDmADw zlxYL>4kX$Lq6uiBF3c(u152bHb#7{Ia&7@eHv+B9F+%NzA`MuX7^BUu8G|Op;C2}z zjZ7JvKstut#9xw`n+gvl@VH7jXu}&yIcb8v9LWrQM8pI%Gl(rfP`gE@7HBoIDd=Dc z<aB2WI-CRUYGVxLNTc8;2Br{y6s6{XwpAg#0qW9$ch$f;+@(khG)#<<)+m~T){%lL z53t*jn-}neS&|QGV}OoUfY*d*i?_`z4WPSjKv|qn4TM;J1@opc@?v?ku_+_;Ej}io z4K;9`7LZjnki=b-Q4G&0Fckzxc1_W9v9USYW<DbmST{ViBp!55O-g1_JUGNbgIJkq z@kOb*ppI|~JbX+*DGVi6&5_~_ZCum{y)|MC8q|QfA89tt1hhl}CT?g9iF<Gw#v0@( z%?n5i03}fyo1?da%uS&M6G_ns-rxcmr+_#Hx_%=*IllmP4Y`RC(kvF}928K(1M7jV z1VP_h2bag{L5QCqBlqA@QOIN+C_~31)oxI;p|e_0swg!bp6TO3+ZjN*P=d$M0&N=H z5OkmrEcneqNgl>AHi495-~zj-tQd4kRRO+b=Vr(!+?W`l)nuk-Nc*SJJ5Gk^d$Wx| z4JMdNjF7fk86o8=(8gZm^k{*!6au`G24(=#?krG!imb;B^Y9V0t(F!>kTh8g+WG-A z(cBWP?`n#%EEi*}2(+FP*=l1@Xrl_4Ahm)lk=AA#A*~NIF}6h7DVbE7<_J1Z%pH9R zld&b*`ZH7X-6JN*TWd_v+rdVlY9HoZ6O>xV7;Sxu5$ZBFr1fy<+f58X>lsnJY=JgF zY>K|x+X#K1sVQji1=$QU(EJ990BH9;Vi45aBF!|_I4LnP+1$dwFgX!){zamtp@~5} z+NwTNP{#r8W%MOrMxZ^q;0gt;5rLi(K$8S;Qw-5!!Po$KYc6O&7{%qFF$cI_&>AIV zSySZ6M2s;h^a*e?Gqf=RWAw#S<_56Y7f_oGUugthGXgq_1=%?!7~|!jb^zS<=Ad(R zl5-1C)*vD4HwBFxp$J%@9sFQ~zWUAB9BJwTZGy)by@zaMiZq9fHdA7RJgEp;?gV$X zA==!gDQLYHvMbSM)D6*B7@MK5en)Fh8=Ihwsv3d@HemLnb<xaB(MFujEKSWB;#2bw z)iTB@8=zVl#lfh}S5uUM3s8jxv)c%58$8AuD$r~cOflL_lQF3K36n#c0W~s3n=~{v zGc$xudZs2Po0^!X7=pIb#~Ttih=bNrGeKWsZft^Pp&9zxLql`);|oAzurT+V7^2ky z#-Nq*$YE+}ZbsB_6IzHGf%au0n`35#w4THSV@w=0H3(`hz!s^-LpRYP8)1yTzuy#e z8VIrqLj$x?SYwc_$STZ0XJVlUU@SRAKA#0;`-?I1Y&K{g2O<>FW+qH6(GrHa0kmlb zDu3WjbI7hG)D!58(M~HdLC=*&pq*gIJ}^QrOiV41R%Mw&s?Ze72`zK<GRO>d<({F1 z8Dbs>sY!#ePRr5)(tZLr1>v5{%|{*7G&e+;hP=%Yw5t)>JBFaH7%XH#>x|%RwA$AQ z)XqZIX@oo%Y66-v2d605NmZc9GSHg+f}+g)qRf&?q+|`+PLK7V9n=EF5VT4K*+w%X zb4v!l(%hg_aDO7z6V=P6251|N%}mhdmrX&dO^{7AHAkPTM_*862<kQ>YcT<x(|{~s zfi|mSMqCa?%$l1RnHnLQ*RTcM$+?JQ3emdth6ZTGhzaP>6j;Q8yL`wFG&M$ECII4q zA_|;*u-47UyMGX!G$W*i&Bkc?%?y2sk11&TFU&#ci$N@r4pl^*cQG_TYt?}Fj>F6` zF-BV=j5aoIioRab2(&{G>{n114SXU%JZQl!Xgd=)z(FhV%0SC4paVs){xC|{#>^Cb zjTtBi;f_Mz8;!B`-w3pl2c{f!v<`CI7=d<bAqyCT(l|`D3Hm||WAs@^V~ivY>V6{| zXbM`Ljx1n;zSPLf7_D(&ioUcIsc!^YEQV+T<6d@)*v(;#7N@45vnS$HQxZ!O;i(HW z-UN;)P=O8EG*nO-ZxCOB8WZR{zzr?X=eW_=?U`AkO>dZ)qU{$k2JLo24j*#^q~@Iw z(wG@2nBXykK7ecrYI4J64bXbSM(FFGj6h4y;XcNi4Us$SkeyEO-~v_O$WAshK=Y*$ zs7^vwfi@v&49c)D*CI~`A)Ua5RQ;lj!5f>SO?H@?nL}s)LGg#}fF0zs4$&$W6XXu5 z3HthJLr`A=*(WCGWw;4?H_g<PAwE7QGYK^D2RbJwH!(90-dR99X4DuIsmR8gffjng zBc?nNbXay?3TPBAJ}<QbwDJSgA%+K?0n*S3=$NDIRPg!^&{|@Yq-$b{HcMd$N_H?~ zz~iAX4%#%oDQKJt*@Z@+DG_LY5oI(PwJbG4uiDH&%Q|6}pllyS-jHTuj8r;<rgmXE zOfVXIpqVsehgqP7t)T(hM70Sh=fliHKiUp`%aSRmmxXM+8Ty$Brl96O%s7mBR5SEb zh|CcUBG3^;$VQu@AKGJt?iwS|(R%P?kyM)InPvbU8iCo5zRdz-E2a@>x(}w>!~|^# zmofV6AjZ+iptI?)#X9QYgl1+)C&z$i#zBsTSqp8Gr6NvX#yA7e+|UHvzb>eRXBo7~ zTXO@X!@CTT=Dm&4Mo5j(r|L{Wt74JE-3U}}pa_6YAOyJ>+&Y0(1SmPl2)(gy4BF6w zY=|*vZ8h8wNNE&boSc}Gh<adw8R(oNWQ}H~XoJmWpsqhG@GwRtKrLrv?M9%I32rZ> zw~?5K+D0|Q=s|*d?Jz5hkXE3Zps#Z<1#ORpsWdh~jOZDobxKV^i(io)W(=wx;5t#~ zrc6Pnn8F<mx<{Zm1KtdRi=(xjkPSCM-*06KTHOfO3GbkQ*0H7_j&wIdJ2%S+G>Ht- z1+NRCi8UV9fq<Q~f-u9}5_y^mvHk~XY7=d8!W6X73>Fd?D<#Z8hg_ig4b7FNpq4MF zK0!^A28PBA;A5cRHM9wOPuLW69s<l}P&tTfk1_gMe>3#8)+We1n$6K0x@PDH^_hYC z?l9X-jM2L3rl2D@u!VJMaeQJ)JZNwqbE&Z@^44S%Q&Yrd6ZGLfWAx)~ER0OS&24a| zh9ofvAJi-_z<O{e-0h}l%fbvnn+F*5iYs$V5|bG8ic5+hbOwwCI@r9ZfI%-Wza&*J zJ+D*`WI<|CNhOj{QfX#Rif(2KRLIfEQx|kQ2UvMVVsQq8UP@(Nab+%qE-7LF%ao-S zffiz;aN>&?^omk*5<wcEtb&{p20hSTKL)*moD#kC{1OJelGKV420f718T5+s!A)Pi z)C}mo92qG^3~(MqE98O>hz=MVy0eBs528J(xR^mNIX^cyHLnDEaS4T#Gy?+z=t>jl zH9ZUx(0f8*v<#a0AhpOs$_xw)pqrav`YoXPVYC9WVg?2V$SpqzK{GV{5m5awS_PpL z%!1w14CXK}FnFTruYu}^(dhQ0>knsOVEFd`e?H9p3!wU8G|Ya;?P8ejPh?<V0NqFf z(|-c0A4Ws3{{)8-DC}VVhhDGBkjcQn0MY`}9|5_ZhXF=Iuj_$%7aUh`4g&*2Ap--$ ze<b&BgL)W7^MEX6U|@i`A7&4Xu47<e_=lwbgd)Ur80`SzVz?hF%+SlgzyJzUn0Xw~ zi*jLfLp?+#%zkwLPlxJ<-9y!}5TYJVg8~=oesQGq0}DTpa*!8c=ELt{g5JjjO5YF@ zAtcDH5Eg`-15N)h{R&WhF!}%}aYK}XNoe#iz-Z9@M9B6(*bY|40Hb04g@_{34@?|n z9kTupQ2j9a%4W#@NHF~{dtv--Xzo7(y|)TRLvAgCsfNTAg2%wH2dW<ye+&gs8=!Q9 zJF;rf-M*-N(7odz_rvrzK<`tB(JY#%>e0g=bnPax{*DI_`(gBfGLS673|P3qMD8#! zFo1640_7o)*p5sg5XG<~5<;V^hw)+b3kC)TPzb~HAAssVK&1XJ(6kBDe*&ui1XRBQ zG+<!K2xc$DmkbQ6q2UkH{~{aW?ibMzS^_P=VESS7Uo`y;1rU7<2@pC!3mhD90$M!4 z`OJ)vGzqgmU=~zg7lek{1Ji&S8w?B_jF9@n0Hy#+2SD{}L-{ZYrXQJx1`$jNm~MdT z|1lBFWdPMf=~(hVC$#*8s6vnxGQwa^R5F-?6EJ&W0-)<^VSa~+f#^&15dDH^8ql~5 E0E@a$;{X5v literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log new file mode 100644 index 0000000..9317064 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/audioProc_behav/xsimk -simmode gui -wdb audioProc_behav.wdb -simrunnum 0 -socket 44521 +Design successfully loaded +Design Loading Memory Usage: 24916 KB (Peak: 24924 KB) +Design Loading CPU Usage: 10 ms +Simulation completed +Simulation Memory Usage: 111440 KB (Peak: 164184 KB) +Simulation CPU Usage: 30 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt new file mode 100644 index 0000000..2965ab3 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt @@ -0,0 +1 @@ +--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..fdbc612 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..5ee797baee77bb08bbabc6d1f4508370d065912b GIT binary patch literal 383088 zcmb<-^>JfjWMqH=Mg}_u1P><4z_8&eE1cs1W;rl$GcYnZhC7BihB}4>dvsnt<`luw zTou4rYUk5k?a*EA(OvA*9URj9>wo7DewSm-zZe}mzq#<ce7F4W!teLNrSszpkV!7u z#U3vF>x)Bt8UMZPXJBCP=`D`%=ymq+=;rX~cHr>n^iJ^Tbk68@PUv)w>GsayUw@E) zy?2V`iTV`J&i|f`#~+-QWS9T}{M(!(e0o(5_;hC@T+r<u1GcFfq&=nckan;K|N7t% zNB(Ugq71%_f1LQYi70ghM_8UH5$X=kVZ6}k9K*l<5dZp9mM7}gI(D%|JH|N1I>tH1 zA3o;f$iTnN$)T&o!tjhFLx+>&VTi?0@|cq&BUIiBn|vrJz=Ay*-^^fOVDRX??AiIx zr?<+%@U7vuU7&FH>HH6Nstsdlut#T%N`ObVb3nIuL>CK3M~lh?1_lO?-Vl`lpU&?P zWz3~oAY~ke-(Whs7&=^3JV2^A;HsD$_@^9w&1Cqt^Myy_5s=l<Am79uo&a*rfXAQn z8M?)v$MLoRE(UNC_vqc{z{tSh(Rj>)i-E!LlHtjhpyUXRNGKKT(fPSy|8-E}FA?<E zZ^6L8z{|kEVE7Fj{65{y7nm3r9J?7hn;E!43<s9ZW)^NxELCfGG#-8dHQA%{UNqQr zH1jMm%;R7N8N<-oya8_B7KnKX9*u`*a6?>*%RDO#^BiF2CGda@2DvYV2jsrp7eI-r z@vsLEnvqbSLnt)&T4R`B0W)8K7iPW)FW7tzaNr*Pf!BO+`U?pT@aX*P+4+ATC<}OW z-iHKk@8%us3=AI4yC1MKFfcfFwS%Ieq^RY9=N>z#J4$#wduvpfq0!;dyZZza$Zk_m zL>gWK8{-IzK0eRpBP^hN@*FJg(RkQ`8A&nR+RpDD%||#uSw7A&9vo>XHgX{uf!98; z(->h+!(khW>zEKmf8rMar8x$lURIv}{~-YbEg-)8bbds4AtbUUOn~HPM0hfR;usO0 z798O4OyEEYPnVVhC88et-Jl`r(R`c<;bsOBLI`F;333QE9>!_}+}pgK|BoPi?bFMe z_y7NY{_q3flt6@s(b5kys(*KY{M+6907SJODDnCK0B*6U$9^A#mst>AE+Ep&o_k>W z;bx+G9IHWawWuCPif&Mpy^hCXIygNc#SMP*L8+Y?5wb`Y{QO5kdP2+pBG4d%2A5BF zvj-z6+cR`FAAsloBar-G0m=U>c=44lp!fsX0jkLN=|N53$AT1%@DKxsE~MJ?=x)Bj z%D~X=5zt)2$iYxz<<Y(S0V^moi-L3hx1FGZ1f0!V50p51bngZ!_vnR|3kccQ>>j<~ zeAdm;{E*S3doswBPOfeTmgWbH9^Ktwt|JTF4cwl+b?_|R-7LU{<_HNke2xGqC&m#V zQ;-}1=At<Qo({U3J<uHyfY%Wq<-|AwWD1faz+5y(z{}n4<_2^}bl`OaNI5Z%0GWd1 z2rw7T5v<U(=+WK01Kkk^@HzsdoES%dOhIx4n2Y8JHiRQSpgZCRUPpkG6XOVwDM*e0 zbI}~Zj&OtqJ6ggt0M(?uxN-+bIWdj^nS$g9Fc--Ye4fq6z%5aDE=@ppM+RPZfRq#C z4v;BG?f`QgSwJ;9C#Y3@AKbbHSKZ+DHn@Qs;M#fIvGbfq=Vy=3zmA<}eS4SK76>yi z_;fyZ={y82EBITQKt)gQ-ii}k3}7*j-n|SL5$p>>APa0%JUZ{WbbfN_{0ULidDyde zk8TIpxMx0{zrdnC-8w2ho$pbV`gA_`=)4D4(%agg%f*19%A@fp#3qDpSd|a%hs1(C zH(|mAi2p)@kv-RW!l!o$`vMU(zm+5*`=xpB22f#MA^{Q<0eK3|L#PggscJl$pvT1k z_mXGl3ur?L;vyIa-YLTEz7?YA?h9+)djOO|!EWLZCB{u)RgFg@^oey7ZucAz$KswF z;tUK7VD~796XPDRs>Y)gW|X@pLk`_Np!iz>ON-5WE978qJ0VMq+rX+Ck5-rx>o!oM z5_fnN$fLV2ta)#PJj_iO<cM(-SXJXu3j<=^gxft43Rv8ep#XEw4tZkS16I{|RKk#Q z_c$nGaZi9E%smSfh;a{CRpZegRB(@m5*GJZD8by*p-7B-z^WRL-Y}v(d<2xSxJN-5 z=AHs2V%!5()p&G=G3D<0fz3S}Dlqp%C==rzu&TzRGfYTzk4xua$If$}o!>n=|N8VU z7trHkXx^`&$Hl-<BJa_=7gR}rTdgjd9~p1@bpCVc`~<FJJUfrOXny{G7+i~e^XdH6 zc@r$&{DZMX4yw`cq^sdcmrjtZW9JR9G`LmVyO)8B8&b;|o`f3!Q{vHh6lANb;Q^1% z_YmEkH(}*FxcY%{;DtR>eT3$p4`BZ==)?R2szk{04@e_!|Lm~9>mQJ2vit)Y;0$o- zJlc8Mv-3N6$Zq)yeNYVj0Q*SRvv(h;3Ih8`^Csg<pU!`sx4;4I32Jn_b=17;`2VO+ z=W}q)@6!1aEC-4sMW|M=pTM%NoiD($tq1s9YMB`rT5p%Cx*8sE1xFFsV5s)i1EA55 zeF{QQKR5qoEZ1*+TcYi_1JwI*1iQwk^Ennh5ejfUOyvg6f0>H39e02_o{l>~Bz8>+ zN^nigAWh65O`s09<4zEXT~me%ToVgO6AMTasC(zQ6GURyRG<de#0t{H3ep7XraA5e zk=Qj=XuvhGfi$s!G_ipOI(C9c?3x<1;F{P$n%F^_z@tq&K_qrf9XfDL93V{`AWh&Q zm7O3GyQT?xa7~;bO`IT2oM7jHNbH(s7{E1gfi!V}G;x77fk^C{G(_N<xIvn@L7KS1 znm{CWO$K6cO*|k?JRnUxU`-$ryCw?>xF%kZCSH&x(D10^P7sM*lY<mo6CX$uA4n4) z*d`E(U6Y3lToXS?6F*23KUfop#I7ko4yp;9bx<;wXX7zYhIKVO;M4gXEaTGo5=#pk zRp>CHy^U7BoiG5E1~&{~<r}C$1I~Y>me(MS;IaTx;=&4JXnDQD1ldQZN<1LVD6-18 zkYF_btS|)mXNMuoKcEH?S^fcO#O)seKD_<`X{L^UI*dU6nPCL;52!&!mVZDRar=jZ z2d{rXnyKTT3}cXgDvV+N0X4|T@()NOZvRLK;PnqkGj;sqVFL0`gbB<)pavaT{sC#k z?Vk;1c>M#?OdbDdn1cLcVG8pPs6j}Ue?S^>`)7d>UjKkJQ^!9XW+4Aan8Ex5>O_*| zACN}u{xJoY(imkLNCOFefb|d2$}<jgu=~y7?l<FNAkY0~TnwbS-;9fa1ou0JLFU5t zfo9r3bv$SYA2yukoYC!F&|t&CTq@@I{}@8%Sn~o<3+%O%XKx*RV7Rwh!|)quRukNs zY&lTk=-GS>JR<-z4m@|+-F<_JfdMqV3^v50clQL)6k6lq4$wSE=RHUb7zb|fL)pmf zeYkr;vp?wWZJxozz|h^jfQf;De;W&jNAnLB{`Pg?0X9(kfWae~rO|`&#Qz7Ly>&=q z$qN`jx>hhSFo68Z-#VRvfx)x+7<ldu;`U?B9xMzDj4uy>WP0m(5d)t$z<M7*^_G@- zHXq|d4k(a5=9e8Hea**2UKe`y)`=r@qu9(;>hIZnOyae?XY(;xuo+PQgTunJ`54!0 zY0u_kY+xyHAVZwR@LI>S`IrE@Fw<*M&*o!N=)x?pNz2B=1}xw%CbBIPKyxD=`!g9B z7$AWQw#TCzDP$XLI2cPgk2QZ_VPIf>%?@EOdGzjv&cEJ(m<pMGhMJc}gn2Vqq2_@Y zAoC!T<%b(U^DMCGY^ZtJ_|0PixzB+OY95FIG7maGqyZWpM>8)6zj<K)zJQqrVt~xs z0GV&PfW^FA{N{n(H-R1MJ`e+B-V2C%6`&DoH23A<H;)<QU(j4DI6goOka-G_e5C-I zj6^dpp9u3nlbB%hKn#$15fJlEpqK|K|DgTV!<}a#oz)F$pj9>d1=JWATsmD;STs+A zMhyOUo`Q}Rcy@w2r|&#E4?#tme=wG2c=XzWrqlL;2GPI?&$IE^4v<lu-#g#=bUx~Q z32UE%%Wja^E0~B6WM0j2C#3uE->36k=c{fX6&6VHhOVc9j2fc~Ar~*u@olhwTsx0B zf;{xyqw}{<@A3w928QPS6VyQiGzuQQyC0}Az>4&jE{q?+V<fL2uI@bU()raz^DVdw z?gDb=FK8bc<V^>#;~~P1(9Sp1Jdei158^??^Z#5rU%7OC^z6KW>Q-=3fGULId_3;A z&;YsLLj&gi8S2z^Kgc|f#={KZ)N;Q|CpbMp$3GMdK+ZQX068Bt{?VWhb-w0H@BmdO zJnS7i?>cI}g^qu`^XdGBH2zVV-ukw*7G-$j6*L959w<qHNvNnmdw4KO$Ic_J9m|rO z`4}9(9VsX=@a)}p!-)?vmgU;9%(`EU!LxVYhCVTf&}%WYID)G2=~hu`{>xY%+IqVr z5X-O$%(~VCB}RCp9Xr}SPZwiYQ2-v0$(RncwfQeod06vrrlLSBgDa>;gGOCY#$I63 zjvZ~Q7r>2HSO7Pg8Dumw$Y@a2k1_-U(+hDmPHD%Ew&ay?qi?K$8_mw&K97-sq4_rp zf74XZ^!^UeP>mz<hzP<8{+1#}22fxxI0+5^=D#fEfsRl;Af0G&2XPii0%Z&cW~5_B zoAf5QvnFhWJBt<MV^)xlL4!ajLqRaT5TkKQJ9e~nABG#va2Reh8^~xjkkO!_B1hx_ zBUGbtN;`J6IiG<Wz2h{@=-=%8O|zL97#u-MnHX?{At-TyhMTZTJ9f1Fz5+M7;0ny- zzud5B;($dHX!OZ(C(<Af!twBEdJzYYCJs<ELG*w~tkDFLa6}%~f*I-9(YE<6+*ulT z;m+a&g(W8_EJ5R1jypjlR--`@Sfw31+OnU)jehVHZZsFjXfBY^pvI8nP7sOJXpjU} zX~&K><@a!-XS{<M{hOP==?gfigF+8S7=oe+r?g{7+wJdglLNlPO#aKy-#!bRn|Sz} zCW5U1)rgKek;biHVG0`Z&4c8o2QBbu;sHeyL=T9>>S2%stf~bM_`-~I>}Z?JAPy^< zI2gns1!D7GUQk%_g2EEi6h|4bgXx9jCY;ia9c|v6aH9`!z>Veu8O;YW8Z@BixD!NT zbu~x=tF&WB8?zAH=n6rY(ZBgYrh-BaNBDuF2&c4TM_aKn+|(CJP*V*-b06SF0c_~q zvv(h;Is*+7mVs-}&R3l`eLFv(RwCfKAJN|OXnrH%(s>lAiL%|G1yn~mw1DbJc~H-) z8CplWXubqb61X60$<7=V9%vKgJEScFZK61K9s#+qL`A@-6Dk94)i^f)VJy{i>^#=d zob1d8ieUqf-rew8(4}K@bDJ20NAK<*t<baxt_3APvo?@51c#w&3{QG=*Qf|UY(;7T zO=t$Kjy?RL1RMq~;N}|-qzQy%3OE=*Q^jD62#)}?_S%XrP+;un0tE)By~fdn2n=ep z*FffZG#(akhq;!@?X`q%koya|VeUUbW%q;3^JqLA0ZDPxZ*NNUfZVUq19N{xH<{t@ z<I%hOLKC!j0wvAo7%2y2HYhIgNsbHL=|`a#<bH!*nEM-g$aFuB_CClw^4ttg_)r43 z`!)JN?ziZJxxb^Ay6y*=M@#n`^n={*0NS8Y0?NM=`l#!Eka@Ipf5Ie?`wJ$)!vDZT zGTjeK{}YbmP5&UXJsJ;Z6jL$%XG{jUzhW}X{U;`o>3$sPA7mbRZiXg(Foiq)7fb=U zzhMf@{TC)v*Zm;#XzBinsUY`vOoh4s#uVzhA7maa-QO?`<o*fMVD5h~l}z`8(*KPZ zyy+igwnyXPgmNmT|BmS(_s;+g27}9=7t_deKaTVdGLJkrgA+cKz@7dl%mBH60ci6T z*!>@-Q`h|<^JwY*88bodUojJwetyiLuKPje(bD|}3qbC701fej!+*kj>bf6fo=4;1 z3+5!Jd1%rBQ@GPl#vhRT8~(t;|HN<Vx*ud7DE$4Y;C|?Of-|u7Y}*6485x@QXK*t@ z*RyTlVuTIWJ_HXJx^zAQ_iDiF*&c&Nr@y;&f>u&PM*~3vwOSsHM>80>89X}QgVloC zo={beoi{-JnmEUJ$cQqGa~KkyQ1_e%FRt63V8O-U(YqfMQ=oxxq*3rA;4aob)M0QZ zkKVlw51|vSASZydgO<eo^8v2_hmCkco%7G9^AS$xK*xho-E+Ve>>dMKE(T)V^8v{{ zCqUY%>7Ea+VD}`r67QY`j}YPW1Eigr?pfdtb`Pj$M{M{6JVv-@1xPzJ-E+VT>>dLz z;=_mG3Bo-mK-#J4o)7+D_ayie@16}v?qLW3EmnQ+(n+oKtPlov&xBCo-E-m@B78JJ z+Nl{n6T-pn0nLOEn|>rdBHS|rq@9}XX@~>6=R+(B;e+I!4v=<gx~Cuk>>kie7qQ`^ z@fi_56(H@@bkBn%uzLcMh!39|NbdOn(oT_kf&*Z4T|T|r3$#I1SA#aF>XP+nJbpn7 zT6JMebZNc@*IVGBM5Jm<23#?KMIB-DhwzFDGNcINLgo#@^%aW!4SFE^C+NZKf1pcW z`zIKJ>|bCAv;TtueeG|s0ogyn24?>QYx>$>;106C!5wD*1vmQIpAZDHzaR)^|A9dI z+8+=LvOggfX8(p5`r7Z10kS_J17`n%bo$zFPz<u)qZnrYgd+OduTclG-=Yp?e@87X z?GNboEAi=e%ji6H@R$6-SJI59I<Fu6&wB7Vv&V53&}JA0*KQt*#z&wPR+<-GI!|4G z)hVLl*j;16()r`^3m4Aw&5sy6MN}@oZ+^fCT58hS<^r1idd}DhIc=l2PQ#<|m<DK; ztMdVTd0GUd^qVk&2KN7f+5ZEypa)|A16=ll)?4iZO(4ULg8<E`FfcGcmrqUbINk<Y zcLQ1$<I%eh)S35aJm$az+2W2m6$0+h1$#8V5%B2z-0fH5ff}sW4*p|3_zWJb-8KfW zP`&)V(?+G+#en7Vi{|Hyoi-}ap!DdT4C=jl^wy|wcyvAk_klcmHw!R>YzMDq^yoYe z7VUOX;pl7zNp!oY@N_ndfY$Q$R)bC?`2lsSN9R4TQjg{%0@1M0p8#IZwGTAQjpTpO z!Y`QreY)E~OYA@=p0phR&1-i%uynQ^0h<pCjul`BVLeV|0wO$Ye7fBXkdhfRJRG}O z3>>>l3|L^H;c@we$K`j;4;Y)DF?t+)rr^PO%%ihJg~OwFvj^DP=>b)s_Ck#c2iQX` z2TBY<{s#qGGw5^@P!NDQHDKl7P)L9}5hE19@ei7dNAf>-Asy`O0I(e%y}Lny;?cYL z2MajxK{{YE9IS}sGNHTg2q@Kcw}JNLAo&)WsbHS$7P07dv0%CU>hg=`M~ooXP6Mrr z>ul2jt!C@p2U#n340M11D9<1gT6dobXc<^{n+6jD14-uJU}IqDY`X(7AF|f%7-(xd zy7@~W=7W}Ul4O1dJIMSV(CWF~eV{z!(Ri$Y9TZx~DWkg&oHo1LK<D0&WIkwFU1ysO zX!Tp~KJc+GjmJQ1>(Jfb0*dwSHc(0+$@~`_Aost4m=8WFrt#PX4ovrdftde-nZ)p) z!3i>d4rs%A?><nL@n}5Oz=>&o3TXXicUuGtiROE7fz0>e0v$-PPXc5}<1x_w6!h@9 z0+Q-(J3*@XKfvbyftcR`GNkd?1L$!_i1Z0=&%*ZKgZIyU^X&ZZ(Yv0Zk%hsd^LyvD z&QmU(2R)(d?wWrv^0$D7czt`9FKA?8aBSZHp^=4wp+pK?zG>ccVSMS)`QE4V8+?D= zF_+G_ns>oV`#>9cK0&9=K?6pC&A%nebzBV(IPR1Hoht^~3-_(_7Hs`l>wyw}&&J~m zM0prshi8GB>flX!pBy`1K-%W`S1Ka;54`03jA!RJ@F>&zA1EI5MR>3zt$FW@CKk|& z%)K+3SQtvA!G3em1doJ){Dv3|JLaMZ9t`^r9t``0)T>YgITb1l?^fV<E2u)k?cRhI z<Oo4?Z$K-=y$r1b?B4U9o!>k=|9bSUKhcKlUZez6Qs&#cG@~7qc=qmS2PGa@0RLpX z2}wLI&=VRwJCC_&{s#5ho<oK|kOJ7iv+-zv7$|8VMjN53s1n`>+L7Il7Ty~`8Oo=3 zZ$ifahc_ZUYjojpuS7Q_eVphTVD}=@$Alha_adba{+0#?1_s~Wr3Ss=G}6#Zb{esA zY}o5@oST8Ml;5-Q=!s+8pp!hn?Ep~jBt5mj_7{TJJA=y;gnvBx2>ItlAJ{(*{S^7< z!%3Kb3QkhrKZx|iF#*{>Xz8C}A|ySnm@vTU3E|!o6Y;qBz$A!!GbRlh_a2yv$Gsb- zLEM`#ZP2(^V+J1gO3Z|~_r#1r<K7)}@VIxyT!?!k<_;S7-k6Way(bnx+*`3=(6~2Z zIUe_Vtbn-p$MOMoFQR;|ScU9fr1}Qj9+6lLE}Jt}lU+80+9L`|)NYR;++VO7pZf*Y zfZd<4W{|lbQ9fs^L3Te{eG;)2l3qC04sd!wxHn-f9`^>UgSeMr-2l56kv<~UA-fkT zeZ$*RKh}ZMh{t-e(+H?N6>$RA{<v|R^7e<v@iq>B&}bdF8w~27dNdyU;D_GL26c_V zIKZR3O+ti$p_>DA2INEVPz;X>Xdu(0ci#zP&<4$ipl!9_Mqb3>2`K6>zHENT*m>yU ztL8_Htq1sBE_Cv!bcU#~c=YaLFu~9YwhuNQ=FvS(0(@*{jS9y;(7|3{3qa#ooy{i# z7#Ki<Se?yh0zlpXk6>*G0Qq63ECT~%dokA0JkWR^Wc<;id)f^?kY<qiLJSO$Z2%DS zD*|EW*93x;RD(_$P6z~>FG#?AkM1@FNl<Wg_Gtt$Fn~t`b%GcenjbK7p6F}?i6O>G z1%g0kg0@c|fQ=9!`Tv9@$a0YTKzoCb{C@*vFnApEP7p{5?BwDDVB^4t&wzJWV+#V0 z?zRP@5chQiL*3UC40j($%%gW7c!aO<SV1s``#iea0;D1OEkdCBZ9?GsL1Kt=q!mIi z^dtF?LlBe%p6>%~nS=O_MWwU(1;|tv6_(ECHz5oR{M%hrIMO<sKS0?$X`RhqLcpGe z3;`a#0JdYNK8|=HXy1ZRaAdP|HZKW9*tY`82HCeJ6l@=KyJ|-$$WJ)!^XP7yAr1+j zh%jjQ#Du}a2PEdvyAL|N=nw`n3nl(Ny4x;5^>c(n_49<o^@GGbdiO!L_8$9yReuLG zes;k0??LDXiFv?>9~XpU*zeQb20Eh~Jf58q0XoXogQc@CCxU^Y`5`0c!OlLAphxdM z4bbsljmH8aASS?)?}Px@w1`J{-wAaF22g(BW?<-MFlOvzQSs=W1`+I2010}saC@<I z`lzs!uyUU0WKrp6QR!@3QNqB`*|(+y6zKabK&R9-9-C0Yz~Bj*9swtAaAJYZkRaQ~ zpaHfo0%SDYK8Ro+SP-jy3KbyxR4Tysfe!KWXgtPI0kzNYB(h}_CZPDwLmO=01Qh!q zf_-2?to9W&f$S@30^7Gk3ACl;SVR*s_U(Y!cLK#eh+rRB5UYI`nnCtm0qtGs-IoA~ zwjIq-`@n}zA$t)yJVASjJ-XW%c#z`{BG?BO#A=^GE66^RR<QpVAn_;B3bPM1m4a*^ z=)7ackl+BwIb!=j+v{LskDkr@J<J&x82DSPIT#omkF_rV@jScR4U|ELxw3q}=-a#e zhY$lpD+hmz4m<etJh0K=OA=ZSl&~LnC;(M;5W&vF9>+mE1`pV=uZAZfdstz!M4);M zJWk=+2{{$cw|BV%KY8YYc9|l~-NghtBOkP{3d!gIA@Ym{&8;9A4GK|oS9?ItI)!)~ zbY%pQp#iFBkc<X-0^R5ZJmk3=REHxO4XUTnjlMxUqZ9bZb2X@CfaGdW?T_y28MHI{ zgaB?=?-zhIT0B50+X0kw7_g;qQ1y!B1yDta?ga}$`q&de4gY9^&P##L>l%LBrG+JD zWk})n4<t{3f{gUSU;&NHy+I>$1*B;c4i6+~V{QWE2xLeUkx*=bj(0>PanOXGPv>)_ zlJ*6eg}5YYQUlGIp=X^08S;XH2%{T#$uk<VS_881!SLHIP??V6>X&aIbARAq_Uzpc zs^Va5P@M#0gDMdi8&vAU*q~w>#s-zEFgB<lgt0*-7mN)mU0`fb2>@e*aw&`r%2_Zr zC^x{^pcD*agHj!g4N566HYj#sY*2K-*r4!(u)EzfJX#Nw2zYcmIDi=J#~ma<H8QkK z!RXP;I`{kk|DK)gpautQniF)r5%~TPSRY~^Xoo&*9fVKs<`w4P+e0pZnk6Mk9^K79 zK<9>oCojP%Qklb}`6pv3uSa+D2N4E_=86=C5-pF$!ywLa78Ov54L{r+)D{74)OIyI z3AX`!@rnTA>~@dd-8)1W7@%5xAcxU_QoG|0s8*lOe_$VbG#+k1s6<iX(Rm-H>J#El z6?^P=svubo?wwDV06X8R^WQ$up#m_sdNl720Nn*tl5wng1&9GUl1UZXOl##R5%=ib z4T=w_+o9fmt?JR;9H4|yrUg~z(Y-lC8SYcVOW<ZI+?QZ4dg6*yhyy@zTKNC}e~->) zki#Hx8h04k{h*@@klcR*<m{4|W6cJjONczWcL#vRAG#-l9Afwle9$V`;?@J;81>+V z$7t_v5Qj21gDeHPxfbGPkYnI(h6X*DLJEJ-83#!2PXIX^<o+EXhDY~q3(&Pj-IE(+ zLDw_w1hv1A-EY8y<bDvxqkA(*DKv%*FM)?rhz@g*rAY1vIfjt?K?f-yx&H;o*&z2j zfG%zF=$;I5|Ly|NeM#Ri+@FE$eh|l_doxG}neGQ!isXKfV+gq)bXo(F`wKwM2D$$P zh~d#a8RT$}?%kkaEJIB9gRX~ymI%GOK^%|n%^)3Qx*udIlKVl9!Rr1{P+_J4y7ZyD z4V1va<9eN^F23qKdhu=ZQ}8g|i_Uk=-xyo3mGF5ScL5hu3@@KBfX5&@4>f;cY&})N z2`=Rf54>jTJlJ`P^H7NjNGa%CEC!G6$t!pm820`D|DUnjO7XjL5ld%{ib7|Jic0H& zQkK?}r7<A2pvn}a7UX9%wGy2rDl)AHN=!g2`vgEYw<-Aax~NF(167(kL4+fige2#; zrK%p?E-C^Zoh~XIukBk;mav2P3a?o~lmtjvp!IFZZD_X-WF`m5I03B2K}P_4!Gl6P zoy|49pnmM`9iXzH@o+*fXqAeK3Wwn(@Cq8F<#HO)v7l9hNcj^~CL^VfAE0CbN*@8B zqYOQ|cmDvH***CKB!7Z>8W0ab%9jtw<qL@8(Y+a@6p=naoe`q*C&*Hy^Z{}V*7Sko zeozMk$^8u=XM@~-1H|y?o(ysSZUa7W`GW3#4L+pu1;p{_-VD+~ru#vbBDo*r7((s` zg%6VZIcz{X1!ImiCx93p-IGBM2i=5(-TfDk-4Eh;bZ-XfAk+OIOOf0Uatv1YgR&=R zeJp64l@~OQ*d4%f@Fh#<g@Z3ZS1`3+;&(X&%Y<Sc-OU+%AVr-%DxmTCP8SuP*E*ns z!hE`$L5}t4o(xg|PV@UfF|-pzID$!VVuK`i&`}JK0d<`|aB{yO0~(e(EYOEY?vT(# z9#Zyz*zvjnTroijr1S%_3Mu?2fPx*=K6n9QfZ9YVh>9Q7J^-~DkF%(NlO1GrDY$)L zp@>ihY9D}<d30|E-IM`UZFmVh97}Xw0672@7tr<r$YJofKytq@xb+XYd(WeJw*=_y zKT!HF05Lqech3+24gXCBImGbWPEa=+9Hh|p!2|)M^bg`t=4OzkAU8wX2O!75-3$$S zFoooP&~-LQ;lBXnY*6_B05Lqen-kF5XP|a8G<74l&sLy?KS&uUFDoIp&p@R)(cuqr z0LU-U@CP{z?iVEYgRZ_oa=!-XjzduRH-H!(-Mc}JUXSj{ANaxL52#u|4*w1ONZ}9S zfXYvPvfT`_6y#=T_=6k+cQZKPp+u--7$^%HpwzISEX)kb!pzMN7*Vn?m#g8oy`av* zYi`50tp^<Vryh0eeCN{nf%64_%P~*_gzhr%=$;I^P|l<CIilJEdl%G#MidlGAX}Kw zZ2=V&pwQ~(==4#M0L@9csK~rFZ#__=<<UJEBm+I25>!xNuV!C!A}a0UE-Ii?8X3BM zRAhF5s(Ef76_%YKMk!yni;4s@i;60UXLz#HMMb02M@6UgZ3(Aq>o@)uR`BBQW@yU; z6fUSPhRq(pia3+wEGnSj0XH{1dYeIRZLU#aVJJ0kJ;~o91U8NV>@NX`zeHX;f{qRQ z017+M8gt0irl7e8h;EN=0VL02Zv=q63T?}DgF?MKL`4MR(Lk16AfJ`;f?6ERj1X^L z>U2?2=nPR&ac%upq6P{>4p5^-1Jue;KtvZ(qvf?|>sv@OMFY}IQ2;elI3T6*jXqf8 z;|`=W{vZu5jSnD|#-RL$)c7!nc8rTX+|8r%^2Wda|3S_Nm7)wUjsO4u4^5a*3Mqey zfr1En7p6z^?hT-91u7pn>_HNk<pZd1jir1jKr0_W%0T6VG}(C#<N#1!gO(2<hr#n2 zlKVkdQ6ZHN79eMX%7+Oc2B_u(Rbt({7sy~OA7;oPl@A~esQ)HIwwpngg4_%(A3%<Q zyBQkvU<$F`*rWN4hiB&(kIujQK(Xo53Au*Vvw4ro0gw;)TRwoU(mmFqA_2N!+OxYw zML`Hu*@M#gspd!goyVG=@i%{B<^06oa+C=)LC4(ufVuf2W9Omoms}0swqA7PpK`4A z0RPm(E()Iw542w7-*%h76?Bd?D20HA9~eBlw}4Ic0qvmy&r(1yJb~Q03`&sQJ}NxT z512u%>*j~dogm%^#?DjUFSTAkbOSgI544`-pL(G4pliohxap8we6dup^+1UT$O;}% ze*%=B9gnkvsO}II4v_hs7hDuyaGoyV<-Eap(M9p4;%UVbubB*QgN{o5eifYg_y7O@ z|G#7FQT|p_P^Rz9QPF@0i9ojpOY<Y<=7-G9pBOt&e7^uLQe6%Iw;tL78s!Dwn_3EY z<Dt%j%^w+CPnEJdb{+?vQ1{N2^B;f9d{DCQtx*vGxgVT=V4;Hu7!Oca23+of3V?1O z6%kO7KV)u(1quI@L*Rf=xBxfB;83Yp%YhPEk6srQ5l}u?IPRh%0P;SlgQ9R8TtapG zs0ehssE8<@b>uu=!tcWQm-B>+;s-~?<BCULGrJo82m9Zp^T+oKiZ50$mT-doWO(2; zm*D}|*8iZOKjhJ!qoUDyk@IHfG0uA>f}9^+IA1G%{(gz`g5r(u7dnr({^W0&1M=i? z7Zp&FV}J)BsPWkRkiYo>Kd6G}bWxE3m0}jK0ira1jT2x`?*pYoaA?4WTR-u~fyNsd zKJg2>s2F(k#;6$h^oFQNc=Uq0_YC_%-rNU{0}$c312m-sjuYR`hglB6Sw&eajzL*v zVADOCj~IA#yW$$FgJc9yCVTz|G+5UH&L<wtM?65MTR@NSgXFIeP#v5Ase=>bpqZxm zA$#*jrsfCip#0H#k$=iTNQLX5aMr=#Wr?guH`phj7!p8YbG!r{{tAjsaF~Hwy)q08 z;OeehK=G8a2TNy&ibSW6icB}h!Iu)C6)!$28lX&i5W)qmd^rKC1wESIa6rQalo=d+ zdVN$3z|C@SWI`q*K{v4c&tilHhyXY=eR@k&IQD^NK6Zf!a2|!U;=y6*)A`-E^GBAX zV-Q>$=tfu>kjD?c6ofcQ0qP_W7v>}tG$&broTLG+vhX@7MI`{kHJ}C<)HTmRt`Yjb z`GyR1T@7ec9VkUPfOYKz`N0uP`gDHs?R)@swL{BWP~{0~^)Z0dLi`I>1ySqSc>t{N zwV+2g2Y8OR6Wr|w^?ktm`z$8FDo&dT3=BIMe*FL6-R&@efkE-$uK%EmH%n9m6t8if z>)Z?yRBm>ez`&p!q9Ve1sB<!i4H{pCRJ95d5FH=T1QSwKn*d5|agOoe-ag8BC@27s z>LU+OUIEpo3qTBy?&b?<?QPIlIJ5_Y+}`d$8xI93^XT4u08*cV$|b`~J3)>jy1fl@ z04Uo)>r;@!;B^yHeJTYi8W8P)3m|8gI3H`)a0E$oHw*BC(i3<Y7&Ie!^zK#=U|`@p zQNjszA?W^K*rmcCH-aM!&rmJMY>?BYL54j+cEX(ot}mhM13a4FfCjBRJOAyI2Dt{| z%m|R{_*<fx7#NN<Zvb&Tx|^XDWdKX(HO_OOYT*&6;^OEGQQ>j;c8r<7Wj_N0L+82X zZ;YJR_*;HJIZPnVcF^wFkIbAG`CHjRJN-a47O3a~50rt7dH^xXMTG~HN+n1wBf%M3 zz^69`v}2P%8R^-1Gt0p-D61%o$uStIkbId7ssg)rSAbSf!B#V%^gsnYx|<6GAuSyK z7CVsg-rXPpNQMVT(MwK{aCftY0H}k_aquM<q@WP!cHrqe2;ssC3K57tuzNvemjI}s zkN|HCCQwjFfY*2J0(Fm}#TKZPMJ_0`|8E940i0C81qH-o&_1;f^dKZ?w;JRJ@UUsF z2eOAsR1{v@dUQ_)uPH;(4>lHEGw5VkkVziho54Do-*D^#MKbC*LY4qnGssPNk}xRE zfs%0DU+`=LC_Q>KAJKpo6QD6ca6*O>knW*`N9SiyT9!dg%MU=wm%k;F5tNoKoIsMG zrUJ-i9^IQki5isT1i%$AI55HP>kff59Xc;U+Ghfshal}Wk#C2XODZ}~ff_|2DgvD@ zprWoM9VEci2^ZjR0Ubfu{1KF_A!-itw_XF;-8~sH#IbvWAh-z)ibJrCtp`d$32X&C zfh`9q@7)a&@aWzQ()GI9qZ_ObI_wIKO_+AjoES(oI4W~IAX?E1LkE}%-IF8qFdTql z0%%+aWSmF$W{^^-L8OigfkGS<lMSFgRA)0NHawb-NJKlvAz~3x9(h3H5VVp7so2i| zMH_#MFt|KA0OBAu9l&u2%0Cj|X<6`UHrR3}P|q3EqXsolTvQ~QAF_km6yUC<kBSO3 z7(wx`un*LT0_z0_CunD<r$_hh7kEp92k^)OFP!Y%4HAF`6twzz=?N+jAms@zi=1E< zbx)olhY=~DQ~@#<6s`O%{9xn27J*WQ90S8k&@l=4y~5wp3{Fh&b^tc-+Izs$Cdk)l zi3`;gonYhOt`J~gcpXc?39X<*T@j@T7Aruh59ZMlQ0fD5prr{kP)SXFptJ%?ea9f> z2q+!F+P0vXX#7qtHAPNkpqSYO8nE37x_%gzz#39ir0W|}ROIVHle2OR46noS8_M76 z3#yi3y#=WE2p9}1PrE%h4!-1v)Fv9x+62Uf)h0U7Q5|?=I0e*e@KMp&2XZu8g#zgo z`*hl<fU07!hk9#NEHJ7SkXCTx8P=eLrc`L+fZZ?-ExSPR1xclupxlm@9ZFOzUfTQv zjh%q<JE$`O8j}Z=*q~`tq}=`il)?F1PBVZ?Y!7EpQtNKkKx*g(fR08$3_HRn?ZL%2 zyaflFk@x7`4O)Q#9c3}R1ip9yS=H-!kM3p(q!wZb)O?Tb&7h7BLMM2A18BI6!4<lQ z6>2yr8s(A4IY0{t;dX#a6g;&Z$d8~@$_Gjroy{QsgX%}{A_Y(>iPWA1MHNy|Re(YV zG(K|y#PI0e4Qfw%bWa93+wdErID^*J9LVFhAPx~e#N%#|r66}h$7eu}L2YP4>t}HP z5ftID<|b$y5Xlz|F5nfZ-&sHr9s%NbU~3t3p5Sl24BE2N-Mm1Vfx+<`17iuh<2MHu z#!_9723b&(iv=`_qAc+35Mv29c#OmF0O!HiBJiOU&V#Snq2h>f(eIZ))34AB^jfI( zKnb4*$~b4Yh>8p7UZK`YC4#Q4Crf#nA2Axf?Y!_3JiY;K8(VZ|urxofZ~pGl{ItIF z-S=y*hL>8ugSy0T`KKOGIAQp<^*jHzA0@1=hL>KOJ9eA``CuogAyUHb2pVm9$avgE z1+)Tz0W{#`qr%~+c&hb(36~@1FU9kYil<&P8U6<iR)F2_(t4qkrSos+&(0H^7dqd& zaGoy_=KS&fk|XCuN5!AtFLIuBQ9S#a$?$FG%kLKz4}u2`96<xE|Gyn%<Zs;uUfX}W z^{pfS)Ps(o4#)#WkM3?zz;<(fzX<BiE1m*{A}3fUcvkwjW9NU+NQ$#ZclQQ)28M3U z?n0L4XN=#kc7FPPN%0lfUEdBdI)YoGppl>togWp?yKo*T;p05+!g<g|@u%Vm#q+P3 z3=g<=obEjM{bK7+#CR8I+WWi<=l#w@E}XYYBsj0Tbl&@Z&4u%x;<e_FjGQMFKYYL7 z*m>ytbr;3!4&RP5LiPlKDpk@u43MUG4(gZ+Xst5j79Fq$z&(Y~tRiss^=Li<I*^=0 z7BrU!Zt5Fef=ED8BPcPlfzx6zqOat@0vP~m{>Tan(AJA3ocln7H-?v9a~fU(jUpcE zyw-WW`8(qVM$q8L|JHw{(uUt!FY!-3P$J~eag5`@_iLRWU$aA{O&IyN9cVpRl0}B6 zS)k(*IjDUkf__H#9w@7Mkeby%z6bl<5j5ogzx7mU9W3{CZ?-T6UBzc(%D~Y4jj{9m z_v@|yO4vZT?X^VfNob}ld4JqRg#{FL;6&DW?)x=m6BUPV#~4evz#^`OCtvfp8lG%D z2};l|ohLibaUOH&JkNQ&M40m*=OM*k%^w+EI8Q2G{C?4~^ThXSE{exM+9^mx9I!+r z0IhQ&Ipv$-B?5`a0V5INDP%$AA*hgj^b6b-0+p4Jt`OGo5=BsLiRj38fC^Jk{qO+9 z@aWzR?(cL@=8y+BGeN7~!HF1JKS&5cdbi-#7l=byy#}%r<aB8L0CEi0`T?naQ$lsW zfGcQyLB_G>3=qSkyV(P6ejBu&5?Vh)8{FXe?FkCty5Rf8Rt~UVK*~J2H#?xz(V!MT z(RDP)0U*CX$9q5ygZl-^{mQ8Bp8;|<DEvQw7@&nqpw*1slR*wK{03fuhaCO_h!u^! zyFnbv+zhf5<Ys92gB$~QGqh?3Q{eg;e148c^BW6r7wrE&&^c`II=Z`A!Ht2z12hSk z$Iig;|G~>>b_Rx*VIU$9MEHORcM#zOB5Xl~1&A;P5qcm(6GW(h2zd}81tLU2gaA9J z-md^%?g=W_G%!Ygx(ir3OH>4oyQqMs-x+rO`~UwxIMZ_%@RW)-S8y<t@-$j3Xk%pH zZ<FL;U;x*0t*7`~4zYoT95?@PWne&V%7gY^f^3q&?DR`?yQs*(I{gx`PQT2zL(Kdw zpoSx;pU;Ts=a&eh_3;BhM_qMygR)sSW2cLXM7JksGnNc!Jr{oqD5yFQHGhJP6!@r! zaGv6CIS)F+>QM71X0WkP!B<d00c61d&}mVJnm-943vPu93L^`G&X59G1e!q==!BYS z2s#YvQ1d4xWR(k`g38E(yP<*x$byQXvzQJwe=<TAY=a6KBMUBs3I-qxvU4*qfSeSB zELaE?3`Q30feI!d3%-R4CLs%k^FYi@MiwlB3W7!^;eNjh734xz>BI}s&W$V>3l)?= z7TgCFltLC%<%4LKMi#V%3Thw=?uH6#Aq%SUL$qrn3r>X!S|AI8R$739&I(yjOaP+Y z8d<ObDj0z*I1MTog)I0TDj1C{m?j7@GXq($1}d0^EcggC^6?=XG+6*nUMKil{DnYE zcbYpu8=E~?Kt(Tqiz72Q1A^8~gC+t%JE(e9K`maxOFq!U1wpLuFb*hJV&;DWGh_lD zy*Vl<buiY+F<5ghi~T=%$Ow7tcP0}9!^;j3(F`K$Ktv^oC;<`qAR-Gyq=JY95CIw+ ze;Ed11%e145aA9YoIr#vh_C<=#vnouL}-Et6%Zj0BBVfsD2NaM5!@hx4MZ@42+(<w zFTXP~FueQ(BHn@s&<59+k3p<^AmS#7xB?>1gNRcg;wXqX03vpSh;1NZBZyc7B9?=Q zMId4>h?oH)CWDAR5YY)DT0lfSh^PV)r68gJL}Y`AG!T&pB4R*9IEVn98T--~#PR?U z&L9FbHvZBQ#4-U9`XE9JM5uxY1rQ+(BE&$1Ac)`r5uoYomrNkmUj_z-m!K(xm!Cnb zcOU|^9TvP~_T_yL_ZEn_3L-9m2+(N3%VQwcK@hPAL~I8Un?S@`5CNK`f4LaMng=34 zmrT3_4b#2^jZD1+-5K_>6(j*Vx#@M?>zdb9uPa`cy)JoO^t#}6-s_y#S+6r*r@c;j zo%A~4b=>Qi*HNz{UWdI7c^&jR;I-dtpVwZmJzl%Lc6sge+Tpd`Yn#_ruPt7iy*7Dm z^xELH-fNxLTCX);tG!lvt@K*qwcKl&*HW(~UW>gJc`fu>;5FZCp4VKjIbO59W_iu@ zn!%%cw}%^aqR+G29sBg{v1U-E398}u{s1=)!IgCL5ev{bIdty!_14#$UvGT9-Urf2 z_32GfQ2_5U*ag~Z02wg>U%CnI^7wQ<&tm?+88nIjZkK?DA;1&rpb1<Kq>(KSuo>XX z5y1*U<E7djy_+RAFfi<w*ucfG3v_KZY>=cII>*5aTHEu|0el=Gd@K^1QCWnIV)*y} z|I4r7lM@Nq8u#b_|Cgs=4FkiIt*7`~pML-U|K%d^Fcm(R@VA2QVgnrm3i4RQdR!j! z`uqR?K9KawbcmBY@T-6M4W`~4qMks&nS*@G4pxfKxuwyBox1lA%<4Vhb2ABrp7ihk z|6f9m*u-Zwe`_14?MpBTyaO2rY9A7IY27cFONEGX>FHnp|G#_$YJnlf+p(oCP#_Tq z?<*izP6r#cnLt!J!^1NNtR8<LO@IUv0W<S{!+b6QHj|JWq~LCN0lGQ8myr5raPxP7 z)#Gz>X&B+mm<I~^46spzl2ailWNpDp@!3jjata37{0?+7C_zt$e})C>VTgJHX@Kv? z|Nk#1gO%cQDKU9Y<|oW*53qTJ0_i-cbON8JiZ>+_TRLgNtv?AmNS0toeS?=yGa>2; zgj6`lxtU<41Vf5|QM*A#$$^a`l!)VgzykF%Xi))iAw|IYOpx{S!Nw7?-V<&;sF6sx zG~4_g=3E!B`2<6XKpEi*HxG2FFyTC!4e}cJplAXiMO-=l>g)giFG1}?!ajfT9_Di| z@a!d_)ISqsv=mq=-asOvU^)mg>O7>(B@p$MU;h7pxdf~fpRN3@kn_<AC6C=-pq_pm zK{$liVHxf<5sj!l@BaVa2Wn&abbj~fJmlGVBa0yma@G>4z4r3g*Z=<sG}U4WJ828t z{WAzF{{9x~*_RohIV`*htTchJdBrfxUrK<@BNW(E;r73vT9Zy1?uIE~GYPrD5w1Q3 zqMkr{oBi?s|Cc6UrTEetF~KDRui0LLCb|ih@1<{G(X|t-9-saEt&QM3K`7I1{Ph3- z%T%y&gp_iElv)v`^b<%aKUgU~M}q5M0^w~7GU~`5P~IREl~tc$;WHDg9-r03*1=ak z!pw99n@K2;=D+&?|D`BIDS?_`7rcCZ^&8|pe9k2%q$)oA|Nn9p*eF6F#qkQ}t9-C} zyjByD@qfU*A`do?ko_euVfMcV&5z(Ocnk;^JQg2d=FO*yc?&^hHMn2sPuM&n%Ki!O z|Nnpa|0ls%Cc-FpkWpKxV$_9q|Np<tq>51%Afx1{Vw4TYsLP;{5&X%5h_GUM`~UyT z4yqV6<<0;9FKww}ls3qyPu~e91|rh^)7P+cznm)O6~WB|^$iHLMu>3VJa~=8PS|}! zM5qlY=(c|&7<5D!rS$s$|Cc#bG0F>Mlp<A(N(32ojc`kl2oGkx{Qv)DH&u+<05Zyf zDn=!N>c_8N2*w~0i7OmliLIiFc`YwsnJJ1Y=JmnN<D!arX>jv)eWphEnLda4H;b@& zL?pP&FaH03DMb~dsz64a|3uK2M7V0nv;Y5Jwh%Uohy-^99$=PKF|QA9-ph{!y-I}p zPCSK0=uE1Zcj+0-JWr~a*A92z&kxk_uNmBZE2(0hB;0+GR58yHZXPF9%wvF?xAQ$U z!Y}m+EPgYoV&1*SF!Lm-Vjef#eJ9^h!@sSMVD77=ig{Du=4n#Jyjk$@yZM$H{=N1P z=HE`LnD^`f%sfk~nD-xU-pe=C@UIO#K4wzIydUuJ^Q4M->)_`7d`%7i-n|ctkCjw0 zuN@vAkyJ77=slSGIH_V@8Qgt4Ur{6cnBeZqq>6c3aPuSyn@2>`rQqKG|1VFzB<NKl zj1mJGRY%w;B3c=n@4#Z!m@4ME!p(d9f}mH4a32>u7A8~0ynT0J{&l8`dCTDDeSS{R zzeGfB?d|{nUoNJKQL1<U|9=@l*eD`m;RZZbc?p|GgfE?L{r~@R?=xxySQ99~il}1L z+nfLYzf`A+Q4Ang-FZsTgG7XV*lk#fok$h)p4@<$2Wrt$J}PwN7R<blPpIMFZ8u@& zEhKCn5ot{al-2^NV$@ks0%4<yQB@$Lc0Z;@fQ4L#1y})L^N0wr+c*CIf2ly&C?e8s z4?L}1eMHc!L^w_N+W-GAK@EQ@B-^X7P_rWJG$Oppe+_2dtA_-=N`!fH;O?76*gPVF zZugb{|6h7h#i&!~LGvFE2>Ox;R|SJ2bPH9CdUX{v|3MX_R6$`SM-`(^U;h99<>mX- z2rE{Q2Ro=@)FqGyZ3!DiL^`d$3`_T)?h*7Q5$1uy9<qpY5mn5)2zOr)Ve^Pcu?sH# z|Nj!y*C0>?5n)v1rT_n5Zof+~)QB+Z$OX{+2UUzZdHVnVmx@#|svhLQYj>#O!6s0! zcM~>>h!nQ@A}qx^P{q8}7hvXnxJ}TjM7U2B?!E<7G4JttnEL{#VqPNLJWwl_@@x=% z^8f#r8*UK{IwG=x49KWdsu=YdRLMyZHj0P{odFN9^EV0lk_hu|pM^zeJyp!Ra1Lgk zK2^*MJp(iE{tasQH~u)xynd>f*93Q;Jyp!R0*{aP*Qw#(Jh*xDsbU@j+&q7(nD^%t zEI$5UqlSMK;PJ7ZD(3w;4Rc>SRm^(;HxJavCs5!Kk$+o{z}&b0DmB7y`$?F2`BX8F z1@2#Ys+hM2?!NO^sNvtD6EOeQQ^mXw@bX2UD&`%ByYK#GYWOz@9)A5)G4JCsSoqn4 z%<D$oE-G~L|9_v}%_!SNbz$2@-+?!p8D8?~{O;2U;lMYwm8b}SH>Ba%)b<^7Qyc66 zrXBy889;|_3n(6J{>Y^4q9Ou1tD^N{sdVcBkQ&gD6D9n|T~uU1EbyT)uUSBw#6cU% zK?g@NfQ~Uy_EC}ec95}z3v{#`Na1VY)&nK{$cM<ds0e_LkP&bJ9enNDdBK(Q1b<5p z1L)`r@BtW;!3SV`WbC~5{aWis{wW7Qr+^$(I0&)_bY2dF!HH7AmXjr-pe=l$W1B$R zC>b1~=VNr&s3>%YsHk*a<NR0R?9x5^jtK*UOXuD1R}Bv+ufAczz`#G{fNSe*{;3BQ z4)Sk1sd$|8REe_Tf!4SDQx0%mS3IWpN%8w@5y$3-jE>EZ7++g7KW7BdOoo>_FMPiM zKD)#4Wa|&m8IKI5ES=XouQ@7ybmaV1BIwF_k@KI6;xR|XPmYQoT{=MrY_y&%vGwQ$ z+Y31)1H1tcd_D&9-dxamO5lBmpuM>Yp;_hND25!hQlmn~MqtEYuo!lMuIz%_<=_~W zRRl{ES!I}8f&*T*9RnRz1wJ1Ec3u_e0D91QRiGWr@S_o$cdr1QT)^ML!Ua0UfWaNK zTDrR#bjCn8XdARL2Y>hheofFlt<B)GCA%ksj{fTwQWoeGQSs=W3=wPw3p%iHJF$E_ z2s$}{g}-Gp3j;&zff7G%78TH0>)qWTrQLxn+=9v>Dxec`SwIKz2r@8q7qW00Dqia> zQ2~j-RVf|<+W;}zgN2(z@nR>Bibprp9o=9nJvo#;Ku6efctFhe=!U3w;Nf=U0bPDk z62=WW3D!jgrlLELhdYo%IYfmAboM9Q2|V0|9AG!_Kuv<EQ#{le0@4aG)q{uI1LO=J zkkDk%A#k8A-vZ!+#}J2Yf<w$jMFHj(24xrUQHyW^2NrHnPIXaHDRJQj9Te}Pq5wKL znj3WDbBKzH;wjM4@gCiq!CtIm;kHw}-dUrfqIj^=2Vrag3%3ARCBj?|Wfv6*&<Xo6 zs~vc_K_^1EsOXfqaf43YcTv#*ohZl+I`lt8MF*^32W}G&cO3`VDjl#@8Zcu5c(?;N zz-l!>85(?!1*lK~6>i-=Dhk~$Dk|JODxE$m0^FdBnq5>xN)$O=R0O(NRK8yT34w|x zkjn2DxqYA>5$OgUitM7I!|kI2ib4r)&;`~mDl#QXoS>s8TvRx~dU=#xRAfME!FnMs zlkw<=1b-*wvS@694@qw#D%~zBEXsnNA)t^0i*Y-$bb`X(5*GIG7>A@y70~HD+&u7T z0H^WpA{K5V#e<3;AyEJ}s5?Z319VA>av<om1`Vh&kc6jGVgn0ss5m4+DTk=&fX+7u zc^Vv|I?zniUBts(!~wMd9L%6R&j3493lw<ZVD?cF=;Tp>CLop)MNSrw4+X$MC8F%2 z!U9qW4k}1`0r54uA;AnflbQpXB6z^T4068&Sg(w-iwX}~F!Oly?mnOgx~TU#^z1_T zv2>8|N2Gk%k%G{~F96M_-3-Popu6GWd~n(ag}+D%3n+!a)H!e%L-MHvTm+PML3vmP ztWpAOGPocDXA1^nP_9Do!3K+fuAwhs=0p+(nG4Dj9I(U;&l5agb2&VEcmL1>-8=s5 z<>z5tWPt+^bUrqujP&U429;pl49cJa8x%pHaD|CFut2hjNJ$VkD4@amAR3@WxJYM+ ziU_>?gcac+5wNi!Rp8PSW^y;Q4EIriiNNjRP<G@19XA7wBDgrT5SIZL;-E;BfJwm$ zahc8#6&biA;DtCy2;vG*VGni&C|!X(*o`Q}B|wE4=%gS}F4KV)X5cgTA%&R&sN@0{ z6`;aQ0l6>(l@S8qTqXi8DnWek={ul&-RYyE0WHjQz_|>R{}6>4DE~`<^~!+t>VP8# zoMb_jAIyuK${gVO4qViDbT@<j1B(_G0`)3bG5&fLtOOb-$n`2%980|l7AK)z1*<QF zM+uI46|4#oB^;nAL9JhSK;;_?w~vYfw0;4VC$Rd(MMVKzdZ~ab7#<aHu(E)I6;!`~ ziZ>o^AMlkkp!!7zbddo#N<fjN0oJMmtzS66dU?QlWuOJ0M{kLW0JP`>x9+e<73^wx zSknaTDMVC3%Om8-b>J`tCwWk*gdz@2^3bvgY#li3K^h=Pr71Wqg9|;>(i9>ND)o@F zR5!TPLn=*Sr5?HmJ#k;632GdIj_bGzS^?kL3~D%n?q`4>^a*ZlPM82XDid@zsz-Ml zxEaX-zOM}RQXkNHK;TOQ!5(B$d2Q2rz^A+UgdPJ!i6U&A0J&~=MAY!F1w6YMJi9$O zJV1Axd33U<bhD^*Hh+K|_0Q7T{AB_I1OIjx6^^vd<{wZtPg-a5p9!GT4|f~rgYV9{ zF#%MsJ%C0o(sBL*(V$aHp`Mw5%RkJZYn3oAZ<6$YcmnA<0uGN(9+lTxtp`fVJ-R1@ zeCX5N%m8u&4*!9DvtlCn;sTb=<~0)$zS#g}gM71PBG@+>Ad?yoPnd|?Hy#lCUZz8? z{(+u1?%Daxqr2^bG3eN%eH<nX4Bg`0<t)kq-4QIVh6g%7a^5WA<2=PJ!ELU1Pw}JT zr`Jq|CqWmfC|&@c#=C;Cl*bixE~z8`)B`S^hdM8S4$3qDWnXZ=(zCm*!+?PSe8U9f z*k2LP?mn;(=l6@82O(ktuB``3G<+dyL2YRc&^1W{;0_!3j5J3u3C?=2Ra!5V@PN*9 zfnMw=0<#0udIa590&4Gr?x$&f!06c7mM{q%ggg*l$|ME`&)$6t^cfgD8;^NR0-fvx zzO@l_5%M>%1sErLL(%}<!YjiNBfP*u*uo1WK~8vq^nvfj!VE8g$;ja)G8s9%e!#*D z9QXKxjBerOVT2K0U?FVb1(F~qyg>SJgx3L-@H&DLUMnU;(--)RzCjXR8pasm1s1{< zULXl_!V9DiM|c%XK~7&KQ;^eF#1vR~fsVDrA6}629z%jX8sC7<x`JHk1FvZ=zU;hk z@df&IcU-Q9|G_svAz!NZ-lg*g=u$n<(OjT%%LCK`^yuymFkoQV@gH=xiJ;=4Zck8a zB18pL+4z7|f$l(I@aXO~0OeLB1rD7)DlQ-epi84c3IssO6G?$Zr;myaNCD{51dxIc zPzB14pwSS6P8Ssu(A7R3pqoG(K;vW<pi6!VKzGI&fIC`{D>FddLdTt;gO<SqLOz|} zvv?eXvUnVWvv?dsU?rIWDE1P-+Q3z(Pv<AF6y)B$|Bx$AK&}Db+lR~7;H&yTs=#A- zSbPm?lYuY#h2DhJjqYnums1900=QQ2=<Y`KHTZf7kgqjBzE%KvSOVno0FbXC11ng4 zjpXGpu)jbp5!crLB`O}B&p~xa0?2>>g#VEn5X!A@Ar(o2N4Jkk#%nH6r4j&QM}VT4 z1Ky@km<mp^ES=3NQxUbW29yn|g>|NaYhevhaGQc-D%M)K@dzlPf{ryqoO%nXTR<ed zy>y%f+!yia-Ud2=)1&h_;zkIt7rP-XVbC=YJSvboAy}G!vXqLo9w-&^fShy;&wH=6 zJ<#uQ;Zf-h-~lyTxZv3XG*|-~eF1g-LEZ1>j%i>Y^K>@%OauGu0>ozp)1Vm?baOmv zV+l6CsNmW8-J`n=<aX%IIH23Z;D<+pP7()QJg0El;AROIxE^^4I>`={HlP>JZ3pFI zP!iw(7n|VY-F!N~Lp<*Sxfc#{j|m6lUK1YBap|B-=fK7p9(awo(2alFX}HOq$3T}? ze=3DuI#;5Cbm<%$XuP|-1Tv7*`HAxs=fM&#&Qss7aee?_IClUvK(2TZG(0Zo0UkgH zX#gE4C-6GZv%7zVJ_AFy;K5hS&5uAQtAbBVJq6*mp5%AA*m?leLoLaJHEKb($$^rl z1lBeV=n6S-d!^lCIyfRkAUvDtpwe>x4RGt_xWaTuWC-knm6rd&VFVg_hP1{(30mT1 z7`VX)y?<1}qw_1#(F1OEy_^Ob42Gx5t)STP?0kkLZeR(Mxf7HyK^FtEK;|J}m(rbl z&1rZNbYl3y&Qtu`4#Q5*2H&^T`l(c)^<;@KDB@Y569SIMA@L%j(jBA1(s_~dAm@z| zF3yABFL7R0JPf)Au=B?E3yLRJFqVj*#tO&F_Y4dSyNnEjLXD@c0G-X<yT%lBv(xu$ zh6h?df$#JI58{{V@A7wJU@)G#0i<Z}7LcNU->;!4;W50#d7gjjA#hxQPdWz&OzXc= zmd*>E7eUA3mZ*DlPX#9eql535nx8RtmZ(T{2eEWsgK$B2yMBV)Ue4bFI<yh_s!>ou zg*_p(-sW%V1qDCS=6_JK!QM26HhMc-UqDg~sLk8i`er&P2k!+X8jr@K7odp-lD7;` zdO&BPpou2-FzlLiiPv1HK`PLCo4>Ufbdn7ydA^(mz6AqRazQW|>5IqkHaH+(P6v6B z!W3qB32YXQ6b71)I#(*tdWm`otecU6p?fbVZMk$q64wt?6C?j{7tp!xpj#6@fUiXW z*UqH|-L0Sm=F;5?N@2|(LR`Q(;{uWrUc-~1>9nZ_!Nm*sjAqEif2|k5BBeEr-$Cga zWb}8isgQXrgB{=m3^u=eE68}zMFy=Gkd*Km9&q72$3OJ|ctQ!>>jtOg*6X1A4Gwi4 z>-@lZ!lm;%=lK#5&L5!Cl=GD0ukY6!IX^inUIN`Rc?movrg+fsz_){prQD#aB|+!= zJ9Zv$={(4Jute3PyBD1N1uworE&{<ka0v)1|3F7?la~1VKq-T?#6M#OxX6Sg{y8&{ z6Mw@DNQub-sVFcLKd2wd4lXsZrv4&$>i_u{KCuQ#{u9VZ|ByrfhiLiwh=GA&Cn!A{ z_k!|3_f&BCdJSB@egr3LX!*JmoIHC$$-f&>z9K8(F+9n6oqy^<aGLBq-~62s96#Xl z^+e|>P`O_s1xsLtu)@`o1u}Rb14>n(I*Rxr6?9B4QccW3M3L$-6Dd{s%tTIA1~Z|l z3eqTo%<3b`(@T*1oUxauW$+ZW46QsxI*(rslnD^`AsB#WXG>C#HFtm*9^K75(C!oX zAq2n02l+mM2T1oZtAhsqz-L`=u0Xy|!0;r|mkEGu2aN-kfV|Mz4009n!Td<>S4VaK z4v@1;;*K>7fVx_sp)#;Tx<L-{=;rh==0K`=S`U_juGBgJyVL>Xa1h6%n{0=JtOYr| z8scz}bC4Yl&Ck&B3-Cn(|Mr2pM@X~f4j`BFw{U~+<C_8EKw4g?ap4HMYf+ei!2?_~ zfg6mi9N-J`GK3*_RPeW)0EJEOZjb=#6%a4M7xH#DBi;JR-!coNy1Ur`e1Ua0=oVG} zZ2`=n(Y0d--$^t-V+38K^`V=w+mq$s3&GCw2j2@eKW7A$zdsExe80f?z4-wn=MV6K z=QW^No8|(L^FUV&V-0nXtC}lP7)n$<(3}V!4<{k9fWinA=I201#dS7=!Vhhn4K=(q zK^{dU`4gZZ=5JXDzD-NP6C_E^P_V!o3I@=~0*3;K1CJ<DLjmM+P$*bHQXa@x@K8YY zKj?~7r1Ta5@-lx*9{BFS6(EjBcQ@#OYj9M98laHd@xWC(=st$M43I<cnLwO-P!2PQ zBf$ufVF7VK=c9x4u!1;ip)zbB&Kl5drytls*H!%h9b(ztjDCL`=r&ML(aX=oz;N&d zfAa&zm!PBHL08CuN0YlxfU`Abeg@sbH^CT|0cL?r@7)a&@aWzQ((^J8B-Gsux~#6- zgQMGl=ip0z&}~i!U-36T0w?hcph?H(hm4#TL6bqR^AVPUu5`jyh@e^uI>OxpZYfC5 z%b$=$1+^4@OC89UpgT{IZ>bZ3TL+rNL@%yTZQ2bo7Ge`}vUxcXB;MUT0}@mUlA!T- z%%B1leV~v5XToBHi$UsNdwD=2<>hryrh=OVNz4Sy0u`emgFU)8gVaNv2CBe_F8@Kv z5R{m+K?fUlHiMEVEHN7%@aXkX5$R-nc?n*tp{8%pMbAj-`vxdU^S79S%Tog{NZ5cn zn%$rq+(CmC%$?^BzGDWJa3}d)K6ZX@{?6EX9MP`~H$2e#jeqKagRhuCr5+Qk)a(4$ z{EZRPj5a*kdJWPf;Wqr%`rm<n>M_U84=$aDI1hDx=R95_!ubo-$>%(%_|x(G1sBd^ zisw2%LZ&)aFqUwGh7rJnSFfE~PnKx;K*Jl+HpSj2dksr0;2{|SP*DxK?7h2r1>Q1e z0dkoG;y_~vIul7k$qNciP&6$8C6dl&P)Ng~30l)Zr~p`R+~auL4^Sf=6bZfiR!D$` zw2nQP2_4dco`xTB7&hJmn&ShlCj(8KBgJR}C=&TwPJm-{2Z#f@cwCu*0dx<}$L|-F zA#HHTRa&4vMX2Hb)^85{Q;&OePu?KGz|if)(s}IrHDx2v1y?0pkQpGuZ?8d-cK{N3 zA6+_6asKN3!1<#@obym8=)Sn0iU%FPUv%L-r+DuBHBj`n9)k6tcLzwqn?;D*7C}QN z@FWjk*3!HCfdpJJO0Gask|0V@iG?6o2`Jq_TVgLkbr@(GA9VE`XlY$52Y<^Va9D#K z=+V9Tfj(FhXf(|568QQ<xC0@r42bJMEg&3@gee2L(4%|v4IB=IDFeCEqkFRfL>b7j z(CJWAd-2Q(gCZD|$OIvY3>5hu%|`?vqfn6ah14Ddt%gNPDlb5(19ZQXgEuG~x_3_i zmxhx;;eh3SsSZg<)d9W}3&bHJ$nZEFWGTq$(EFu8j=|CzM7hsh59CZl<D>xO>=M0W z%_l$%kKWx9VE1pXz!y7sTm&)(<f7G(RszU)EG|L{PkmJP`~W!v)V>bz0ZDW>F8~eR zc6Wo40k*0URLRT`g$639VgPXnB^x~M2U!bpKeT-fat?m?gJwdI(nABt*(LGEns0y@ z9^Jb^Ef0_G$)NNJy|WdZa-sLVF@SFI0X0%}J$iS8ICzpWq!a<`#^V8yy&w-%K_UR; z9!w7ecyzac5^6Wc!I#XSo+ytBsBk|79rQiG?*eM1uyppVn8m=*Ic?1>P?vGv0Z`It zJT_q#xHyFl+=AT`fx6sa0;qg-3<1pwfbInM=>=cc2)ZF!U>|73F?jeDe5<Wb=SSbp z4`4Guvqc=>OQ69fgGbIC!6bMv$fxtUZ|9FJMo0Ku322oQ2WSqVIbt@ff6Lz495Wl_ z*xeAv9(I_`z+iX@Y>Y?eJ@_TM;0v&096?tQgL1e>cbkJLX#HFp#~jckNt=f$14CM8 zn*fC81L29x0riz3Dtq@afGU^XZ66>OfRZt25{?06+ytcbZ3s%ni2TOk3%XCw@K|#K zh~d$@+X9l&Km`}HlqI$N0+|Gg@fDC52ibrg<DsCa0A20v(cN|cbUhSDcLq!IQ^xNX zJ6}M@ppll!zYJhxU;wXbaZxb<wbMX8?d}F8;ciZ2&`JdZkM3>`uxK+_6t+&t1iTy( zU5_V+F?fNq1-dTiLLnQlE(_3{nF44y88TA{p7H~y46swcYs(x!bt?mS%`1WrUO)s| zP{mTB30X;sSWg97R|Hy-4b~|5{StQ|3wR|Ri$`}eSj+*mmQ<q?ytW!D0$Q^PT4cpj zqRk1Kv2jsBEV2TvJ_4-*1M3as02#;wHjoG8dIyirN8oWFgxg!+mV(!NIDn>GBwni_ zjoe6pMs6G+>OuW$r2GxKHNCU>z#MS0mg#IhG6$Tde}FUK;T7O?=%OM4s@#z#vOJ<= zq4^LT-=KR0iHmP@SbT#66%w(C=mssU!y4NxuvMzaI$)6vTB{Bbhb9qdWMi%h1BWF8 zXo)>!l^B>0j#N<WiIix9*4QF1Tm-F<1+CEpD@2M^P-_(|298wN(lLk#C{jU@Cj*XD z3D9f<c;y^;Wj1I<okw>!SS50#%77x(0F)%5?PE}34^EP>Xf*&us|HH6YJj5E09UkX zbT${v1xKq+XLHG1aI_joftsm@Bj$pN7Z()`v}m<}M=Q8&0TH0`6_ll6?N=kzLS_P} zY~gP?2X4Q<0C5Jc{W=-swBFqrQt);my#0#Q5kP90E<mUR1q8eqhos~NVI>06aQo0r zdASkfr0(Vy=&e{Eh%-HUcZ1yE(Y?6<hdtmV;L*LA0f#(nA-PZQZje(wdUu1I18VBw z&;T>g0*5@zKu_E&8$r<wss*?qtyoYJK(u0gy4xH~7#JM889Lh@EC4YaSUTIDEC40H zeGj-m$?w>S1)!SJ@Fb!Z1En+w_ULZ=VFIonCoBXdQV6eip9D9^gl!cIL0ZABeTZ(4 z?luNUed@3XtRBqk-4_5+udxWE9?2???lumH`VSEGU|#RO4v6|2SkwzZ)Gt^JHXqFE z-FE<@zGE@SeDLM2aQ8?+)CVj9s|WLX_x*sVw^#yJ54tiQq8>UQVgOoTc-%z=)SF>| zt!e13QQ<&e)c~p{VpMoQjS&|Wj^@Ygogm%^7SQ%D#iJ#n9^I_qg*lJ~;$Zgc0^jaF z&}HAy>0;;&#-I{M0lee`JdFVD{T~1)kk;EJI<0R@HPGh@AnL(&4)PKa5zt(a0%%Ey z253o$1gNM2wV4DQJKGqRg3BNg$IdpErJxp%i;6^=V`m!&lp_P;@GJ$**zXGf?Ve~n z_5vJoF7UO)KArF-hX&D(aj>cfoM1rhOHjiXHUh>483E%$8UX`MfN+9#Lv{A;SPE)n z?pX>-OZz%N`xqLJEdbfw`2du6!G1?=VS>s&1<<M~&~86)$ac3`=rb_v{Ljw7&@G^N zO4$Rn9tnK;C@4h1RjmN%4pD|(pjAiU_MqcVZUzPhaN{zI@&9Jfm<HG?P`2^t?k)hW zieTIYT12HB$O0Nc0Vji<|K%ANxI<KAc7YhBLaHDI%q}Vtsvv&nLBmU+CB2}1S)JV( z%NQ6sC+92!d2F|b0Vtat4p;_CfZ%1D-~~`f^|u0i)h;OfK>qRQ?gOpla_I&wLUla& zg1hth!S~#t@u36!E<d^%y8~GczToM+c<?1p^Fv0^JpCyb#si>n@}J=318T>Mfc7-8 zbWW34&cM*wC$k*nn0*ak#~fo=4sOb0gfhr}kj0?>0m$S|c>jP0ISM=bPJj%Zb_QbT z4v3)}FbxHn2l5ZdJZ_Z!1~;mC6)QkVp=Jfxksl!DC9J@3Bw{=c6fdB*Gbnq4Yyhpm z5P-xnD0X2>ZyHln1VCw{F-1iLM0<2T$DJB{J3oLD#18}L^^f2LfhYzxgHi@4RdGP< z0VODK!r2K*3ZM;D;7w5+%04PQojxi&J3tA8+ed{3oOJeqc%{6|A}WoKK+MjIhTq_$ zSKYf07%(t!m$N_;7Y{Rk<3o@l!%LkfI$2aYL7NVnHCBRBmCi~~812?D1g9#2mC!Ik zPg4@nj&X-4z{207yAQPH51yXHLFq}Hy!3Pf<cz*MU}x-006XK@0hlx3BW>W~0Bk?V zVo?0!O-~)GK=I$R3gowaGa!Z*tb+OtVJOT$AoIjg(vvu9da_szGS6l;*t`o6^AuKN zm`5l*fmQ~BOAAWU(+xv{=?QEPRnyY~LxSn)1t^RrzX6BQZVn@Gdb$7$BmC*frP~2C z#o>7H1#>6z;DJYX8+Z|=MYjjb!S_6!Cl0>g0WH`&$?tN|h4Favb4Jb!;CV%Um-C%G z;J#aD-;6a344rLr)_~*60ql-r4Qnvs3Y4Ni@ej6u15)zgET25qg5uw2E!fNoh?xd! zG0X&+2P!?fJ&*@Pcu@QV&U}48zy|(-7`Opq-~$W;38g2{B1v$_qNFE&1_p5X#1Cp| zJ>SO%S_B5#whgVVK<NTpTS3dG9YzGx6WkvB<&!-F1EhSiXJFX(-=2X1RzBG?FrbxB z4Mx!P1X2YlpX@=6C#3Re#X3;=v}PSB%y+*42hrgP>!4x26XYSJIuB7kffv;hiqDAk zp!keg4>Eh70%-oJ@tDJUsM)CH1I#>Ll=6WWwS3^%05Xqf1K7L>h<P6{&4Z5T>@x=S z9AJYvy}LhvuhRw(Zh=lkfVPJqV>+mVIpFa3fQ)^kC<P6-q6mNn`k^D|@D^6@ZVp*c zAR`GlpbLEPWnh2~`#{>TpnL+u;Qpd#=QU81sdwKFu(NGJ?Iw`b(1n-1yFsTuAOswb zwSi_*7!3~~kAy=^1epgN-vgQ2-G*}KIQR;`&d)x*`#>goz$^r9+GhZn{|p=&Al82$ z=pE$X>hu%80Jv$K#;<V#tOW11<18wkosXccX7JK5@cbBfairr8(98%luYAmMa16>S z!aQNX0O3Q(q!MC05Y)Z|^{u=8Y<#-i3_4F8{3U<zl{Dk2&T9w%u^xQJ?AXm>;MiSa zz|#2W0Rsbr=0%UoFFY>4Ykt7k{EX4#;4=je&SM^(B`O>qy_*fdmrYK;P|L*t_9obC zEeA>rL4y#@4;VX}BbdOgaZnN2*&G8_UajHLc-Vmn6ziaMj`zTtKn)Ra8!_0U^YcCv z)Wit7gd3jdAj*4pbAV=}dp9#MgA4+9&|oqG%nS_Cu$0o>*8@`6-PQn_Mo0Me`oaIK z2cI*;JlQQ`(d}Zva{1Ne7tN0tK~A4$zyd0?O<2Gg$N^+X<1x^+sh}n$%=8Hpy8A%a zse5#{eIV8R2VnD`K+N9&G5-V$$Tvvc#|hniIiOJMZUfy6j}%*k-9Ld9<o+q(+i>?O zfDCCoR>6vC{vD81ciROf1_qMMcVGjV?*hI<c;5t&A&tj0*wD>~><0-2WvRwD8H}Lv z?3+jD?|q=61y)6PHiO;Az~3Sbx{JAUjtXc+zT>gB3eb?cYqwvCXLnhKOXrD$KjaU- zkal4_(RmyaeV{fnXblQOw}@Tyg9nb92VFW(UVhaXqT&HcqXizFH7XG<-7a=4j+b9_ zUI4|bi;9O!C#WOQ>7wG}*xk2=fq}uZdmrfHO_&9YCmcHuIUfAQ>Ui)GGsp_?{tBmV z6Y=H;4>S*6e%D!|q5v{F!KvFtoTbx8CFAmo&X1td7_<)-x_eH=wR_zYu;J@&FfcH< zbiO?JQ~uyfX&1(qj-6*55B_0wJop4`Jg9BN;M85C%Fz7qfurVGm(G`$-*?uiNOb;e ze#q$2xkjaffq}uPJ4Y2{mjp=qZRbmfbuzBq^FYVPxOCox8GqBU^E}v~(}vd_5B_I$ zJoud1vwNNZD2c&(l}_Cv)-Ihl!9gWpc)j@%qetf)l^<YhVywYEOc&0hAQi7U?>0YX z?2J(nG5pSXwD~z>XN?L+^Havo92K4tHcpT`!P|l%(Eu7Fc)-Z{qLkyfi;4$m?ANDr ziwdY9frsNakc3BfjY>obND=s`8IN9f7LU#r6;KxT>~#XOKY+rnH%EoVvp1f_rQ1bC z!KJ%IMa8xCK#90(>$g%N$L0r&E}-#k$L42@FAqY!2M$co_&`Co2|Fk?fMQF+qq_%e zcsC29OJ|SD8E}N0M8ujzCp6ZOcf*9JB=~erQ2{N@aqPAc0_{ct$BO_ctUz(<(LF~6 z<jigv;mfbVkp)`!(s>gSH6kD{gL~FK-96wa^yqx$+^xd{iNG8c4v)?$Dxhd_>K5U; z{0bJO9Gw>-2JnCk04;H2@adicjvV)H9&wk>n~s-Xx`4K^8-4}(3*-`~ZWBQl!?U0> zcEB>6hd^=nj`LG9c+I<x;a|>MkVrFttOGVFVdK2onWF;U6ABKq)=Q;=-7YEy%?}vC z5d-oz1IPv7PUtrm6$wU{Zb;C9V-MsekQz`RfSlmby$0+Vux602z(*f-gU(Vp=fZgm zRAqD?a^d_08bBzK<^-K6!{PY-f-C1SN5yO3FLIu6R6OR=S)#%NI<({ag;p084*nJw zXsiZ+s&tR;5S4;%7I9FlzUXvO2>|&Xlw`U^1R+^F03-!n=^O%bdj(8if@3!eBnCk` zD?GZlKw?fr45G8*GE`d)NE>t;*%WZBId-#%fT9ef(V;s;lmVi{0j2|aUl}Bt9Knvf zc^Ra`qFY25qQL^D!N#K(<ap4zJH0h32B1Yb7NDVZ2cKRam5P0!Eo9(fI77(bSaXev z34>2JBpa3Tg5&51KYyD%C~UxM&_VeOGzO*tiVINL>DjvvT%+`ssA#+dH6cKr0+(Vi zb3D7JfojBVF6e@ewAPO${2+HJz|43p*?IuRE)fPv!B2)d&H%Czbd(7wM|OUI_|ND2 z#m?j3uPdJCgcgM!AX~v{%i-H`#u9dr84|BS`+UI0$-vIQf*U6RI{XT>+obhCDQIOE zD2;;_upI}r2Vg~4cM(gs73X)uOO5~k|7Tz*^=bVEk^;G?#OAn*iU^1W9wT~f*m>yt zt=0qlt`|Tq0p}#o?rk7{gPJQE;C>n?{V=>d{O|vN(BWAib>J-9dF}f(<q{PE@G=*6 z&_oHxYeCRH1rQUyL+LmZ$g=Jn6^?Gukwxz~&vlllXmnoZJl<KOqEjNq`47YgC2qwZ z->-7MQoPXVqM`#j?**K|HA?b4AuW?`4oH?a=yqU%gr*5n92yv20z1>A+gZb-^+1V$ zN4J9mh{1l`K>}1qLhDM<DEZzW|Nnb-wm~ZVBcK`=w6!f3G$9;&*td5bXxX4oXO2pO zZ|}Yc76yj>CsuGV>{`LVzyP0y=xhO}dlVU;&Ki{hup>M>kAOl6oSNXS2RZv8Xh#|7 z03Ohx9FE{x+bsjBNdawOf;5ARlx`b?Mra!oQml5n7_eM^0jXjHAfX@vuc6z(H8i3D zhh!Q!!q83QKsJp7Vj7P}Z;pxtD8E71mV?3$I%V(C`5xlE-hD4XSHySLsAPbabtyo* zEHFt0P=gKBtbp!pf$h|R?~?&vQwz$@8m^$NvhRI5pZRot1ZxL*9W>F#;MqM5)Q|_I zLIcBZpxGi&Is&Wl>3j~RQ9>Uq;?a2&WB|A*geGIq%7OrJSpl9Bfaz^cQ3>F0PEiTr z#~%&gVh%mv9FPOf0TOU7#6$ylYc0BI7RaVqKuog%t(T|(MFXVRLt8lk_AE5ieY$g0 zGJHBgfd~@@g%qR$>ih>5gND3MZ;MI<BLf2{)VotaRrhm`&UYT&kobVdK#K~f=K!h} z;E}KgEQA&hu=Ie42aoO&cosPBalAzZ)GvV;1nymQdoXr8ur@=oK@V8Avqj|!187nT z)P%*J8Cq11fXo0L)`yZG_JG9^p$FlE0<c8|v^t$|jI^li!C^8;9NA<LA7b(WP)Xan zMg_D^!lSnbYyv1?L2g8jCRpfr^lkxr6c%03Oa#pvpxBG>>3oltM?eOG+J>N*>U{3g z`42vcbO@1CT2#Q545$$Z%Pf1qLTK>>n?S@9Pg|hD0L?TWy?azZLXa_TP;CoNM$JpW zqWsNER6zY}yjiD31=MW-8H<|U_khKbgBGL?60{q@2?5&Qz*(BLsDQ>8u$c@JM>ZM6 zhnNg1ygho?sDL}Ey**$PKw%8B8(XBoBC9(El((LP;t&)b;81|Y9M(v)fMu^7Q1*I{ zGv>f;dgPc}qXH5_#2hU7z#<RSGB5yb>;{z#9>^^qkUu~P!Kd@TN9RkQ-X3sX1v}NT zyARU8Y6CStu~jrJDxlpO*a8zIjvSaEJ|r+f)r@0zAE-~|+1&<e$6_~m3dmS2xfUdj zY%+)sF?j|wZyA8vZM{7zAQ_PNKz8GcCU6!3`xqKI;L;CEo=Sj5Pv?73TO8D9#9jbu zfQnE=^!W7dQ2_}dq6Z!=(CiFtih#zo{=F>vj=aYXJYHi4@)=_A5Rwi-J$wdO!=-n3 z1=!b{Uoe732zK%_z(#m`cQ-I0jn|-z7l6Fr+4;?-yAL!B<I~-y!2;??pM>>vPdav< zc0Bl-)$!nCW^j-9q;t28gmZU@1PiDm@c!~E$L61Mb*7HJ&K!>2CJZ}4jTRTplb4@y z{&Vbg=5g$nVYvL5^K$16(8l$f7eRe$&{mxjonK#zcV26L#@u-kBKVEp<y_~#&dZ&D z!D^cygZDe!;5=O_dhwm%*XE~;oUg$rw1O*_*ZE+36(IJqAnbj>c^qOd3&Z6HoQFUo z5^yUH@VlJo{CDvkSP(XrYxob+f#cu=ojM8XaD)3z(D60S3(X%u$HQ@W_C~Y7&LVW| zp7w_sG`bB5CB}=L=MVm8b?H_43rexgH7XnozTN9SFf%alw}7^wfZ7z`_LxiO1IO+X zR)&MmSv7xkUc3zII16|*pI~w9&S7P^{I)YkMWp$cOr4fvuZs$YW48+{#ABKlFF)Y? z0NTCe*nEV?x!Xk*<T+5^N2EDLMF7+g5#a~f0&ajicbhPSA_ru;hEul)GbEBaLsWF? zG_V91$Y2e}=EFSB-7FH9A8^82&>Em9b5YS~PEpZe=5JvJ4M3rEhAdu+g2XywR0@1L zOH>@d-pgSHjj?E+>b!XQEvUy-0rDSc<N?%ca_tUbWpKRws`;0UOXuazcXcXIpOzqe z`i%1(NRY>|JBF3v@?#gy%RZgQn;-Locf5Z9_b3d%t>Aau2MTP^Axz&|Z#(i&J?7H+ zvH2sTYv%{Y?-v}mGrF`MEX{Ro{pQF&^*AU@VK$+J=~1XnF02ffA2@Oza^bw%{FJ}> zFF*g31CHMg@q-WCb>ukSdD?~JbBUbcx8}e64*XM&@oziKzwM+e$9GrG58tnGo>M&L zqIk@wyF|sov-5`!s1xnTzwP+<YpoynTRcJ864ZYJxfe8SQsLBXq5+Tn?iTP^oKrW8 z#^o2yzhpXHR75}tzz387YIxxZ;5p~_&KeZ~NCF5^Ww`u^6LdDK2xw0_=<w9eL(Px) zJ3~~!&H7W#j~ETVH9zF<^ict=c;n!A1#QCT@$7Ef06H<*BcQp4k%NK1C5Z(*HV0`c zhp2EEegg+ExGV(iaXQ5bmIrA9HPty@C!=)uzztGR8`}aTD}d08W>JZ)XZJpsB^KzG zq@r440WE~Vj(DlX2^t=DQL%Wbz{$Yi+^qvn+i#t_ZI~{<2NeRH|2kjSK??#INN|A) zpvRoAAq9a61E??p^)gGjn;$bef;#IArRI*!Kjmwn+EB`mqY!Q2^5Zz?`{w72{H?Cc z3=GZR867+SgK`<THw2AI9Z*byGA@S)JSI&vF24iCWQht7C?+wpGAQSAKw=V-l|fmM zr#VH11C*6{_~AL&xm$z@l6zr3L~-gdq+AOr>YBlWQ5vwq4V34h(;VPf0(Y8_YeH}s zH`l1xFo1_WESi5X^S9}P#)wgJx`1PMAE?Ol?4AZH))2$EoyQ<^8lYeS75xm|Hb#&k zT+pzkLU)c43%FQQY5plwr`qer(JjNY1Ef&%MCZZFk2!yL#;7PXALHp3F}nPS6Ev)> z(ww5A015^ben&{H<k`IsR4XA_di3C5)`L%(!4`F!=y!+cvs`|6`4y-plI?Zn=$2te zw&Py&QJ!ua{maiee?dxqa2O>Zg;5Gp7-fLMC;?j-<v_wH0~|(Xpiz00Faj->@-X&M z5r7;O02)Q|F?LZA`3@QscWM2>-|`>QJ|!5C-~k<!fRum-qzs;blt2kcndTG~2~a@F z&^90gkODFUDIg<20U7WTG@%1(d~&=@U<LKl+CU8r-|jwelpciEpa)T#8JZ`YyJaMu zyF(;dIuBlcefd@M54p~3op<Zx9ecew9J_Tm!R-vqgO{Ij-gWFP=5g#cF}(bY^P0oA zV@##o%}<#e!E0zsZJK|`*FZIyz%@PN{EVdO6S&;wyahh7fxi_rG|~Kt(XsQIZ?7{8 zXh77Vy9*R$KHZbRX&*5_aut#cL1`acBsg}PXf;22pm`Bov2!?f$7r!!ehpsE_fw`$ zy*HEtG|0URocuxcBcw>@Xg&&Zzt-hvocB6QR8Wcm8E%mOox4Riz+nLMKdM(CHMb<Z zxpVO~WI90u>?2TX3$!6a2WjP>1!!bI16vwLDF+^cMrlyexB#fsbLj2>g@;G?1aNpj z=ZqLn(6lUoS`11&9^E^@i3edZW#z%ecaTyu0VTXrkishi6kdeO1n@i`N_Y_{6Fz{G z9)C*-BPeGOE@6jC!2k=<0F)37K?>0bP>2R#OMx+vQZ@oy%Kip*dr(5u0KH{lh}5z$ zKyO)agQi2f_raPk1}_(W2i>dTqGIs!61aPY)*RXf7KFA`z{6VTCV~$5@PwHN?ts1Y z{r>+yN~;Lm)(6$M0-#nA2clK<a_bK?rQkU|kWvqjQUxTX>wcms1rKY2lp27PN+2nH z30k(@3ts*J9_5A(yLEDb#*7~@dUp4LnqHlbEQSX>8jpawd`JV<M7o^$51MmuyPN~{ zh!~Xq<_&O%;j?GwpMB<_xP^6Tn|IFujTG~@RB(cZ$(Mi!;Epwa010+`mw0psXCUf- z$Ij!hSy#{?;RH~_nt_4Aty{(l+$3<k{Mw~+jtWQ|H2()0ym9Mxv0~}$Q2`GTU4G#L znL+FUt8szMOhSeWy1PMRIv(AdL8BB%;}S?^eFDfnmu?X~a9Qto`ISp&h)RM-=N6S4 zj0_Ae-9CCCn?OUC;8{fIRAYim=Sj#6L`ruzXjBEXtpapD0ir&Et~dbIC*UFIZW9Zz z72xV5pz{-W4!K3;1gJyNxkm-$LYM9w3(#D0Kqq7*(ed(I7uYOkNOw1Aj0F*HoyWn! z<6?O4;D6B443F++(C7=uK5%Syi&%iCt33>_fvwu30v=H5Y*9G?I`XLoY?Fs8=h4m{ z@BrTB_l}%@A(II{F5R0$K)&z%09!)v!4b3w;DMv&F~@^HSRD^OVD{*q3>uUK*$3`8 zICZlyxpaPnOyU_HYkt58iZ0N!np1ZO6KDgE#pPFyoL3?9sWvX%vq39OTsm*SthnLW zc?7&D;V^u%zk4!x^69vX3V7(<shdR!G*1g^aXY}R0F@|C-62XKD;$ulaB(rb=E`{% zQXND%Ha}%_>C91yDPecv1a+n(kW*W?i%JA&dYtn`DfjUfa6$qN`gnB4KxTZwspK0} z0yI4hiZ0M>A1F-0Gq|9^BnF?(Jt_}Cr4)32eg!yk*Qi_o(Y>`Sp1p-E9=+KtzP$k~ zzM#2!m+l&sgl-p=6xY`Opfu+J&QW_*K<j-<L|YG(3OY7FXLRYTQ31^byvznkA9qm! zCn%5ZDJr1c0GibWSqhqaUjVYGbB)RjP!#J3c0=+AxX~Wq)44{)f{B5_r+bYG$YGA4 zx!KFFI;W_B0vVRh0${W0;Q3&1N&;B~$_yZjKt6Hl2Ad4Y6K_F*>d`GC4mPO;oN7Sh zLY+P;9x$W8M~#9L3CJi=ig)a`0XYsdkPe>9vgj5OyZj2aB)|fu(FT;dz)|nnc?&cL z4NAH$-6F=_kTeU;t`3Ia5j?P`OH3JD46lPO&H-g)hs*D{A^w9+?Sp5ZBS4uRH0TFP zo{rrn8lZ#=Nfg~ZDxg5@*3krsy*2y}O+TEkAnO5QKu#)v=?A4f$8HlHP^tv!F93xR zD3ConPj%~P!gLpa<ln-KC~+}-&pky26jqS@mI28VIVEhI*TM5>uqbW?tugRX$!LBE zSz`d2W&p1-0LRNW7nJ};^u*}fc@>-<L3xv*yF>-lXz}U%<ih!@GepIr^E`N})dsW} z0pv|k7=VJUL;@o3`2D&I=MTjn->-3gR6Nn?qGHqSqGAJ{e6`?j0iBV8<b7E3YXPVE zZWaMpas%bh0#I~;l36!w$v^>YKEDKHMg}AZr+|xDP;VQQvLI%F5*D}^$pD!F$_j8Z zGGJ!pfO0Qr_624PBvrA%Q`HMlK?kaoy0@r+auw8w78Q^th$&ORQ$`?zK(#2$AW#zP zW)X&_u*)EGK+>Sh0vVSE@n8mkE{y^i02&U1830LBECQhP1JVtWbL<uog6IbEV7gmC z?mO<H0$p`6MFo<aK+7i}iy<n&c@(74MFnZaMX!rW0cbQ%0Mr%B0I@hcdLcdoO$vaT z72qaW1!%Y&WT8(lWI@e7(8i`+AOf*g0?|aX=WpW$l^-BifaVYwKqfnQbjPR|_;f=m zagW~J;F<E?5*3G+lR=6>vL4-&LCg6-_9lS4YCA!B7Cai!?W2;=9iox~>Z>z$wtxrm zzh49$iJ^Fo6I_`>s%=n`0GDc@p&iJ|iU63Upp_LcHe_W*2q=Sq3TXIJh=A6UCF0#K zDj|ra5TLo(m!K9NlJh*!oCmTNTrhRJsQ7@+O;Pqy@c<o<Y6%Kji`UL54JweY7{KA- z(Y+bwV+W+R+tq*n|F<51xDm9r0yF`{0Ci)DN<g=dN=SE(iUlZ7b-n^G?Q!Tl&UwDG zM#ZH>44eZUz&Y>d_p6*Q!1>Rm+eO6%oc|n3@;thmLAHXMg`GYs5s(⪻ADqQoKY! z=MX%*T~x5Iet@*ZK#Ly|zWx92(b)`Yt$8#a0X654+L9i<vkO4=4QK(4NAHRY;KdJh z(8Uk1=@igdFetz7KY=9U2|JAwG6N5;JV9MP1CQ<okO%m;aqw?*04>f-=yXv@0ZVmz zlz?fEZU-ETAi!lF>il7iiU(rus7A#Dl2d%Z26cBp+J4}j>kXj#8mR$@v>E~u{U~$E zHJ~U$tgQf5y`Z8HYAmF=2Qe1cOd7Pog6>+ZYXy9Ib5sIAJtzmz7>fmHQIiMa^aIf0 z2#}Q)VC#K)cO-yTRP>gpBp6=W$qrtJ@*mXh0QnG{<-qDZdUwnKsq2I^`=AFO_&_5D zx�=+28A;65(oi(zEj}Xr&&gRqfGvA3PW4qhbIt^SFx&w9*56d;(~-FDSnkAZEcJ z3ZYYAi1gso`5CmP5!6zFty=f&JO;{m&?*3IAT(WgF!FD6U<IuZ?Q~Je0sDkd!hkHk z0TsTep}hyZC<l=|Abd~?X;A?e+n{t!Tq1!C>SMD4RJbBr0pdff0GI6$D~L-l;7S11 z3pFYg2*YbsDnKT?sMLVwu0gdJD9vCN@t_6o;Bzw!55T64L0!xYL}~#?6f8w_LlTG& zXucS7<|8z5fa(s=B4_ZbgZDn53pT)=Qjg9<pf&|8U9_lxR<(n|1{_-+-O!}52P}@3 zI$$f95vc>V0R)^RT2v;03UyGe2nsoPY2m@dzs-TIvj;2<szksQkBf={;j{soMg=(z zHDLFsfW#4D3*m!;w?(A}Za7f|NQ+7V)XnHtfW(ol0P!JKfJTVGq1D|%OxkEs0WC|z z<_nNGvK1gc#EKk{FM8LgoB);Jy**$PKrsq(1gJFt@;`DRgGee{z@ZFHEubzAs9=Ge zYXeI)o&SA1|HA43qy%$-3A&(Y54dyg)A=8qa700lM@=;vpuqq{Ov6(RNStu0>D~hF z`hZh1H0g9eqX8VSh@`{Jzs-TYvquFa-Pxi7>T`ls5KcPa9vzky3m|diKnL+5ft~^i zbWqAAwpanJzXAmfdeQ-jBU=IDL#&8FSV3IU0e2a&_yQ!3Yz2r9u>#cd2Nf|pph*WL z14=p|M}U$J$iKLf4k(miNe4O_1Wz*9mNjjFrWf4l1k~IF1ra#XkV+SjIKgxR?&^Z8 zNJ!D<*?Aml4y?WbHAHwidsINnLOWYjWI%of#~^{`NDE}OK9+z5b-a)R7Q}}HtPdjY zh-sR%fEOr%{EnVHKnq5YtpM>MR)E)kgWXSL$pUVnV(|q?9N7vGA7X_K$QQk9R91k3 zs<%f4Bm+twAV+|b2gtv;k_RZ1u_q5uK?52~fiyh8`5LKMSpZEY-CLl=%6F8tRH!A3 z0FIIcB#x0{{)4ST)JL!ul4s{pw3Nchzs-TSvqyykwDG4!g$Lw)a7YtODWC;R*rE|6 zjvU4yJ|v7yKw%6voX9!|v>pkY6(DhBD?ogR6*>qjh$~1yE7Gu80TM^H0>p<{p#rj^ zcZ~|Td(qniUeg9jDIiCHQVPhwxKauzl%Xlbr+W{0bQHGA3R<9GPbr{{Rp1s2=wfPU z`xZ5MFyKfYAaRW30cm+7B@bxD18d28bRI@aCS3g69QZnWR6y&-J6lvByWNOts(?0! zU<+W7IC21k_>cew4G4p+C$_!;4G?3q0wj)X1&9x^0<=2-Y6Wr01iYXNOMwCsN45gQ zhgiV_O(q?n90gsl2uda(M}U$E$iKLf2`H3d$)ppKm!Zi6lxjc)3igIAXbl}GnRKs# z7AWsMI`3gkE};G@D9FHpfK>m0#L<!qtdWG2TtKbn7-$~~)J}nP;Q6<CaPx0-;P32F z0j=-rY*Bdw8m|S-j5uTM{2~_jqE`i=aT?@c1@R%l$^tSHI{(oOYQtgc{<eU(NrC)_ zZZK$X8?wP5KE&WZpxDJR=>hLox2S+NdSNpdB#vw_hz~LN3#d8RyG8}HjS;k%8YBZs z2q3FL2?69cTnPabj?jeQ0WB}UB|9uNVC~+3hBrW|0JL8I8#MMkJFk0m-URCf4eWt7 z<br$wI=B(kpYC0w0un;RJgngi>)L?EjlhF*3ZNl5P~QkNiY5W#fSR@-jsS=QYQKOu z;E_8})dU*3^Xc6Jo_p8_T7j?&M1Z>g-@z++TU0<v4jc|l(8ZvTDIw^@5BBH<dju3= zC<7dOAe;N(`$r&rNG!YosqO|h0=t_*l{t2UL3<QI0fU}yK;p>J3*tiz29+P(-H--& zGkD4YF<FL`Za_mS*bD}VBO46jLkzwHO*a|P0t6%jN;e>@LE!}o18nIAExo|XbZC+R zB_mJ)f~A)O+FJ}sH=yxz(D0W>=R2RyU&sk30A9^Z291?~ViqKXNI38W106Ae4&i|o z4S#!S^#;0V7`$E>G(-g}Ts(Srg9ex}_IHCafJg6UaN@kQ(;jKP@DH5pg+Z|kUN1av z4GU;qXx{?xdST=lxdX82KFG|Rd$$O?b9V?k3wT%ME6{4T-?DY~j=c_`1;gy%g*+~r zH!nYP;k@S9>i}9Y%nn;I%-(tP;7caZtm*xWpoMs#wJaz2U4Fb)1Pu<egU&7j%U(y3 zb?Lm;dD*u&fd#aXm>sc@nDck3eCLOQFPIEJTzqGEtoa!u=jRe$*cQ2${-8w|&A(;q z6d`V7LAVXHG!)`C7IxS&8}@^*m|!cPU}x|+ciUKi=55}0+Nd~po7h}_=h*yPwzEWq zrA{5wP|hEnEGkIGf))X?I39e()GY(P@)tBm&ECnQa`AQNG4P5CSHqK#Q^Hsr`CUGO z#>1fNeObV^g62>(oV#soK<grZ%YydDf!6zafEG;g!^8P0=h4m_6%8bNLDwRHcFbvX z`>5!29&dim-dUrf;bM5%@GvO1oNs>42)c6(B<Z3e;mGguv$IA;2C~K|fb$x72VO`C z8|UZF7?l9-5|x1O*B~=s631OsWI!3yv3ptxXfOVSgW&Duj2Ai&9{kDLt8*44*<7O{ z0a`De!2((@4Z2+g<TLQde&-9wa_NVx;Pu3y>9PROa$?8s8de7IEMQ3UFNr$!URP|3 zr31hVt6X#$E<XTY{Sd;x%|#^uw5t`w2<dcD0Z&+h%1j35ZbTSzICqQKK+-8_CoL=t zO%P%D46@nQvH1XK%`_-UfYwZdw$*Y#B8a0oMTLhQI{k$*IpXnB5!4%a=hz)%$^e>< zKh$~g@@vpUE~r=J(fI-7KhO|4sB`4n?E_ja{j&L&giGhS&ii!=V4v0?7E3?pyx;4} z0}drn4m#)2nWGZn)44<i)KUg5%Fb}$-*yZ%c><nuFnsIK^4$@9&IM@Y^rhAVj{H*( zxpZCxubjT(`2C9GHb$4$hovR1t(QQHszJf4>d{@I64C319>#}z-FU!j)Im$954v!k z=HGU@@h51JBmb1cj^7Uc=bw5&;f5o}z0Sif9G6QJ4c|g!9r&jl<==Lif7=09j%%)* zSH556JgRusMe(T*XuFIf|F&b_ueQG6ZvhQWfsz7v)e~sG4-`U9-SD;35+E^9Fu~SN zgKkKd@#xM`iKtWW_2qEv##lZr0ZtMjy0F#LGN8j!yM0t-__u-9$Vq_GJ7n#2<3o@~ zI(<}RI$2a8tEX8!yIWL1E6=*&%cmtlGxyzlR6ymmN9QB-#X|4+K&z+sfHiq^K6|-| z4|D|u(uP^^1O;eN9K8G|031i4x`)9NvJ(SlQ;C&l_dc*Cy&)<d=+?A@tpP8R1&!N! zAg`Z(%?fH>cz`E>Ji8%BZXnhLfsB0V%E!Rq-faRZLmV%^0|hE5@i=wMSX_P$D!=ON zAZ3>cqCkA+!uib+Tv*$%Uw+Pczw-wu-T#E_<N$B8`1)GWqw~SbGrSB8;9|HFY43+i z=eN%LE}dV&x?l&0ah@-ggl+VAU&04F*$@<)uS>zsz*;UJgE#|RE+58b)5UjSQCKzL zV)zYIIGLz$p6-lMVF9O>5?0Q8ohG1bw3|OO8lGIi$nSExlLxdH8?<!|JbMmKgWW7D zE8v@~g*Py^94N)M&DyiKMFmtlf^sovpPO&*78Ou62@(etgP=BC1c(DF@j#r6ZqS{1 zprm$!^8<g28fe+&aTgVE;SP!pNV>NHtuzO%s@4FBLDD^BrMX0BiHZ)kQV>+KYk-qH zq!a|zFgl>Z4|Hg;28aPVt5^q=$uLVn(7FUjLJ+Y8Rcfz5kwAKZ*bO?#?ojh1_RbI$ zj?PmM22b+?X3!OukZF?69u@H1NADW&RtThJf=IJk(1WZ%L$;tmWyoR*1?Lpdxi-x; zDn1OLt&kbuRqP(kKiK)(ba)sTknU3gmHVI`9!N8&XBN@T;n^L^fV4UaX(>B+{t;yb zb^?3_c1rV430zCr6Hr!Qr+`8jG+&tjVx)j3E*-o1J-fxQTMC`dL0Ugw0k^cK`KK%{ zODj+;t?71Asp$4msR3;W0R?&mEYNG<fexCl>+At<#sm%9g1AV5?tp0d5(sn`$XZPY zaCkol9R-V2*R_C$U_faLJPp_l;TyZC1gu~z74L>@!!&kL30c7iKFb6&&%glMI@A1! zvD-&QLJ@SujY4;cicDvTic0IZ5`9ofroj185wt-|Me*Wm8IXVqC_9&^C^SE0ghekn zC3JFhyQrvu=fM^DTR^835R7$DqZxGrg9SVSZ1BXo1xf_ifFj$X(?!Js#IWgfQL(`n z>)^$rC`nBKZm9^KSQkLCR0Me&5Gd9KV6iR&jdd3lgH9h66VU#A19)Wb0k5+pGQRhK z4|QQE73hZSj|Rv3R1VZ!)&ibo!K^7kducskHDxbk9T0jwwx1oTy6lC_*SxG_2d#}} z_w8l_H5x(3`!F7K>^ucKJgE8M1I-ie-8=%$-5~-jod+E+zXUCnm#uSl?DYb*d^x}^ zU(i+o7tXVey+xq4_6DH60-fJME!~fh4o2&L&}oA2U#o*U+MtQ&mqP3e44@5)@LonM zXosRp=h@C{E}iE)KQ}*S2VKf@o8RR#=ebhBgKwD(L0uHif8a{IG^hE8ENIUT$PFfN zH#~FX{D|a+PuMItckmrk=k@02?4aJoe}0$iE{5koY1~8wyhD+tgq8DJr-@4QCq~1Q z-&j-_`CU$RVyUb^OHx3m|A5BAK-o$H)XeET$9avv#gK)8!ME3o1vJVT(aq`G9gBP} z6})2&t$`f7b&Mg)P(j<AG#tBYj9I{KZyivUQ11=naO{>5M(k>M%n91^pwWB`6w=0^ zy;q>>iGQ1q3g|X%4G=@8(?>-IG*$wd{08*_oV!h!Izh)iZ2{K+&fOx6;8^UO0<IbA zbRm&x0}n{h)`>k}wMfBvt#b=ld3O(3rW?ZR1lKuJz(Sy|<oD+1jE0w*pRq$#gO1$g zclp&>qrwAn5@_5D;v^f8liouY+B$ceFkXJw{6n^L3wZZ8%t<<MCq3o-+qni@<~cSW z0`&_7z?(K9<s7v1>xOI{?>q*v9%R?S7fhg5Cn(mNpMg7CP&F<p3H&agU3<to5j;RM z_z9o|A4u0-AXaAiKni3?1^Af{HEV)S!~v~Z#aHox*DIlvMh@`O$ORPNIQDQkpp-@~ zppws_(?!Jr!~mTj<>J`Q4yyRz8-6;^K{k$o^KZ9|5y%$MUKgKUH<rt9L77Lo*N>yy zh6|Q`pL721t>fvovAX=6^Dk)WDX3=;+Irgj6nxL$%g!7X@G?P2DXt^dc@Vsa)xxpc zM&R;$P|Ve+*wiV5OqGF~3Q4Ts0-VJN+D`;6V6^D=QL%y5pcc?LItA(SgEm&OgD$i0 zbWu^@ce&OXqN0LSqJnBuP%J5cVyOU8w!&fwax?;@7zL>X7o$bI=y6p6N{pbA2$UE> zbvnG}bWy2+R-2%O#~>x3MiEFk=+p|3@^6q*n6U(;03--f&|RY<0jf>A*MJLs=s{DR zuM|PcJOw&WgZu9yB^sbgRe<vr=ReMuiXRn0tz;3!i?6{a7l}YtoeF@m5vY0<0avdA z{4J88aWq0{3%r2=C2bjiVjUbyCV0}80ZQ630VOGeP8Sse5W}R?Ma6_hX=@I6KpLLB z)~I{|jdMa07Cceafs-k6g1QTGE;unA2X}A|zGVWf16~6jKt1>#d_x=P1n$l~DxiT= zq?7|1wFZr1gW9#AQOFMr3=G)P4`?_Yl72us!09KJ8#VnvmUd!R!^OxQ*4`=LrLCyd zaET45ng(@qL8n%L77ZbHC!zhwDc~M2VuMK!gb&?e0vaSlS{3NpE$`dShI)J+a{cY7 zdD^*K22z28IvTGbV=w%DmqGg_d!0bFIBZ|<O~{TvCs5tO0ItP9fO?*=S{&T<eE)J2 zXkP{5U@Ay%0A&o&!6cvz1L`JQfGcWHSTnrzLEpjy9)1FK%mYA5k<YQ(@fl?!45U>H zGaFQ(gES-{nf>d_|No#?1t=(A?)mrsKW3%hdAIXg=Y7yAU+mz4KF~Pl2hMY)!Ux|n zU3?E|S9}K>S(*>(g@GCtpkRS-2EKXu0q0@IUQn=r_br1r10z~4;9$9N@C6gt>Wi-- z+p@m#yIeCo3>wFiK{PEccgm32v^c?e!MF1(e@h@Utaag{64A{8YISFLbQ>V`c@7`^ z&3f=L_+UnGAGcda4m1)5DX%rUYvhpH7plF^9NjEzh~~uuPVhKq^I@KD7CC5%jlWN8 z!URfHput1X$Q~mkb-_Ba7{LhYBXc0t;NX!x(AI2r@R%N`n1!`kKqK@ZHYmm+xB7wm zOR!=3<|W`Z2XpfhaI1vbqZf4l8v`g+VfJf5WB;J8DFgU`=1_35gm-O`dbLY2_GSn8 zcD?`wG9s)6kiwd7omw20QmIqhoT5?zihvq+Py~RgO;DBtMF5Bmihv4G7moow0zk5$ z2mqbFgB$^veOx>dfYQzNN89)fDFCo{bA3DCk=4^x0@cCDJza3^s{*NgK|Nj6&aE$K zIvi5_f)YZ8M>mehfHaMecjynD4ld}R6Hp2eVF!gZxGe#?uK~OnQUFWbr>Gb(H>aqW zAYvUyXBRc%Uvhu^|KG8j-M5<$xxa~g2o9Fr>9WM`PG>A-ZGOsFs^9!Wj^Ms@&Rflo z82MZI!M!!mR&>z%eNNDh^bDVFA*4B0_})Yo(3$NF$lK6OR1mw+SwL+pHLSbPZB)Rc zgeI^}=q&u(cvL!hR6w0I(4u?r6(-=pYUgef(4KTqY3c#nmoD2GqT&OZ;R5Z=w}Ef7 z2ldfvR6L+(RDkxUgUV8$ZWrhdBj}(YcnLma&>b?(<O3S2gS4xW&lAu9wWkA++e4n6 zkfXkvYgBX~9Ul#FV<-=!<0Ape7X%AP@E9mc1C;~bK;;1i9*)ir2dKpdKfr<q)Gh^G zAjSb=fbI?B!8iUxpvQ%C)DNx4)$O9<(Cwq*0_(GYwz7bFzTjhEK)n^0PDn`w3fL*& zE-dt{2A^KYBJJK9l>|_$6V!%54rOpv)Lf&I0tw;-a1fiI^;aMRxuBd0DexhDV;2<( z=wKgcfY;bXMFu+92U<+bfLxS+b5W^)^jAPdHn_ji0$ym-4H>5d`HQhLM5Un9N2R3o zB!3G#BLf5HiROolAOTPh+C`<{<tNmJA8gxWZw+X>M2QM=JMQBLly)3!OI2@;N(HDo zLEfWN^a({Nq-_nVe?ZMiP_quC!2sMo0|(a2mM<t8@HxXCbw@ZPj$mekq5@<#a&vOh z&;S3MKY@edxQj{&NNKl=N(po)QUPe#huaanXUWy@|7#vs!~ft(zT>W)|6DphxN!d9 zZ{Y?_Fc4E;fKIAF9S~LMjxmCqX`uqo5IRUFx_|~ja*$4RxdrMRgYtm_xU;;?MFn(W zhYDy01Jv5VHxz+wU=(IKVqg^0a>T$W$a2hqQBYbzE^b6RA;X!Fi~%~g8k#Xcu?y-f zfHDQB6OEiH;6)GQJVHos45S#GJKWKR!d+A>h$?`<wHitRWB?CuL=PF$%ZMH_$jexI z$jISci#+E6ZHj}N-`yti-68TUkdt&|>tsPKZyltQbe?j)1GTzs<Y6c2fCfN2A*Vcp z#wx)#zfJ-7lA$38FIx717ma}el7v334kxxgEvV1{=Q2=d9Fi4{T~sVqFqTSnLr(fM zc2Tie!3f@!4N?d#OF&FV#bd6m|4X=CIsZ8-9&=PY{+ij<@V`svkM9>;T0fK^<r`+C z>;k#~fr#j90q>Rv=SM^f3$j%P8i{kjhb4mYjW*~cB=mS(12zC&m)z={0#?tz4PtU9 zgaUCv=NWcFj$Wi`%z_u@J^~-accF8N3S@OI=n@tNP~OmB1l>l~174(yBX59~3`6n; zNHI8Xw4-%kS|DfF6E2P*Tj?>v7j()4JbXb1KH>>qkXn@R1*r!GC`h6cVgZN?5(aG= z0<9A3ZULWl04k}do^wF!RgrQIc;##_JbXbT_n<5TQiUUYLCbj|;R{j>4&P{u{t0Mt zTX%~Jh%$zR_6kN&;mc4e+})xAS_)^}q5@iK2R^9^C663;R6GXGBaYv%ySD!0Z!smV ztAV`34nCg=*-i&-QLO>*sB8Wy;R6leI&hvqKOJlicuO8U&Ok>GfOg`6A_=sq8hVa4 zhzk-1?bZQ}8DT^g&I5u##T=mn!=S|+Xe}vHWPujTLW?<2W&kZt21OP~6<TDytdjyQ zmD>YON1(1n=R4nSUj|xqFPK2x3#L*-;<^{$VIcn2*x}y6xcCBG=Yzcq8@$Ke$#79Y z>tvh+RUHiIos46noeUKRY$1!MqX8}2z#R=pOBXq-z=9d2qtQX_j)tq@H*jAATmqH| z9f$NXpp693FcI`f3UKEM+~IIhDFGdY2^!VrJP1ljE-EFEen)|0=dqWdH8LoB{=sX7 zK%;yT9-YsSdIc39QF;ZCE)u9d1C<}}aYQ5yYf<|hE-K*h8<5H1<2I2`i<<it&1_J& z1ZFmf4eCZ9cTu)}L(>3S`V7+mVuMEiL5rD@+!K!8R{>QiFg+kPNDrtgMbguZ*<Eop z{N~d6q4@)2tBXoO2{+_GPFKTkubEvtzq@q)Z~-lX5~ie&f^_O6&ZRnNr%qC@j{>tC z(MLhA)M8W+eH74<mzaGNZ25|aehQ=`gPf;4JE5f))_zJ175gbLZzK9CnBGS8Q$XG( zrJq7s1rDB6f%a6uwE$>>1=OMi&FDcZaUVp(3pA?++6_QLU&WRNeU;;`t=~X>mG6#< zuyz<I<AC}qKX7Fm4&t&6q$>f+x=6iEXkP^qk!!$x4|rP|Juc^<_EkXbGEk=k)DQy| z8YK2rs1v)O-U>?WV(qWAVCk>G^9Yvy$`o4kS72cb>S*8zV^CR&()I<Z2Zb?6g2es` zb;20b7eNVQtbLXi@HJI9${{R$mK2KnEJ%3;*02BO`2Ct|>v#SZ%aPb;ffae6{s>Bh zVePlHfbac4i?El+c<I$|VFC49SW1nE>$gCb;qbR64)=}=_H{?dT^INWTaAhaXweZ$ z*TuK<AgoP|GCy(yv@H>7rLK#L1QFv~E-J%negu2iVs>7jAq;OG)TktY*64tS=8^LY zETB<3FEc>3F;YN7n*0>Zk_=quMS;}LOC<4~mkv@pFH6Yiym*n(d8s0^^Ku9j?}SG* zT~x45ut3@dIVy+=7WBp!#*z7htMh_AXJK|<pg9ZF`vuLMfN~Z3Gz+{0gLPkcx*?0X znt!mD<)QBGuy_gTLle~#=lhM;`330&wT3}%0kJ{7W#n_4J<(4;#@Q1msvyNS&jXA0 z!PtK~_>Kv*0v$5d1F3zHdr;7bgcp&J*&a}Q;_pF$A`{ft0!5|>a%4iLbzbWrMJ7ZD z7Dre{G$@-FLTWz}-PcMZE|(9hz7{+sfI3^KDFM{mf~EveHUdrffRX@6A#z2Dl%*lP zEl?@|DaMitK;uxa^-)p*NC=h+Ky1*E6R5|D7;+*a2SR3gK*peSe?h$}`1Ay*t2HFL zX7DHh_03SD1k^c0iW1Nq5hzMP3UNdUq;m#}5|CmnQ34v~LyHoS5GX7`u?}K`q68F_ zh$tat_6aE`V)W$RQN1Te#aSnuGqIHQyy4Lc>dB!-FQ_Yr6uqD+C{Xl*6yk_p(7`zj zrJ(2qDF#Jv7HYW*I++4})p&^|Xhk?^tFTA!6v$naXtzYMUHbnYqz1NX9AwJNqZc9T zp23_W7tv~5kSHwCfY_i!1Der8B$}5c|3RjrZn-Wo2iXI03;2jY)Wz%kE!7u6nxI>* zdm$GzSc6@{3bO7ch&=%XIEDp#G{2GX==|*2`EQ>kXwDaU4xLByZqOcd2L2X)Mh1ps z&7gCh8E_rmkF;$H+D}5gdl&0%x!*6e9xsW8-8q2s%pDgM=wcXDBLxtLo76z={c=$e z@#x(Rid#?%M&NZY`0fPkCj`O!bEt-5btjUc9Pq<}-Ef^13<@XES;413_fm8=gTm0G z`G`ccW8C2h6DFXBx7BEP!_U;IQQ?40FY!<_yb<?r1h{k_cI-Uw+4;@0^RGwm`Un{| z29M6~o!2@~xpW@%?0f;~#QtC`Df8`J`a_0|!LfO-hb$WdLy0svU%F`iWW4DCKKsa} z^A}jPXXh~&&ENkI`*c2c>HO!@`3ZUwQu7bSQUlM%qY0jT3_j5FYCxAYLsdC;-tcHX z!hzT&@)DFgQH4N>Wx|9B9>?1Rz<C#R58OWRp*4-iey}hw7+x|w3D$;^ohN{DvjF7c zQjc!*i%X$bY`|{Cb^#sx(H&#K;&}OmBj~h)$BZ7`(?BzQ-BK=whr5GVTsUuaUjKgG zk$>tz&dZ9wn;$dsPdQ-tzw;*NMF;+=$6XXoxbSZ~;o5o1k>gj1rDO90M#tvQjINxA zT@>%PUh1q-;c)5nQQ;|3Z@pcr?8v|EkPGK|SH+uPK^Mgvia$DCR5)I9!WDw<o$PEo zz{<eT?V`fd*>;2#>}~MbPmRY`u!5G{xTtV|{0<I(&>n$kXh=cA!K1qkblOliM`vFF z8v{eP150OH321<|w@$;O@mK^KB;*`-q%bfrU<p6RaK|vmkl+AlfoP4IK?OjE1@pIb zGJp!i3=ju)#JC5`_lvC@B~d=TyFo_^!Oq_E=yu?MUZf7*2M%^M_=a;(j5B!jP6iz~ zi#X)Sqw_deiQzYpAALZ_#y$WGyq56jZU!BQ^^JkC)Xf883s}ap8)s1jav`WF3iuB` zwjAVoSR#vaj6eL%frYWuic}YaE1s90|Ns97>E~}L`2YVud1kQw|NkGHKS7BWRt4Fh zhB#;tiGjc6HWMhsK{swOcyxDz!lB!drSs7DOUi=ayy>{>KgdCc82MX4y(3q{+u(Jd z2O#y8Pxo$6(+p{2$f1M3SPwp8cI>thR|PesH4i$1&Djf@3cvi+@EiZ)^Pr>f8Nd_e z#g>lBIpQn`ff5am?q+a06X^C}X@1D~{Zi+N?-vv=tY9qR1P^%`o_x*Jd4ltVYscZv zQ{OMO9x7$+Jm=VX(xvmH3+GMHQq&tIlAH%!I&Xcy<idGN@sQ*9i!Pk!6t8{1=Gghk zrS)Qo6f}~Lvw(*lp$AyK1YO`rpvr{h?`{!;?ivG@%dalK?*!lT3YvD_$mnW#sr5ps z*0)29{8J9LegmCTbCBa;>$ehd2mYzYz8zw8<ezeY<DjF$2S<YguKe3B@NYZl(s}Ls zHE;+y?f`{!>oNY;y$lQtioaY9PkuYZ2o9S4pfp`lg6otuw9=<r$D%vLg5~mC@a+yB z-OZqIGuGe^VBx$94P7t~wD04>_X~<IK<7U(^1EK_yxRPg5flszmM=<KIxlu!=R6Mz zeegDj)*q#;j-4kvPjy~&;k@Y5dBTPBLWvmXK}XI{j*5rAUvlKU=&E?p@%u&B&J!-J z2l-nXK`SZ2R|R==PX_f3kWvYB`w%pRbh8+BhZwP3esTF#^8-fE9YKhDO}oL42i=t` zz#Ry>l1QY44SYkX0D3Hzs0e_vw&M=a6~(TGkjXbZc^H)DL3#M!A8;N9<p*eU!ZAMf z@C2XkHqddQj@=BMZ3^JS0zf663TWD{7gk+xutN$xEX5tDJpwB0_Sqt5yWZWP16F(> z4M^|-Yv7W`19HnUiU8<rH57p#pm70k<ras{K5%|_3<VXjX!UW0Jihu^LIGSKXDCoq zA5RFNv_8h|{uxU6+;5=_c7KO5MeaWkPO1Anj<>A<)mxzG?%fC4h~Uw9YyvaR1QO5< zzER$z8~sLk^xQ0B(d}Zv(s}*z`^%sOXZ(v#74fwmDB*&g4)<EO^I-Ew#@3$@Ay7H{ zTB`K`|I~w>ETC2KEG0Y~2l=<1>~v9K>Er<&N7UIC!2)gwuynS?fF^Bv_kmKIN8>RE z(7nB#4?y_{oG>F0O@II*+dkd2a4!d>;lk3{#sg`%K-+5{aJv`O-T+m93Z9+cJ-XXK z#YndY3#iIa7Wj6Ev4k5mUjpjVFuaa5JkWZQf9e6x?tak8mfe9Yph8*M(Ba!L#u83& z>1TNIHK*ap)=QvJJ=J*~e0LE?=Q;3!Z9F9s;7gP_I*)(9&Usw%`}a$n7Zrbk+Gmd4 z{x-hd?pSuIf|tfScAH2zc85qn7st!g$%F4Zw_!lsGy9zLU-Mxe$8H-5(DL}^XY8Pp zj!IMnIzvExvKSQ(&~7ye(0v^O`#{G^?E(?t?TU^&LCFzZ&H8kH&teP)$0(>_2Rfb1 zv9n!*9h?Yc96Q@(Ku4tX?gyP&?Adsn0bHzswfl7b^X>cq)2R^c2x{Jd8-xL{^ykst z2dc0=AmwE@gE3<#i;740G>Bjys1WV;Wa0J#Z}=)<<vh{J0!f4?Ku4kUodK7qbqOAg z$2Ndm0ZM&ftHG8c5@4_oijj`pR*s-{bT_A?;?HhJ7FWXqj-7`cIWL!pxN_cf?3UuZ z%+08H!%^|DqvAo(TI1W_uRC@-v2Y%5e$L<gh#x%A?9tr@Zt-v^{_J*O;k*E9IB;I~ z=$-}^jbh=JQv9uWL-D3#=Yh^sE}W-aIuE#T-Y5~}1T`vLIZrz(9{zsOk@JMB;th}$ z2Rf%!aDeW)Z>s?vUju0vCvbp@0I-juk;`qL?l#b+7U23tgA;TZ4XAzrZ%%+09|D{p zy;!>=6L6Q8J5=$Nml0~<@^Xb5MdhVN2BqaCZuj5Nz~}x7O|bh<Xj0_<h<w_)|A#g{ z_s`G)yZ?m_Megq?qn-OD^zpfWhd$W-90nA*e@89t+;3ro&;2)y!0y*DrpWyYeYA6b zgegAv|1br+-ve}0cnR6#CjnDw=l%){eD0U91iL@OlA`c$m_s}F&#=blehVA0`#WqX za{r4pv~&LsJACesum`(;g*`>?m)Jr(_up{D=l%*Ou=`IqQRIG)-L!N64;OsypWzC2 z{|i@&++T5scJ7z(z~}xQ9$@!#cv9s42bXB)ehY7W?!Vy;cE5%XMeY~4MLqX>G`<1# zPCPrmcy|8XX9vC|=sCFc>)E^qe8@ioe@i|G=mKlVUQWkjEh?b<iWnTbeN;+3yGvA% z?`pV=I0grN-l$7Ak6iPk2bveb*GBt5u9RNE0J>7zMGn*`FK`6iTn)WNIstlZwU0`Q zV|R}V=z1W~)EH=88n&Y$pqod!P4vN5fUlIc@aWtFzLdzL6LRvZOLvSuOJ@({5WCB- zJ3m6UxqwavhOlfvR)Mw(dUme?Zzx2v>cYVvte{JtkGrUV*SGj~K62?UVPyc@=Xm*@ zOJ|9SfeYx=MMjW)pz#&Z;kOmtA*?K&H7W+3J>V^YmtVoI@-=bo-lGDVkap?(dGIIb z<VP3ApN^epnjb!J)I9HMcn)^yvuF1%(6AM#p9nrr$f=t}-KFy<_(E6>M?=WP(tE&1 zVmo!`sDo~O)^OoG1X2ROVOPhsdll#g1DDPhkVDE{7+*Mc9t59Zf7J2dPgci+51D;B zulsg4fu;vQc7gZ#ICryfxOBb*+a&?9%egy;17wH9Ww_}wj)vb{IBz*NKVt+1g92zo zFGNM9gu{{Z8dv~i9yk$nyQnBMKVam%06r5Hba55~D3(BTx*#>+bw}S^R1z3L_XvQN zD*1GWs8oRO?*$zb%mBKM5ybH5b!Gt_Knz-b;n7>l;@KO;;@MlH!s6NM$l}snqGI9F z9iw97+WHNo3bg*hqjL-R&bJbA*VfymLNK>VI5t0Kd?^e%;0+eDpqXw^x&)mN47RKD zl}G2@ZW++Atp%4sr#~8i+zeVl0J;(Z<b-Y;_RFB76hX^<K<6=o#t98T$D@H5CLo`I zV-938D0sU?m_WBGGcYiKFC^FKwqd*c9(3kENVx_~ANb&6a4djt{RN%h4;rC_rX$cX zQ4+qLhd_x96pk+4E~X5QmtR0`d-qY1fM*~Xkp1ALc%VQ9?dO7A(EJmeOB4)mfIJHF zO}C7u;Rn!4s1g+gQ0jT@!VS3&#gX#{=p3yW6%~+Cu!B+rK?wtVQVQszZIIVN27$ub zsar-6W|9X;)oZv3K86<@Ie$Rr0Ubc|N#NrXOW0jF4}cC-1ep)cUap|4zCovcG(Ujc z^bB%0_^fJhNPcrsF<=Cz4bTQ#h~0ZsK%M~In*|CFkY7Ow2%L66j$-KcQPJpjQPJr< z=fe4|vqVLr^AKqID?~-6M4A(H=&l54Nj>LhN5#wEFLIu6R6Oj`8KVL^LtO@Z5TFEq z%Tmw^4cO)DpsTwf>7)gG=NIU%W*?OTa9(i$MHx6{z%F}s0FQ!qhNyt=+lJosIR#uk zb-Rc%z|sRKTEVwGgQk_CS2Vk*px*KfT73c<i3d3VRL(edvj}y=lLch;Pq&CLD3Bo> zh}BcTcMXF=7<$|H6mXg0*bTZ~8B&}?bc=|Cw7IB6K=g#DfUoxkpBLxc&B6$ZOpp@; z5K%3{2+<J$l6wWo`XQhbo*Y2s9|wq)0lLZsw6PC-zGnbvlqdqUn;7JgeW1zDT_6H> zf+Xm)YuJ%s^89VepfCqjOrSynd;_xr=<Z_>4|JOyXmyll?;h~IL7-Iu3NMd>G#rQ4 z2r#9d-BZAqNq0N3fX)(W<$_$PYymR@a-}k)Uc-J}v5$&HcZrG(=omXtHck0{5j4)G zc%BnljU<3V7Myk+z8#02qi*n85O({q38;7h4T>HIxg3-j4Z2-aOj=Kt2qSK229=F3 z&w_?-VF#pl7qN6(aeg<v)cpT{X=v*=kT}Sc64&D{D&Q4n;Bmp%R!GappbKviUf%-t zIw%4Zz*9WnO8w=&fB*k?hk#D1bx{EqGvLw25)}>bcq6+<Z-|P-Yr)n_px_8mk%8SL za-0cde|L<EM0bdaOy@n$bDbqB3ed4gl@c-Vg&7K<9HjW;`(@5silAeWKsSCsPpd8| z0<FLSMJV{R#ZDg;5BRmsKFBfY0bh#YssWl}74YbGZ~!sbk2`?w&0~PIKR~l58Q=f^ z_v~x|H&Z+skARFv%)TE6oly_Ec?fjxE~rrO1YIixy1x0w2I%$8;2;6j6`&jqlFk4P z@OGA{RP1lqh^po&D5AkN70e@u>zhFbW7|NRLoO)IA*2N~;1Ue=nxGm`(S*2QSp$-# zbU^J9NYe+hpBSk<f@B^z^3ctbKwdoqzWKpLMFwOZq<zzZ-o8OH4_sHEo2P(m9w?o5 zx~Qml^v0+dfHr0*fbz5i=m;x~eW2l9_<g(g!2#>ryGI4IrU8^eEugmyfv-Y^Tx17U z1B!YBaG=+y6u_<=>bwV*gWh}yy^#uJmk0Q|q3_^ph8}{|fMNxF+7u|Op8!uM)TlTh zX5Jx+pldY{k?PZ3qEZ37>Bs|eKR38^Mno>^9n6Gc7hJfahh7A7=tV$cHwF|Ngku+6 z_M)2?fNWj>#JmuYd4yt@{A<YOfG^?#t-u2<dj`cp04TmAu*Ekho^jr<T%%Ip1G;?- zC2Bz%QbChHu7)Q;jVe$k1>d&~UX0+=35((u70{)tpvn&s%X`3Lgd@818#D<V1udoq zHK`%yw17)T{%!C}l|fRSEh?bprT8Pe1$^i&$Q0E0f!rR3h$09d6iY4OLvcY7MJVDS zN10$V8?>AO*=!IWVm2u9L1u$!Q1S(@iJ<D8VslhhfNIy?9&qsiiZqbzpt>9sw%C)x z7VvgSSg!Bh0`7moP7#G>bnFRY0VDkKWKe<tD|+YA4Qebfcyt~@P8I>6N{In<!2T2! zNao+80um#bEI_yaRDc}M0M7g^D&V;|uu1TQ0lP98B-PoX0$OHDC}DsWePW9|kT`PW zf%uTfy8=Gi1=iKYUEV`>!DBNUw7mq`Y!Dw}Ht5(d;t~dEaSb-JLE^|}gZL1$kARvh zy>nD%KobT?29z*Bwu2G|$cMNR1}H#b38V8nI2ND@!lS!Jr2uvpI<)u)nF_iO-PQ1< zFK98|f9xd$XwDnt1w;uk2YkCZA}PSHj)T?)pf;)lsEz6aIwcS>e`$CCWF;sWfs@`% zkas}k57?og@C0?=K^YBPVqnc^;CWBb3KX>K20`M;;R)hH!gCKa9e}#)AhSUoMr`#y z<YW(!N6`x(&=G^kW`p<;vq1-dc=XNz-~A0L$w4w8FM@2}2RbQq7l<%C01F^covgqD zn*0M#9Qt(r1A84L44S%!9-WOAP4HT}MFn)JA+)0Io&&x*!=v*aa<&EEiUQ7TQ&d0; zVL(UTg2WJU1uH0!odlY72d_zadHXB$T0rnzFo*!(4+y&L52gXSs0F&b3fiVbzcg^C zJktGupnJaIbEJs*L662ape5Yk`vLoM!1n{TC4lb-JP8}WIqBGme3YuA=1J#n8wqF7 z)VfRO$;<CShco|_t21>3&##-nj{QCfx<<mW*O|w$TZZBCW6sN+H<}+XBi||2`SrCp z=yYr5&Wn&+s6e-MbpGqS-1!%*7Iyy==jl>W*fmqHOSq1^K#H^1`Hsy$<v{0ZJ99X8 z!_U<|dHDh7afrQ$bG6}CfREMwckvx)V1gNTG?XEDomq(r2l%!Qo)R{2&zS?Xt`j`2 z)BFL{IpXl_jb=ISqQV18o{rtqOhDJ;U4(=Z<HgSN2miCW^s4*?$u`%haDeW|11+xS zZ@C95Okk_7Tsj|suYNoDoK^Ez=f%sQu?7Lq1#*tvIjjuegY`w4f63HoIrh4!pkLnx zI_O%!vH1v(bGM5s=#D&4w^amm%r1X(ii!w7sJRGkh&y+iFoPloWV(h^w+J&Nk~%|F zbU=6GVFVb+U=8T0uM(FZaDuuw;QIwZQRbqe(VU{9!_42p3>s1bbwj}2Jy2KJ;-x4^ z40Ndj=;}HLu=jFU89;YLoa(%I`7KCM1;~G(eh8?-bL|cRU6A*x`In4K=jG0Kbt+Jw zmLRUkd&c<=B*^2~9mC3S`LPS<WuMOD&5!vzZ+yR?_yOGAGyJxK-*F!(utB%teQUi9 zy86zg^JDWzM%T^{j^8ghZfA69Jy@FS+WO6rf9i2in8IvwLD=+w^C;9N7gh$)rFe&2 zIIlK8<!}DW&p+jW<F`Zn{8JAq9CYM3-g(-E<8z6e;kV|${0{t6j`43h%)jlVE5~<N z&JW+Oah_8==Aw8E)bMuj?EC>5#VK&)-*)`_wbl>(EuNri1eO9oo1H405O?AUfJV?j zAp^S;Po~pFMFf-pd_V~R<3c=W0tius-H0cGe31};X9#3p0qnd?{!Ski5zvZd$c=bB zp51LPm>C#g*VOU1B!SlXbngR|RG{uL2m1DmQ=Fg{$UcxJP!pKrbuvn~9NcLHb;H32 z90`CU2U4J-T2x}|iLk^1-I7#POF;c8P~!(|&`T}QNiDrDDi$vlI2jn6yLG^6`>k`g z4HM|z%%5_d|2kj83IZ8K0rZ&jHKZUg0o^<B4>SZ&%H8~!5psM{skvkGPx%@JNV$bl zejJ5p1D7AiIYD;>@wd7%GcYuNXLRiR56WfW{UXqq)B)Y{2D)g60}+#uTi#?kOH_D3 zF^QR#K{=NLIV*#*AP?x!dQev8;fLp7=WY=uNbZIC5XGs-ka8{f3IXQkXN;XCDjKlD z4V34h=jDK73Dn8aK&}_TVccA!VgorW*rNFdGk=>tXyp(}P8V?O?gJHhp54<x#aibH z$e26hiB9N(Q&6x#d)e^w18Y<ipu6+Ho1<lL-G_#DvQu-4iUKGYRQMgc!L^cS_dZaq zgk&ks9a?gAvb}_k2ZV-E0#X>IAcau|D2x)Yg;5S9j55Gs1X?A4ycG*_NVbQukBWfd zfo}&H!Q*{C#x5!%;8Pl1T7U4jfNrQHCLp21A4mZy0S`!-=ASqNQUdAhnGhA3<`fkP zP(aGiHXs9#0x|?CAR|Bl8Sqj9JaFNn!tpYJ6|@+u4b;%^?d}6dDg1gN)Mf^j6WirF zuXWz7lXvU|oms8}KePNP=UvC%V$kg(hM?O;9KIc6D&=l|$^<!4xzwilhdjY^+ri~F z=PmH5EBvjXqOSQ9qhseaU(l3HcZiBZcNZw_`*cqNr+q}L7=DgBbZeGlw}}>b_6%IH zb0AJ~=K)2UdT%I)W4DbE;%W@Yf$JQoN4cXE12Ukq+h4=ZZI^@j-vsXeXPlp*WdP{B zc1Rg;@ik;_Lj&w1@OnH@IRM{zSfgSA8sQ>b4m<=cj7BX7K&75TcLyjuJh~@<!-GC$ z0n}np;_>L-0Zu#!izzD)AV=?%s3f3-R|-;iWq`tqaG7ui)EYtwFVKx`c*=wi;H1aj zQUaa|BV57`m4X2lq5&u&8iEv}5ugwaz*fq}KuXyNa4Gv6)Otn<Q3JFlOo<^<%fbM? zWx)-;J_+7@F?hM~`~Ux-&auJEOW;l#T61U{SP<GA0*}<8n+V!A;@J%~5wcy?_dCj7 zS?K0ZP<<-^ZZjcTMK8Ca9%|yE0-kyVDFt6Bj69jK?kAe5;F%bZQt%ZT5=f@L1nt{| z+zJ4mf$;2}25QhDu6ybObD_6Afx3K1GYKf|Iq<eskR2E<XGT9!1GmdL{-ShYQTm&p zzCXCXInM>OHLiP~1uJM>@92H>;F~~3@1w_gA3bP$LMPt)=owf?@1q~Rk3M6N-$#D{ zv_S~tK6>x~KkobJL7GUuj~=86*3k#wM-R57Hw5Q>^dJqO3Jc$T^dK{k>J<=o^genT z+(!?p9YKR;pmA)_TtBFq1YJQ7Dh5HLY7rm~sKf(tM(?8sU)Tq_8XYv0hSbc&ytfZD zumlR!(fjB-4_<!E`MWblW%NFJ(0ZTI`{)I_eN;q1_jV1A`{+T{5v=~=Z-L!M52|rM z#~OiFHKF&-VE563bb`(&0;w6jkACz%dIs3#@1yt8gV(E$-bX)rAH7Nl^vX3*Aqi>} zftHYgR=9v>RY&im|Hpdp88c`e`$V^l5oq$I^Wf#zKD}-%m)|!3kcC_#Z^N|{H07mv z@bYuc-@SD_-8NR2pL70&r>)^}A3dltMO4GE`{-fSFlcQQYBdbGj~=88R0V_OFCoS% zq2rT~C2fd~WDj_WTPJjc5;RDNG(tIgAN}Zk^rQFDGaxR;u2I44*MgS0f%~<i_t9hP z)Q;Xq@1in#AN}Zk^xdQP(K9eGKsWQiFNGexkKP5eGj;Sn`qBI7NAIIY-1P{$oE>F- zEqGuQwDAD8zLp)lz8129W%NG!(fjE4fkskX4gZ6#M*ZgkIy3hNf6M57^auD|K(}{- zZXAIx5Z$8!I>W%Hcl17b&4b-!<{Z#2E%@r&Dd7E`NZ|`QJOUKHAXUiw)!@w@$Z0JM zr2^e8;FBgs@1t)4A8F$_dLR7{IfBck;VY&`@1yU9UzDx^Ui6Nd+aL#KkKRYGg4xNy zc5gQ3m5$Id4SrwQ=za9A7eLcBpv5kr=^D^QP9QdD@fPwec%%2xyQoBTbAYxEWO#H7 zAZ;Kbe%I3Iee{qmVz3JxL2U)llp(mSFnS+7Xnz8v^oN&DklPeNo6teWiXc}7u#o%% z%Cz8C7wDWXa7d2cM-RTg9&}ea==`hE`{+UCJLohqP*NENmmWfP1VIi?LE03Aqt7yW zA3XyD(q7If;9dzRZ-DmhbhfB~C=j=Yfq|iuHhmUYgn>5uqeK`BXgfQk$OE-IKnI?H z$~urb<g(7Q^W^A#^qk*2ZB$UVce3zr<5B74QQ_a_qXIf3mIbtP8oZavx!VM^+Y@wZ zg9mKKr)+14icg(7WY?<=eAg#r<ERJH&QH*p4L;qFO~^j5HJl#UZ+7<SbWsTajaG)J zAaBVVy^o%OLGuKBRkQ<A1`NT{b-^CCn4K5sYIJz>VDvtE;yN#*_tB5k&I|UOHF_WY zLGYqPP<;X33XIe$tWhxltx*IYAY=l%1Y5P&jiXzJ331yIWT%G#N||QDzs*I(pwmUg z0K_oqbWt$@onZo6MMUKRQ23q5pdQh|S4>D_)?YxUvP1SR!;45r4+?ag7f3yFs{*wL z1-cg*+Jh<^y^kIg@7mbneU1vKONA8mQwFZD1y2c}qoh$&0%&#!T3Lb`mY~@oP!a$s zL{0*u_tDcTbAslHP@@ENU?@_QfF_hcQ36tkBT7K$2SP3^2Ptm;!H%9WK__^Q-batE z2S@9&7t}*Tjb2b!4k>y;T{%$nf)wJ2UeH0Ikmv;|21PH9`{+UIzhSG!A@|Y4R*ZvA z&qQ4@&ffyNj~=88v}zorhI;qWgG`0H1$-Yp#4T9Q_ki6;57GoZVG(=>y$7U@0<%G< zG9obId<*pZx(j0Q-IpQ|2fi;QA&#Q^QVO{FDZH;6xBDj~;B&u0BG~;6i4?hife`K7 zzabf)`vX$I?q85Xk^3)5($4)C((t*zARX-f1L+jGpFxp!?*EX9&;1j!!0vyLMUndr zG-&63fn0p<-;fJ-KSLfx?oZICo%;<6@VWm&0oeTtg%r7ef*I}HA5e_X{U3_K?sq7m z$o&UwY3KfeGJNhAC<nVgp`0T3e{i9l`zKW5bH70q*!>Mv6uDo)hj#AYP=n9?0kvTF zFQ}!+{Q<$WbN_{UeC{u30K5M{14Zs{h@zeQKQ!TU|Ac0+`yVt@<o*qbv~#~e8$S1M zXal>Sp`9Z4Kggh+`wcqrx&J~Z*!>D!6uDoZfOhT==)vdy4?SS_JM>cIeur|}xxb(v zpZf(SfZd-kfg<-8)X~oU6DHwvzrkd%`x_=x<o*S%v~&N4srcL<Fb(Yf1=A>U|Aijf zx&OipeC{up33mU1nH0I7VG8Zs|6w*h_fMDucK?Gp6uIAEHtpOmFdv`$H_QjSpJ4$- z?oU`mJNFwb!sq@Ai@@$zSWJ=oC#<BM`vaEZbN`2>VD~#LqsaXSHqg%f1uO8mUtlHJ z{Rt~6a{q_zv~&N2)%e_Rum<e@hBXwqUtvG(+`nNRKKBQ#2fKg4dWzg1aEx~DzpxRX z`wKRK-G5*cMec7nOFQ>}*n-df6Sjig|6nUc?%!~QcJ3G0fzSOLc7WZ_u#+P9Ke$ah z_Z#fS=l%=3!R}YsLy`Lh9@EbK0sHW||HD48`yKXE<bH=&v~z#KL458PI0SZo!Xb*> zU+|H3?w@c3pZg7tg5BS6lp^;p_(41OZ#a(6{Q)Py?q6_%BKKeTPdoQtIEBys1*gI8 zKX95N_cO2y&}{zb!&!XppKuQB{s-qMa=!r|?c6VL5uf`vTm-wH;SxpePY|V@`wgz( zbN_`aVD~FrrO5pgWN7F9fb00&|KU2={SG%Ma{mEk+PS~r7C!e2+y=Wp;WkC?|DZ)X z_fNQs&;17X!0vCjN0Iv#jA-Zn4G-|SKj0zQ{R<va<o*Cl+PVM2V|?x}cmj6+fhQEX zzrlfa?*H%%pZg~~2fP2lbBf%*!JT&Q7kGuw{Tp6^-OuovBKJS=qn-N=-r{rrg|}e$ zE4-t~{Q_aMbAP}GeD4470qlN<j}*DzA(nRTFZhhl{Q_UW?oaqak^2i$Xy^V3-|)HL z;5*p;4c{qp|AK7Vxqrh?eC`kU1$O^}Ulh6jLJ{rUf8h^4_ZR#HyZ^vnirmjoMLYL@ z_>a&16ByVT9Gmw(U|?rpD3Jyq5$mG)lkuiU=X;;dZ!Vp`z>B>-JCC_&{{Da1r}McB z=!)!5oj1Ya%|94R4Llo<8Z^?*{Q}JF3?7}|JFj(~a_Kzi+4%xyb4i(R@6rv-VD~ey zP~`rE4%)fjfDNDfFR+2#ufR@``zQ3%&iw(L_}u@26YPEmE{fcLU>fb*U%-RU{Q|sT z_b2dD<o*wHY3Ke4{P^5&AOLoMg8)VDS6E6r_iqrw=l%d;u=^JXQ{?`DHMDd81yOwN zFAxK}|9}`p?r+#kJNJK(z~}x6l3@2gkfg}{8+Oso{Q@%h+`mBv?0yDWiroL;Ann|5 zAdk=e7v#b2S5TnH{Q@Ux=l%dCeD43C1a`lJGDYrpxIjDi7pUTMzknLp{RwImxxe5# z?c6^>1E2d1G{Np~(4@%y3+~a*{TsCLxj#S$?EVEh6uJMxQ`))zf*wBi7wCiCe*kn_ zJNfNrhPSkH{|7^S?w?=;cK-t-io)OE3+>!5V2aQE8%)9OXE3A4{RzKm=Y9hVeD1$s z0d~KFB}ML^z$8es`J(`9eD42X4R*hS4Mpxhz)3sz7uex*zkog1{R#FIx&MOz?c6`X z5uf`FoWSmHaH7cl3KFz){{|O)?hkMUyMKWzMeYxfr=9yRxZ`twfd|<A2RtZpe}fwB z-2cG~pZh0xgWdnYn<Dpb(50RG1^n>2e}f;`{S5vTx&MI)?c8q=h|m2O0>SQA2%^aS z0yeaBe?SO6_kRchyWb&{BKJEu)6V?`;rQGy5CL|7LIg$bFYuzB`zJ)<bH70}*!>OB z6uEyvAnn}0Ar_ze1LDB$Ul2!;`!7V$&ixk>@VUPr5$yg0i4?h?A%S-8|B#H&{S#8a z?thR%k^2qOY3F`{bbRjLkPdb~Lk30ePspR4`wg=2x&J~I*!>FG6uEywDec@Jkc-d# zA9BI&cgUm2{Re7j=l+5MeC`)01iL?>kRtbgXr`U}Clupzzd;Gu{S74)xnH51cJAL$ zhR^*0<zV+OD5uE%0h4Iw{tK1(++R=ycK?AYirn8YlXmX^P=n9?6KcWke^5)2`!_70 zo%;nE@VS3O1K9lxjTE{6!E)NU-=G<v`!6(u-LKF>k^2SK)6V??ZTQ^(p$+VQhjxnG z@34(_?l0)X=YD}Mu=^9bC~|+nUfQ{TLJvOo8}x$R-_T2u`xhLco%=WR<8yz&1hD%T zOrXg97f#d8{TC+TbAQ2Pu=@{8rpWyamuctz4^#2Ef5J4d`yWiB$o&SlXy<-`nfTnl zVJ6u946`V5f5JoBx!+(8KKEak19rc{T#DR3;RWs7A21)E`#;PFyWe2}MeaZFo_6jp zScK300*k@!PgqQm`#*f6o%<&&#piy5WnlL=EThQ%3jb*5{tYYexj$ef*!>GuQsn*s zRw0_re_dFO&;12!!0tb=h9dVj@X*fvAJ*Y>|Ah5m_di%qk^46Y)6V?@oA9}R!zQr% z88%bo{s&UDbHBk>eD1%n73_Y6Z4|j*K#6wl57>dv{U3IK-S4oIBKJFJ($4(_yYabS zU=P^+3417Ve}Mt*+&^I-KKC2!2fM#vKSl0eU`{*tZ#an0{Q-x-?q6_-BKKdgqn-ON z9Kq-Qf}>#fA2>>p`x)G5=l&1J@wtD(39$PgoS?}42EMd&zrbmH?%!}4?0$wb6uCbk zgm&&XIET;u7tVp*uW+6s_fLqXo%;hW;&cCpi(vOVT%ySR2a;&#{(>v`+%Iqy?EZwS z6uJLHChgom;W|F|8{7c9zu^W&?pG+Jo%=W3!sq^g+hF%ExJ{A!11f0e{tI{Uxxe5Z z*!>6YQRM!HdfK`F!vlQopYRau{s#{!a{q=l+PPog2|o94cmj4m!&8df|Dcz4?l*Xj z&;1vkgWa$2f+F_|Or@Rs176{C|A$v#_dC3%$o&p;Xy^WdxA@#I@DA+$gm)CVzhE)# z+&|$1KKC1Z1iQcCBSr3Cu!?r>-|!iq`vbm!-M`=qMee__k#_FC@C~2)3%-Nhf8aYs z?q}FZJNJM1iO>BLeu3Tp;1@;iH#k5$_Y3^R=l%_U!R}}HN0Ivzj?>Ql1`Hf{_Ag&x z-~jEvR$%0yWdHSqbF_1R05d-Ke_#f?-+_f9_aC@QJNFl`;d8$LJJ|gR>=e2G!yVeW ze*z~y_Zx75-QU1Pk^2>%(9ZoEc<{MDfEVok1-ulwKj1a(+<$=|pZg00!0taFK#}_! zKGDwoAB6C^e}XXB{SSmGa{q>(v~$0J7(VxJ5CglPL7XD@KVT51+5C}#BtG|FkOaG5 zL5d>x3vkfR{Q)xg-2Xua?0yGXirnwOPdoP)$m4UrfCAY42?`Xszd($3?w_E9&;16< zVD~pDQ{?^yvb1ym2336S4^RWUe}NiB?!TZyJNIAEz~}w~O|bh9Xj0^U1|8bD|ARI@ z_fOCPyZ?a>Mea8+rk(o*^zpfWgFe{(3<earKf#K2?l&;P=l%;uVD~E+Q{?^$j<j=s zfGIxre=r5R-@%L`_aE?}o%;(c@VQ^W672p2ON!k8!Jl^SpJ0v8{RTE*_cz#3<bH*4 z+PQy&9X|I5*n{1_z@8%a2gK3N{TCeZxxc^(?EV8z6uG}4m3Hp`;DXQn6I{XWf8a`y z`#0p!&iw)&_}st21MGeVPm0|CpqO^<H}J;i{tMn<_bd2N<bHu_+POc#51;!#_<`N; z;7^hJ9hzw8{(?Y!?iUCGyFVd_BKH?`($4)8Lh!lYAQbHWhER&!zhDCG+`l0lpZfzM z!0ulVL6Q3}OsAduFGS&Ue?c_Z{Rg5cazDd-+PVKjEI#*7hy%O-K^#TyH&{kH_X{NA zbN_}!u=^R3C~|+oTH3kaAO)ZMFQkCouaHWS`zLIno%;jQ@wxv)I@tXV85Ftyz;4>P zzaR^r`vtPW?oY_3$o(G<(a!x7a`Cy}AP?;RhCGVguW*WX?%z;=&;0>~VD~R5q{#gN z7is7I3&r@{Ur+*e|A7*U+~076cJBXBhR^*I%E9h`P)?EiH{7S4`vt1-xqm|y*!>LE z6uJMwGupY|pcbF|FVuqFuTV#k`vu<7&iw%m_}u@Y0qlN<MvC0;@RfG%FKEW+et{OS z`x9Cya(}@e+PQy18$S0Nw1eH>&`y#27ch&^Z2oIQCqDNFbb;N!po=2+U*Mvh`!Dq1 zbALfE*!>53DRMu95bfOmp&y_7Crkjl|G@-`+;1RBJNFAr#^?SGlfmw1m_m{J6BKCY zeuHWF+<##j*!>FADRTb=b=tW<U?x8If0zk&zr!qw+<!oicJ42jgU|f}bHVOUm`joS zKbX?a{S)TnbHBj?u=^VpP~?6ETiUsQ!y<g{4_FL#|ANI7xj(>#cJ9Bh6rcMGmVw=W zU>Qa3Z}6s_`#-F}=l%&R!R~*sk|OtS2%??)1=iqm|AsYS_cN@e$o&r@Y3F`}_4wR> zVLjOW3L7YLzd$1G+#j$BpZh;-0=wT~Gez!q$e^A33%25OzrZ%I`xCZN<o<$u+PQzi z4t(x6*a>!j!%m9azo3kE?%%K*pZf#$fZe}f4@K_3P)j@aU)YDw{RR8M?mw`fBKI@2 z($4)K4&rnFghOEWKR85@`we<%=YD~s_}stYDA@fB$0%}t!erXH-{1s3_g^>xcE7?& zirhb87VX?0a2lWcKb!`;-{A~J?mw`QcJ41YhtK^2=fUnzI8TxLKdhjg`zKt)=YE4r zVD~p%qR9OU8))bL4Oj5FKj13Z{R^&A<o<x|v~&N3>-gMXa0BfA12-sgf5Sf7x&Om0 zeD0rc8|?lEw<&V}hNHA|zra0w?%!|^?0$y(6uJMw8QQtu;2}QuUw8<1zrrJm+%Is2 zcJ2>&g3tXQo`Bu&@RTC=JKUz7`wO1qbHBg~u=^8UP~`rCN3?VQgje|7Z}1xI{)X2S zxqrb++PQzjTYT;hcn5a>f_D_T|H22_x&OikeC{v!2zLL0j}*C|;Ro&9|KT$}_fPl& zcK?Gf6uIBvKkeKv@ExD~H+%=XpWz2Z?oVJ7rP=(K!7qI7zwis}eudu@xqkvL?c5*m z7oYn-`~|z;;U7irKOjOo_ZKj5;@N*Kz{m;Of1SX{Ny+}}57M-A{{&`y?l)ioyT5^j zBKIpO)6V@H*zmbOfF11q1?&{LKR}Ci?!Ul^&;12lVD}&3qR9OXhO~442OfOxpTG-t z{{vo%+`qwscJ3Dtz~}xA0$}$u2vX$!2lljczkx75_g@eOyI(<sBKHfp)6V??V))$u zK@9AE2XTtr@8Cx}_ZLXwbH9KT*!>Ao6uG}3ly>f)AcN2S2C`uHH^@@t{sl3#bN>c; zeC`iW0K0#I0!8k>kW4%GUr@s5{sLvN`wu8n<bH;1+PVLODn9p5Py@UFff_~bHz=Z= z`vo-dxqpKu*!>Jz6uCd4l6LMl(81^a3p!x;E9g?>{s|4VbANz7KKFmn2fN?FfFk!F zXs4a~3yko&U%(jb{sd!+-2b7EcJ7~GiqHK9W?=U>m{H_@g=w^N{{{<u?hmj8yMKWt zMeYxnOFQ>pu*T>90voXV57<!T{)Q#AbN>fBeD0rM4|e|pdy3q@VKwdCFW`jF{TrOX z?q_hO$o&sC(a!w_uK3)4!4>R&1viS^FR+Vt?ho+5=l%~KVD~$CQsjPzgS2yhfj2() z3;2NDpWs80`wLFc&ixbo@VVc>AME}He~R3{;5_ZzzabEx`vZc&?q3i@k^3)Pqn-ON zgy3_3K`7Y$2SO=wKf^uRx&K2rKKD<E0K5M|1V!#QcuG6>3q<2{|AuI=`x#;=a(}`b z+PU8#4xjrk#DU$f5Koc&Cw!)z`vVg3x&K2V*!>Pk6uJMvFWR}kAO)ZM1yaH8Pe`T6 z{T~>`Xf}T|AswIl4Kl#)Z^)p?{R*74bN_}ceC`j(2D^VjHbw3a5TKp=FXZBLe?cDD z{Ri?Wa({z3?cD#N0H6CO6oTFVppYW>Z;+#%`vpqyxqm|m*!>Kp6uJL_D(&2FP>#?2 z7s|ozSE!)K{Q|nQbALb;KKFm90=wU#nj-f*n9$Ds1-1CxFHi?|e?lEa?k}*Wo%<&= z;B&u0BiQ{7jTE_mffMcAzo8kQ`vY3Q?qASCk^3)r($4)C+VHu*pdIY~1ML*KpCOQT z?pN54&;1AXgWWH1fFk#wm?ciL_K(9MeC~g62<(1?!xXvy$3oh<KjA1o_cI&=yFcI< zMef&FNjvv9oWSRPg_B_S7o4QX{Sg~z=l%t!@wwmO4A}h>&QRq3j_tH_|ABM(+@EkB z?EVesDRTdgeYA7`gNyjw-*5@+{tK5Va{r5?v~xehRebJWa24$S4_7I2zr<PExnJQ1 zKKCEE0d~K@O^V#_afNp7cestu{SR(~-EVM*BKKF^rk(o}?%{Jk!+o&(1MXAg{uPgC z=l+I=_}s7X2<-lXM-;jL#!K3{f58)c?ss?!cK?K@6uF<{BkkOO;5k0`C%gc=f5Quk z+;8!NcJ6=h3ZMHMUW46#;Wb6>&-hO}_cOf1=l%un!0!L>jw1KZV3VL(`&r>5KKCE^ z2zI}~CyLyEf|qvgcld(O{SUr?-EZ)fBKQ9gp`H5^zT<O0!w<0g1Ab8CehnGgxxe8T zKKCp92D`uDH%0D`P^O*x7yQNNeusZx_fPmok^4KeXy^U|3|x5jFC;K>f%Y$KVC14? z|H2MK+PVJ$Gd}k>uz=lvfrTRXzp$X4`x)5rxqksW*!>^aDRRGr1MS?ez=hBK2e`oQ z7vQGI{T}YLbH4*GKKDQ11-svXk0SS1_|eY&2?F@s&mahPe}EuG?q3l~JNGvT<8!}) z2-y7vA{4p*MhxxTzd#J1`yIr=?w=q|k^4DPXy^U|lK9-8AO&{+1}Tc%Z;?$q_dk%q z=l%v+u=_8_Qsn-OBHFp1K>?rp7bt+;|3QHw_s^)Lo%<D(@wxwiGT8kBDipc@L<8;I z@1Tay{SVZ@?l(}U$o)UsY3Kd~O?>WW&;q+ZK#L;xYxL93{S7+!+^?Vuc7K5`MedK7 zMydOQJvu)(?7z;+z`#%<=&^qpBLf2u0|SHMw-*cy3=G}P4!R5s%@6+hbT<cRGB7xH zb2L9><UH8f4HEBec7ez`c6+cu#X%w-y}LDJ7#KWyH*4rJFc@AkJP9_;qj$H2E(3!{ z_hyh$yBHZ57<PgPnB)g(upS0o1_r2g$XY+>Aha@}Yuy3SdP4`R))PotnbEa2K(uba zu5|&DRu*)v9uTb^*tJ$5X$1u@ieCjFS`)Bq4M5V$hHk5cJUEyvuxr&o(#npml>wqv z0E^b{W(FP9nD$_VMkz?5yO{+d?bz+X3Ka*5c=YZ*AqRHP3vJY>zM+kXFHUraOn~S) zpp7-YHXv!`Lf0Ar(K-XW)(#}C+~`^rAX*EsYfV7X3d#Z~@%2I$>`4#oS}l;Y@}k?i z0ism_yH)`tt$gTOD<E2bXkiVe7g~rA<_BpNU|?W?Wu_l2U<Y47*18j<3Z~!yl5TmB zZse@!0I_%lvTkTD?rxr;g_clYWdcZ|yLk>o+OgY%2bxeoA|AcFIUt5MXrU&Q0wjkh zqC4aQq#TLB;t-GC-5y9<mC&`$fM_+qu2lg^t1^mKXxZb@yE_450teK=(Jw(o;sjI> zj9PvkV?`-HeY%?^z{Ms*^8?1tW(Pe`5$eDK=D6sAVraL4B8b|op@$SI$l91Xn<c>7 zJXkKifUqyVY<|et*)0Px;D-X(00vwJuyi(m&;{A+!E*2g6NGc{B@@_)FQD?cclQC1 z5sim$Kuc?n&U<jbI)(*%G{5oi==|*2`Ojm&1p@;EsPu)_4<6ml2HoBkT`U|OE-DTl zosWDvzk?H4NAnLx1_qzrVhxYR!w(oiego$x#~mHQ3=BS<-#t3-ffeoo@ppm<h@eOF z5szrcICxMyhJ#$t`PpN?C92iOninuJFfjS_7AN@h?(SfMTj6SWz^C)OPv<|d`4DBy zhL@n$TX=!3N0nxRORu;Gla6+bbBu>O10Lxh*C2(54XUF&x|>gcs?F{T3=9m|LdAm_ z6e^n!FfcH9G#)l!hP&Ev$AUISlz;*8cY+A)0RwdrD1014f&*X?WRL0~&+fJ<XCxUs zyZh#xk!0}b?Eb;bz~Ir@`~mEa-7^?K&RKi|;to*x0S>+YKAq3Nq37A%wgjSm4Tg5Z zOFKat9Cu{Mu`&2`BJ0@#(X$7)o)y}hXnKx7^qj%1M?=a1P0tmGo;$epWT-o%>3IUt z^9Hw`6((+IdcHvP{K2i~g`+2$9+tD<VCOlD9_;XN)A08}(<1`WBZFH{MvOn29u<fl z9o%|WWCo$>F@fl@!L8>-StwMGNAnSmXvY|MZUB{mFr4+n0nF?;45k;r_zWLF$&i7; zp&u;HKrtU|3!DJeRB#TI0Tau33aa)Q7$lxS=!)kMTH^(Tz5%5>UPAa5uORdbC_Upf zgzxbNLjQo$E8ar*5$_;0$9o9914?Infbc6mLFfrzAhg3*2>k&{FZc%G2YiRn3_l?B z1}L5I6T%nx1)&c>>5f=X>wtlwp&3HYSO}p#7C~r-6A;?K7Sv*5U`TL<&;srd+Q17! zPY8w3A7UZ&gLnuXkOZM;6hLT(A_)DV1VX>4fY8w3hEuR`hY5gMx*)cHfUm!ctAb}< zL1~GCYfzAXkb;#$NJgpxL?YVQ${<!jHA$f)U%@3fz{JAUP$4s~xFj(zIaNV5S0S@l zAv3QmF()%cA+bb3RnIt0A+K0Z0aE#Z%>NGq><kS5*%=swK!OYm43bb9<_=JMA0z~^ z7V4hx#G<^+ymV9-84~TH@{H6xh0<b>35g{oMVU#ZC8@;<p~0^4zM(!L3bqO$$}_+x z62<KSIjM=osS3rZB?zS@`3k9-B^jwj3V!~6t_t}@3NDd;j=rAGdLTE0IvgNc5JWIA zFo4?gpzwxammp__a=2HV9fN`*ogIUnJ^d7t6Y~`E^KvQ`l2R2)i&Ik+GV>HlGEx<i zON)w9^GdJ>c^X(rK~ZX1W`1cgLQSzkL26N2eo-#i!wMzk`3jlfXmxaP0XaE1)F~v$ z(K$pRzaX_Ju_QA;PX{E7)xKhd<ovv}%=FSCgrj^NofT3mN>cMu6!Hsl^HWk4%+-?& z4Gato3=EJ%kO7N-J^g}ReL$%N6s#rr3I>J-3gww48PH?~4(*bBh1}AdlFWjf%w(|h z6f`O-Dhvz_3^nx>LNY)}tym#3Pa!iWCpA4WM*-}F#Jm)R+{8+S;*9)qg_P8?Oo+~; z)ROYl)I5da%-qr(h)_{#acNEo$b=MyjKrdp^2DN4g{0Js#Inr%B0WSfBBgGmBu$2= zf?VBU{xX1iAUUzLIJH=zG%q_ZzdTQ&EHS4vwOAoBtt7Qbp(qs;Hu=fPrA5Vh3Z5n4 z&?-$&Pc1G<O#$V<(qdSy1et8BV5k62;K_-_$%!eTIL}EeN>5ctOi4)vnSd?3B72B| zVHGn2LjyAd1E_fbO1iMTDFNazFfd3#X&ET30i~gp1w#Oo9|WZ%pmY?Jj)Bq%P&x@p zr$FffC|v}lOQCc*l&*l%RZzMHN;g312~c_}l%5WyL9Hbab0&yjU|^UHrB^`dl~8&$ zlwJp=L9IOy6VxaK(N{qP0|Uc#D18G;--Ob)q4WbN{SZn&hSE=<^am*Y6-s}H(jTGp zXDIyxO8<n?zo9e(s2~Bg7ooHOloo>05>Q$SO3OlNc_^&`rFEgSK9qKV(oRr107^$d z=~yTo52X{JbP|+Kh0^Izx&TU-Lg{iST>+(Qp>#czZh+EFP`U+5Pk_>sp!8HIJsnEV zfYP&|^c*O?0!pug(g&dQAt-$WN?(A|SE2NED18G;gZe?BpnnAAe}K}Ut`bPx3DmP< zU|?u~(i5QcR46?iO3#4OGokcsD7^wouY}U8q4YW^eE>>dfYMi?^mQnG14`e7(zl`X z11S9vN<W6uAE5MCDE%Eue}vMXq4W<Z{S!+6hSChs%2@zPOF(H^C@l}AHK4REl-7sR z4p2G(N=HEHSSTG2r4yiZDwIx#(gjeu6iSyv=?W-a3#IF!G-~|=YRtf^e+6*u3$Beo zg=l^r)^b0y7*=qrrof8gqSV6DOi*oDlCO}FSeA-rQWB)aP?E1;2r8>{6HAg|m0OUj zyAG&g&o4?zEdoiV<`tI~r7DzUB$j}ya->QMRHx-AlxODTC_sz=)y^2T709&2oE!yE zjgXvJoLa2MK(O6}zYzy*-N4#%`9)xdAp#4eI}I$FSfWr|keZyCmYEEzyg@ZHmPR1B z%7(RjKy`FdszOR>DkuaJ6>>9+As&Rbcp&Zv#R;fJM_3FNfi>Phu~L$mmkzHVi&B&G zb8}PkQb08%C^+#NlT)5pSqy4wfhyXPe6Sab6LV7`^{}1-rGW?wJy1aT_`7>LD}dV8 z!6<DbP;(emhW!5zA{iJAAQVMbBWiU8XeupMK&1EpP!K|WYzS_zuF8U1%D})N0%bB* z1u-yI2rx?XuycUQU(f&&tlkILUru}iPJ9whd<sr{8jgGh&1^lay)1pq{Y*^v_%y)6 z5@0193=AN>u(m)y$b3hbUPnF+cfJFR&8&Sa{mgAlOw}N>6u{;RIP!5YK=e)l>0w}C zAk|(_I|f#FgN7MF#(>%~uzDEWUUB3TXl7#a0$B!<gVn9r<Yb`belW-&h(2GKJ_{Uj z9t;c&Ay7GY5Dg9#P`EjQ{A$q5+5-u-i6Ac2>rQ+eu6zbe(@=#NKxQofnE@Lw#${GA zsz#7msi;C=v)(|>3J1}+%t}Mm2r}y;st^Mx+$^AV1A5qi+K3@gxdc$h2x5;TpF%U( z-^@WQpj0H_#K*zlf>ejzg@!RWEr8OP6Q6-2pM@izLo<61TQ4lZ90my)I3cAtM?MZv zIGupn32ytr(;FhKA`%=ttvZ53-4W9)5okTqgYG71x`&#TkE+uZ<X}WjfVvA-r%}VK zHBfg^!;hfxMUeNX;Vu_YJTovbP{XVVR5a@j70uFs4pdOXk0n$z>j2cOqoBydo$gl< zlU8{^bC?Va43wG$>hDEB&Ef;a0kXTW=4<As%-AxvE1!c4HgV9XJOcy69;h9Zx))S_ zaDWDFsNi>ySs_$3YXKF_dP7CCEI^etmBI^jH#I2v?gSCYX$7^sokL6-z5sO>rDlQJ zWip`oa0Uj3r66}X@d-HcNr37x<~}B-i6ADZ5_9EKaDlN+7#J7=pnAZ4W>h_IVd`A@ z6qw>*90mq(ecA!l=MS<A($?^VwKbODkpt~(25G^j542|tmmFw4J}x=XT1Q-R2GHSU zbo)SKJw8ymBOuMl@rX6gGtI`9wQ*&6kojw%=5Hp>{4P?>=Yb66Gtk0(P??wmHD3Xg z4+)3QL2T}K<a1!QV#6lGzyJ!P3s5`QL2W!tJ6!kzP});Vu$kn@7f_8&9Av%$XvqZw z15LsRWc~yioBsi7{(j=p&@^n}fG>>(fYz1JFnl)9*n9!V^c2nFw*YEBt-}8T)O=dx zVNm)s0IkiTVf;>@vH2gM=F=+t13;Z{8ivmX8k;Wwoy4P6`YE8X`4?ztKB#SL0G%WP z&%GemFQ{#`Vq)5AHBhrq$A~cc>_x<wb%Kg!i3o!#2L=XexGRB*X04&3SwE;~mJ4(c zks9GOfr@6`p`uwDqSQ+hB~&!)0Mx8%kn`aA5jNHc9jS^#8o+~$dbyyAFo4GgdBi}5 zFfdTVtOzQawS<aheW0RQHsaI^uMR4jb%ly%DS!rh8K{#ca;Rh$C|mD<npFvk0!Kap zrgA<GkoW~G;vk_HAcBE`K@O_EnJEI)y#}v+V1X`1g3TF#<~<~!V#}fGUHBB5nV1%U z1_3xgMp;1Rv6&YFl`{nCWnf?cnU?_-n+p<i<P(6HHw|uH2UOk{D&Ne+<PLHmsJXKQ zDi;lsV}PvJ*nvgt3>L8mSj4_y5#xX^_CU5n28);hni!}}>;e_L2XY_03<3|*V;jTY zN@|~K3Do>m#F^hns`)I^AcGkgXk~s1)cjo_YY2zWL{i;<1ZqC5+^+&kzznntpBAY3 zpe5N1gu`b(sp0d4#^$@of+Ci7;j@Is=CgncG1|F5g~sL|p|SZY^0bZL78;xX1ZqC5 z${!a6Nchmo{3THHX;r?lfVMNyuzX8_noo=J4b(n30yQ7jegU<EZa~HKK~dw#Cy>j> z0bc9z0V-|<6|dmq0Jl##pqfLW;*j=<AGm!2Dn2#v$b;PA0hPA}>4&y?VxVH+wIJ{| zPaxP#ke(W-JWLNrYyz4X$nGUjv0RYZaC6eY=78jn;E@NJ`2;GDY|jrYVmzP?W(*7r z$m$fJVm2VVq3dN#pkmn|F;Lqx6Vmqdfr@9q#M2<+DLBMypyKG}Ou-@!T2r$IDn1`H z#|2qe;l!r^>+3<*l`!{nAa(6Pt1K88KyH5l)yoN*7l7z>1WjETG=rCAFjayKg0A2J zt@$WM;;S$)Flc}X1_lQ3xFPDi)^^xb7D!0|h=7g%p{iSrtgeDcbqk18cY+9YptUI< zpz6SDTOC13NWz8Bp_#dji8+K3>_EsmB#<&0RZv7i=Q{BC#f3<9IYg)f6?Idf>b8Ka zho|=?Ajfhz@+mNY+_nR%hERMwfT{zJXX5b#hZ@K-!trYWRfo?!(A;SRRNW-dx*SNG z4xHWsK<TZGi8-GMmcShOI2`%F$)*RYhmfDPK-J-M189x;9jH3+8XSllz>9x0AoGzf zd<~4qlVQvZ%zPb;j(igso%m)jI`J)FbmCjV=)|{y(UY%%u@qaYlYs%WhTTOS5_Z`L zze4PB<TG&Lo4^QKD+e8knZh@NF@tXbqbJ`4#vG_EAa*vAcskz<MmMM$rV|*eiWngA z54Dp}SUrHMn+0+rYWz<ECwC`4juHk21`bf>U|?W42=W7@e1McKpczBhnziqI5l(yw zPJ9_od<9N?6;6B&jGlY}^?VUNd;vLp5gB|5o_qo6d=YM7MiF0xJ6}L8UqmRBtKkS* zJqRsiKxGPO{TI~U?a*?`kx#;f&!CyPkBNB=BtJsRFmU+?Rr?OK4hq$c1+aB>%xO%h z<)0&80VqAbfa(RWfrsb?r$=b{$1KMPOOFuyKzU3?6BKCp^FOGpcY&&l2Cc<`r!z-B z4RF{&VxGB|i7z6RFToKUc%FO#OovhV%nFRC0!;2m9sw6hAUAD*+J!IegVf!Csw0#> z7_<oc5j3B#0aYjI$iRT5kLJQxfRdH&vhY<n@-;9z@pUjd@l9ZKgQxWZ<_k>NvXUcT zK`~#28#qZ7FfZkVC9eWzF<pds9wT2x8eapWBh*|^zJe-<2+Sr>-Ua0zSl)Hx+rj9> zcYx6ok`6vV{gDP*OMsLPz~xdu6LUBhYB~V5FI2Q4VRRTo!OP=4;PMz$Ub{f$&!Wih z0?UKSYf#e5fT~f%Oy^?A=^UhX3RJBMrdmmKwV<OJK-LnY_6?Edg7)1==zvUxmIv@O z4Vjy9=i7i(rZKZJLW9_e?*yY0-vve|z8j2Ad=D5s`8F^zJ-{b952JJgh4&Pw-QfK= z@bKOV4o^^C-U5}M2Mr%5P(h{us>Z=>8Rk~xyzI#50MhdUst3F_72+Ro{&#@ZN7AVE z5m=9mE+h;-fyzWIdUjyZ69CoYf<q5CnjTPIZGr091F{aajNStB8fcvnNZlH!x~-V% z*22_*($5vBx=d*JAeE_c$ms`UCg?Z<ka6JU=n%W1<uB70WHUkM9)Q%{0{II?9rHmZ zm_kQB1Cae8;8o@f43jbK??AR6)K6)Ess+Uns9ee8;{dOTp8*xug4zd*V_12Oh%ZEW zeFmzBP}%qes;(8}A&6gK>$+-TUIB%T47l^ez<@8jLF!zf>b7B;w+?0=$geq2b>RJS zpngLD9|veJT?<rv4OBm54K%p^aDdfoOaXizPJ98Ld=7O;)dIxtpgztCs2ScMGZ-Lk zgga2N4v-kCKO11~0>u{#IPe)5UV-8Z)+SB>w}~G@;tO2;Fo4t=K-FGERvQ3TdjeT4 zNLdVsU|?V{z!8Uv@VtQV#{{Sz*w{J9Z%d$JQ15`p>~}!LpxGKMb_R>s1E?6X-Y;lk zAT9^gLQ|-}VCfB9FSIc+YrxACNLYa4(*~+11QfoY_zdC$H={zJ;^2LP;PT6$nTg4l z&%%w*!IRH`sSI3_LH1rWK-GfxID*Wmfb<z>K*eF}?3jEZ;v2AtgWP`tDh}S;2Z?J~ zK8}G~yb~7d5WD|C^`P4=Vg&LK0|UC<I#|R(cDq2uNwK>Ess}dL$W#gO-vp>Qy4@?F z;;?-hOn!VEAiEDh#YwUI3seuf9X!UE{s!f56{t9ta%DLzL_vAO2dWOVuYm#Hwg6Qy z{Y+KxT@MTlJ`4;D6;QQzKvqK3!rI%2Jii2-=bgZ<ZZ~Md(ZLTR|AXqx6HxPT%`d%x z%43^fVgW5&Wnf@Hp8Ju&BBp~y%mGad<hKy0*fr2vBS^go=?_8rUG97fm{A&wMeNuc zgbSD<Yh#x{%|mxP$gTrWxm3`)FKl)lK<(pw=EZIoXs;;9JONWsgfK8<gT~yknKywA z#qH-gv70x64dV6$sCnpapToewPy>~_<b<_N?atSL8crP?d>zb=d=r>G`5Ks+vl)?j z&ro=J9N1mez|3sSjI6C3McaE2&y^3fCmfWXWy~O9kqaslQOXqNBuH5gDYrptJ&05b znlCJYs-?wvF{r+{0yQ5x#0MVVd;t~v0`d~LOa{&8z6F=WAUz!BAPEKrXwd-P!zKe2 z1Mh1_&ZD5UPOv`l9n|dT$Y%i78v)g859+JJ(-EvrhxQ5en2|I?>J^Y%ra<*Vi)V0u ze+5)55#&rrT)^5ap|COt6t)+j>Sz(RAoC4C9dQN*23nX8^7{m+`LMVH)w4^WVz9Y* zrlpX&Vh<K^kkAzn0V>a+=@wR(gWLN3Ow1gNd>)SAwp0~LXAfkTfF&q^85ltQdQf|m zDUA<2->(4`KM9hCtj|9To1b@q%E96b6iyLPF<OKZ$ow5NHeUifK|q^$2D!h2#^&Fk zvH2F(poBoX@R>nl^MBCT{0Pvb1C7cFQ2N<HWAi1TlR&h}zZEn#{{{`s2ep$d>>%m? z5NO>kynchP`Elp_fZBFBiK9gVI^a%%fq|g|Y94w!31rt2s2nxgc%XLI7pQrIvE2nq z+fyK?veBfD1)2Ya#^#5B1~q7y_qWj4d=bzXHx1oiLSyr<(AazvP=AGn;WLHC=6|8F z`614bvXNGG#}*o!F9IIGpk3RhgvRDyp|SZUuC$HcDKs|!3ysYWaieYcY@xCFBJQ+x ze+iAvzd~d4O+XvLXj%T#*!(XvHb2CZw&`aJjm;PFqOJQ&Xl(u!8k=tdon)g`{XT`p z=6|8F`5~ZA8x6~cEi^V?1l$RwVf%;1=3k+)`6hm}Egz=P*!(XvHa`SBu}Hi0vxUay zi-0FtY3KeD8k>KG#^##@(m4Io*!(XvHa`Tsz=d|{XA6zZ7Xcq?NIUnJ(AfMdG&bJ^ zyx@g);WLHC=6|8F`61v<G_(t!Ei^V?B#gG@TM3QLzd~d4O~Pp#K2vCH{udgX9|GR+ zMZ@$@WAjBKX&b*KG&cVVjm<ZKUhqJ({x6Nq|3YK)L!b-kXcazNXl%X+Xp)eI{r3_Y zn}3DI=9|RQwtSdEWAnez*!++<+J?^-8k;W?Ph0nw(AfMdG&bJ^beJg(%ZDj6HvbEa z%?|-D(xhSghsNfMKrd>dRsB^$WAm@j*nE>@+LjMfXl(u$8k-*i+I&sJ@?i^&%@;|f zZTyzd*!(LrHs2(Tw&62{#^!&avH2nCv<;svG&WxZy!f4V^;Zdv&A&op^Gz~o8^2R% zZ2lJ-n;!zbUy4@khb=TVUj%$04-L~ljm^J8WAjaNXq^6OZ2lJ-n;!x`sET&!XA6zZ z7s;b-{Fczz{3|pz-z1;5;WLHC=6|8F`5~Y~k!acer?L4Wg|v;|5*nL-g~sNaKrd{j zRsBAN#^!&avH2mzv`s%-Xl%X+=s++U=HC(;n}3DI=9@q-DyLccr?L58Xl#B+8I99F zjm;N<UO-Q)_${Ha`B!Lcz6t1nFdCK*Q)q1d7aE%%0=|@hhV6eEn=b-B5Qui}FQKvd zS7>a$3HZ<=+J(;)8k_%x#^#6A(761kvH2pkv~_<8jm^J8WAjbwXd6CLXl(u$8k-+d zPuuX>LSyqq8ffeO5*nL-g~sNaG}1PFrqI~@FElnkq=~lSvxUayi!{^L{UtOu{|b%G zH))}5_)MX(`Cn*kehBzrS=zM^x6s&pkv7`KZwZafzd~d4P1<Q2K2vCH{udgX9|Asb zn1<<}#^#H3(l&leXl(u!8k=v@MceS1LSys4(AfNtZrX;=78;u`(nDMKm(bY!D>OFW zq?fkgGlj<Hf1$DYA$_zBpDi>tUj%%SHSOxJ5*nL-g~sNafG>ZiUHD9)vH4$UY<|c@ z8mE66n=dknw(c*XvH4eMY`)24+J?^*8k_%x#^#4ip>6nVp|SZQQ)%n|5*nL-g~sNa zOrvf1Orf#)UubN8$aLC<&lVb+FEWF+?k}OS`B!LczR675hR+lloBxHz=7)eUo1|U) za0`vi7nx1l_${Ha`B!Lcz6t0Mby}|fp|Sa2Xl#B6_!1@>rhginFEWqD>7T~tU!k%2 zCi7_<K2vCH{udgXAF_b9;j@Lt=8G((t@}%8Z2lD*n{Tp+w&62{#^!&avH2m3X&XLU zXl%a7656`IgvRDyp|SZUOKBTEQ)q1d7aE%%vW&LjvxUayi-0ehqh0&0gvRDyp|SZU zD`*?PQ)q1d7aE%%vXZvpvxUayi>#up`%7qS{uLUVZ?c-U;WLHC=6|8F`61wo%xPDC zZlSUHB5P?Iza=y_{|b%GH(5u!@B!TgI0b6HAuHrw<Yp#jZB{-G29VqasN7wU6Cn4n zUI*R73c9EJ3{(!hJp^(`t_xp6GjkskQ!ea|T+m&`U!dy1%VZ(<`hxD#W$9z?XJRTv zT+|IRQ(-;GA_fNVl1o%G%V1`LuAB9Msw+g?bq~4E8|kiK=2#}A`+gyJCqqofBn4R* z7+4rS{zsSDrVSEd0TC#84OE;1MU;V|0V)o<TNhbs8dMw<rpV$JsvsT<g93;`!dX!D zpzuQyX7~sSBnB1+&|U1v;tQebL1BX|?hG{_dW9ccsuZdodNmJRTnVZkdZa&Gyc?<> zdK55RTo<Yydc+@G9K>K|07VXng^HOOKnV?1Oj?(LL5P8g;Q{FWW(EcZ=#lCSYc-+b z>=5-Z@sBbP@e5E7K(F9nND+mI8$i$On-7g3dtr$91?X8koS?gk85kHA@<GHOK+m|@ z53z=Un+GDk0D86y_%3<|28M?^5b*@)nI<rCMyNX#pl5}^#2r*1>K~wqn<+xX8K7q? zz|?Pn`s)Ci_$*0?`UdD(12FY=Q2#PO_o&0%zeEV4{s2@Qram6(-v;QOZJ7E}ZispZ z=w4-*`o&QHE`aXggsIQdfT&M^?u~@0|D+5NSAgybOn}B`qXI<y19UG9%$yXce>Xt) zkU*yz7+9hH4S?>QI0#KjVo?7oK-ZLShsL8M)V~kF1qTDeJE*-{Q2$<luF=$ms=vVn zaSsD@Z6D12c~JizfQm;$lj?SLh<XL+S`e7}4N(6+fQrNX^-3P1egSlC1Wf%y5r}vK zbWMOH^zO(8L5O(66-WY1<ATtFQ1=^rg7Ei1C<ZU6`#(U%w?f6upzh!B86uDg^{*<- z{a+#Cu!61w>VAc95b;~kaE^hxpW!D&d@|G=HfVfZ_yrNyf~vnR4sk!jUx+x&ziXlX zJpdJlMi0YL0f_p5e-QOB_n+Z~hzmd+xD6uBuz?dIZomj}2y{s@!#{0^_yksnxB=8X z)72p248jm`n0wwzL&PVDLd0R^h8fg73E~j(Yy1$4Cqdogpbim-sh5PAZw3+1mW8Ny zhK6TBFhm@t{tMKc3(&g&q1lGvCDdO5&^z{E;wNPx?)(51huzOU4eEXc=$&)Wt|vo^ z7*st}9CkPQT_uRP1GEZrhd7JjEHoYspyelYii6<@G~X#e?>vJZea#RK&36rG;+J6g z4o$oQnr<DScZ0#qnGY>z7NCjmfu>sq=v`Sb^)q1Q44ODMv|K%aCcXz+&MbhQISvcA zTBv_7K*jYT(Z!$-^)Ca|Lg+Q-3`$V{IzYvtS3od0L;bq|T^#CP2IyJX(CeTXgrWX* zfQrNF&vvMP7eK{f>K{V$=>s(JlhAaf06j|@TAeV6K>a%bP23vlUk2#e%`o$)NI=sA zn)okhK5ak~p9w9O4nW1B*MKvaL(?+@^z373HOZh4tp@{sLNX$_ZOFjDZ~>YQ3!v(u zM+P#ig@)S$H1WUC@Ckr6EMVrB!r~oG`~cLy3!rCF#X{5hGHCodK+mAr0*!YsX#6rj z&$gHZaV|qP)IAN*J-yjb@k!8l{BRFqz+ni*upa8p1NR{UXCV|r6*S#WcmNSlgu3S+ zG#(E;govv^#pgle@xvoj@dBv76rP}pD?$Ag@Dw5rs~=UN=~LkaMEn4RVladH>j6}J z9@O5i(0Zid6+~eO)W20we?52u5&r}gzXD62?;+wYQ1Lxbe=UI43$XU-H)uU_0a~6z zuM%R=gZj&W0pbv77n7k3nm!*u#i7}o;Vm?M9)OnruOQkOdZ6iZ12aV6B7|a?0(H*; zc8CD1Jj{T)hk*kk4l4(QVD5p6>p;B6@EBUJC7_A#gt}(}R2*i`BWOKx08RV`G{1a+ zikm{LXV?QR{|&ex0??~+7`UL}KLIL!6Y8GD(0ZqU8=@ZC%woua`u77=9C~FL!%I16 ze&>Oxhl&4$)*}<3;`$J2hE%A35AZ?+VCFYK{p-L75r>)M1oiI(H1T;*|2}|<!_3!( z`d2^zVh;3Z1cryOdK@YaGhY?z-v>}}Sii&{>R$svh&eFxt)Tu*5Q2!q%-Ia}?*=sS zYp{A#1fm{R4<<wX`vBTbfEM=*^ZB9SDF#sw6Ays;cLAFCOb&>8257qiral&0j$MG3 zli<EFsNVza7bN_GWGq;F=?XMGILw0B3#->2L(7>0sQ4FXI#Gt2Uoab@-Uuqr4l{oN zL>!hco<hTO!Xk(`%=~0%xpV+34r_0OL-R|)Vu*T}I1jA+SppG{hENQ$(DJ8YDMSEP z{_ld8KOdmtC!y|4g!=cuGKhMZJ8wbl{QwOgaQ~lyf#E*X-T--s0njNIhG|fHVIAyv zh;a-qu=tt=5y*v53~Qj}e1amx99a6{g|^2gK>GvGVwB+|)SVm9)Gvj`3j;JDVdh9c z<0Sx1{3+C50y7{Efw|`-H2fE!sh<f|{{c<h8(J?oD5JXH8(J?Le1@be@K_H6149PX zJq14?;^1)}1_lNtXntv6gP0QzaTWs~)L$2%?NT|2G{a$Ned+-1Zzn+8o1M`7xB;3D z3!vs)gqp7~7b0K}?XQVJ%bx|%^a<_8Ggv_L;|7Q`VZq996)JvU1H_y|LJ$WjK<kAG zhauvycK9i1dUyae2UecQLeql*)EzK!OKASR0Bu*p{CkTXlCK+}>S5y&A<%LR;tB?a z4^YN!XgI_8!cg(&&~_2Dcw>Nx+d|Wu0mS(X&@CnmmaGg6=wnMDqas<bi`(E3zr>7P z{U&zo;)1-`#cwfU7Y8{Tn>)X;VOPHzhxjTc?CLpjgo82;_31d=>5M~t0gmvA#t}Y? zRIs}fG^~Lw96-esHgV7}6gKfh9N|-rL!23h`=8_R?-U&2GZ}~a2RPEzM;!6;5r=zj z<50g2hx%q5;jf6poI^PL^&W?~D-QFOakwWOhq#kAj`V=T-hLeB$l`EM299`HqJ`bw zG92;6hQmGUaHt1$bFigP(D)5D@l`nDr3i<(2##>Ofx}-;INUFZ!~LLk1U7eG!V#V~ zapbQU9PTf`5nqBh%-@Z}d|@2o-8jTS?F(%F0yV?1i96shM;C|qX&mkc4Ig1M{|~gj zI{+Pja{*OS3=9l^Vf7ufy?qBNE)K2lCP2s8Qu!bf4$yYO0qA(zB`1jZ8fZKG0knRH zsgH%$;{lgIH4p;>OuadDenDU%L>zq9Bm)D(CTP3m0-87%R6WCTRP_Z=^#{<zeW3nr zSb?g(724i#ScxkB4%&}qScNLS4BBovfF@oF?YB0pMpeHW>R*R7sNx5p;l{8QO&r=j zIe;b}1Z_t(tV30=1`P*?^{C>F&~RXYw!2{A83t|78;C+0STOMq(01JiH1Q44{@n&K zRQ3MQer16;s<=C}J!v3;D!vTbPWyl+?gZ`MZIDD&U#JCXzZFQKinBt)!9W^STpXHi zKcI<6L+6P$$e^lck%F2piz@yB+I}{WLlvJ4?Z13L6EA?a|2N2^sy_}5=K=*(@et_v ziGd=jxCk`8eLxf60uAR4N~r3OL&LcM)S!axJz!v9;D+|!4OCFoZ-IvM2Q=|URY*8& zP(@YG4$Z#>YN+D9&~P?TM-{&a4d)MN;#JUa-k^c1z6#pkDbPd}mxay)8bBv5VCho? z+AscqCLRp!4{p##HRmxjoC|bN#iv5U*+3UnJPw+#KcI<QLG$$nJyi8|&~Pr$M-?}Q z<|6~>L<h|M&!OS`0ZqIX8qOOGQO#+CmbV2)sN&+#d}LsZDt;dt&L7akZ$ZO(g9)no zI%qf-n4*d|K*QO<3|0IlG@L)6iB~}Tvm4A&)%!s6QGo@j_$z2Q8(5->pMZw*2Q+aB zXg=Ctg{pojG@J{pQN>fC<&}XAsyGWYAALX*{{ao>4YsK2%c0?1V23Ks0L@1R_Ne0a z(DLd7n)qdCIB#%3RsR$k&IOLB;+D{SWZ;A<{s<b*AJD`PLc@6jsH22b4kSX$s{-f% zFf1K9Ldz=yS5)(7K*RY1nz#`(A8l|$RsRVZ&IRtM;!V(SHt;|dp9(FnKA?%;gog75 zPgM0y&~PsBLKTmP<|6}dRPm?Kdi(>Lcr>)U+Teq#em*pu3w%+<J)rr>zz<bC0-BFL zpo#y6hVur0RP|4x;am`aDsBkPM+SkY;s>GO`~gk87+PL!2trk_1I<ST!KmUA(0pV7 zohX3CdknO^`hX_R3e86wLQ%~*3JvFiFjVnqXnAE2jw+r4%|{>5#J@tvVKzjds^1F@ z=YmL7@g2}`Hi$wMkAdc+4`||X(0sHZ8dd#vXgC+dpo)h<^N~R;s<;+3AALX*KLHKr z4RNUImqEk1ARblx8#J5^5>UmPq2c@iP23rpk2WNts(%Cx=Yk|u@oUg<Hb_Pl4~6EV z4`|}|pzXj7DX8jYq2*OUDysNKXgC|Bp^6`dhVutBaSdob+K`T_{vtG-3qT!Vq;g3M zS`IMiLi!2N=^us-(C|0NL^US>n!i4vi5o!k*M=-q_3hAdtN=PO2uuHp(EMePgR1@^ zH2goHi5Ei4u?@MX>MNk`FUUg`KLHJYgM3u+LTEYm0ZrTwn!h#_psK$DEyoI=1LrXJ zdqK-FgCbP*ebDg#fF^DZ&0iaeQPnF$=Me<-AmI;NcVPw1cLgP=>R&;_-=Gv#d<8Te zUO+SF3N&6mps81Y=En_XsOGdm`#}?+6LhfkIUAtyRZxzq-Uu3=0niCdnER8U<)lFc zs(OBC{{4U^?gZ^e2!IA07#J8}=CDKa^@d7RbG)JXx}XYGTmqU;FQA$81?o<NYE<=G zpz;0zP23A=&W0LP^}*0^E~rHne*!I64eC(E1)<^j0Zlv+n%^ftCz@d4%mWqQP>*WP z0%$o<(10pF3EGbiFh)&3o1o=`K_jYqaaj696Q2i7&jKc>=4^(R8ylKX&G`$RPq~1m zz8zX_6f~o%H-e?x7F2OYX!uMph1d%V2WD8hMN^*+El)PIqMEY^TCN6|LCk@rA4XWZ zZ9`T66&jub=BVlqLdze6c2xBV(DLU4n)ohg`EUWvoCs*Sw4nplobAwZsh|^8JQ$Wf zyHLfOpy}ZQnz$G=zijA6Rj&b!$ATVI@f>Kp8}y=zi$cq#4`|}N(DG+PAFBEd(0DB9 zM-}gd#+ShaRPiU!`1*h*-VL>P0(1c`EWT2q@wH(hsyT+x@}vN|@B~&4Uxdbs0chYI zsb0GQ4gU{l;xf>3W5X0w^N&KqzhEk=_#|lgU@#3;TmxD@d_WUl2MzxX(^1twf`)&= z3{>&i&~PxAi7MU=4gU{l;(E|@yI~fpdR1tCFPM!go&qiB4d$SVe}RVc2Q=|z&~V-` z7gc>ewA?P3hbrz3EuRhMql(XmhVutB@epXcYr_Im^&HTASFjLOybl`A28&R|r$fu( z4`|{V(EPPwF{=6x&~PqTf-3$S8qNkwQN{bA;rszj+yGYITB4R43!&k^VHv79zo6k? zupCvq6I!kstUwiyg_fTm(8Pa3!+*m{RP{HZ;a>on_(Mwnme71>uo_kUHfZ>NKof6< z=4%1yf<EXGbPQ$Cc-gQ9)f`c1zAIRZD$WVbcLwWF#XF$!@&Qd;5Ss5ctVdNJ04?_l zpcB}z{Qd+QF9sV?)qjJA{|7X2FKE8ounATDXK45rY(^Eo1Py<KEvVu}(D3<yCLRPW z_cm-rRlgD%{sr4m#hsz~&R{#LI5RZgeLxeR3=QWEJ5bdxgNAd#PE_$%&~P@`g(^N7 z8qOck#0#M1+lJk!>c2tjwSqmU;yKXr&0sI8_y%Y=e?SwDgyy>q`%u+qLi1h0epGQD zSo|J975@ee=MQM&PSE_d0lJ_MmQF4~!@1xPsyQvta5gxMDsBtSUmwuKHKF-y!x2>V zxzO^h;3%rN5;T7q977eq1`X#AXyQ50@@>O$RQ09M@~z+msyG`geovx`pM!?;2Q=}M z&~V;x3RQhBG@J`S6IDp%Mm{tj8Js~?e*zlLAJD|pq4{XTSyc7b(0o*I4psanG@K32 zqlzDghT8&Y`2$<Ou^k%zAJEjZL-W^$3#jJIg|>?ftRdwy%$zAu^9wGbs$T-lUkA|C zhd|3c1JFb#Quus^#@7cl@jcLar2y!HUzokmq4BukGOE34(0DAkf-2q*O;-=l%y|!O z-x^#+RsRk;KUDx-une<T4_bbHKvRDR8t)sfp_<bU^)G`RYPem2riX&-sOn>&{niO+ z>bFD7B?Ws_bM8Xh`5Vy0U7+#e0Nro}bAJIe9xp(}Ve1~%pz##|-3I~_Z-R#Z1vK&B z&~Ofb&V$0#UxbF+1vK#=(0m%;3~@h9y)o3k7tq9|p#BYjPT0cKFNXT}0-E>|XgM4J z-PZt9Uj|jL-~+K2x@?+35}J<;ZlH$$c4$8OfF`a4HJ<^xfD5|Sm|-e3A8ojaYR(O4 zdMki#WPz2RMbLOLxQ(jb2%1hlpow3E=8Fw?P}QqL<7ESydv-wcMZsNE^)Ar(GPs8- zeioYkKcI<EhNklk_fgfWL(@+Ibm1DzUzV`?>mjQ8T3G#sCf)>X?<M#_;ss`o8nnFK z@CeNusQC(@1qKWZ46yK*gO<Yuk5SbhgtjXTo}h}ahShgy;!MzTc*9dv_06#Q?is51 zBxtx@Ky!~1tR4g{a6&5I-b3@(2Q=|-&~(1x1*-X0u=Mj1Rs1nD9U8ns6+Z|K=LYD4 z!4r^RWmpW&cOTHyTf)-SYgBXgL&Le?4XXHOsQCtOQN>?F!#^MpVj;}lHPCqcfTn&9 zRQ-l`sOES>%g=)MsN(EU_b5OY?8D;S30i&{d_Yy-2#v=NXySsf`t&2J`YfnBFQA!! z7#fcS&<zK$^!XOL&b9%%&=TgJi7@v-7tX`PUqRjT08M-ebev}bbVCnJeHT=G0S_cR zVe4RRpzd$rg@{9sx?~81y2l|5A`Uah4_1yr#bNGegtk97ghSNB#5JMyegSl25X>BX zXgXJbu7iOdvCp6ewO1h$Vh&7wFSLBP02PO&hX9zpXy#0Tn&ZF^F$d<a|IqM%02PPX zdktD&6hIgIz{C}y`I<oxVh&8)0~($a(8L!)^R)tWoi0p0JJi3R@feUvF!2g#_&7ip z#vTPpGB7YWLESGP0ug|T2gBSC73YJlLtY4V{|D#>Y?%5sXgzX364e}enERzr#f6~m zPmo3xKL!nd0~u6tP`H5hH8Vi>2g2-q3av*jK*e`Lv@x87mOm4q3yopo;!yuifUfI4 z0#$zrT7M;g_k|<InJc0GRRHhLMu=xZ%e@CsaRunU>NIG5-7ph%osSMw`~XB4zMdJ{ z>|p=}?<EEXhClxy0D4pkhIj~6KS(`jiz`fhHIn!-By;+Z#IGTVLziiR%|}-MA4xs3 zd!W-gVD-r2&}KYX9JIw1<{s#_0<ic+BztwB>%2kk|BWQ>g(Qxwz6MDgS^X>|ab)%9 zk;IYJ|3VT+R<93o2m|QM0tN<T_2Ee3pe<K0e{~{>BbzfFDh?{0L0KD`Js9Re#X%Hk zPYKMNZ6E=ty~ySqfr_J>a}FvFqL9sb2oivr1KN59Gyf-&II{Wd(0vy$^FeL}WdS~@ zI7l0^`6@`_$mSS9#nH{Nfr_J>6M`g;Yz}DsC&+$~IUo#ke>0ML&{iy%_;Mt1<aoJ( zB#vzUGpIPoY>;1J@$vyGj_yuo=spdQIC6MOBZ(uMuL%{0nGdo9<R4?GILI92@bp3w zM>eMfNgUa~(~-oH{d*co9N8SuI$MzaF!#Xx`xr?*vU@=L2tevV?nL&l2(&x{i6fh@ z1Qka&Uk54<QjcuDGm<#6Io?olkU5~N35(wns5r<RWc5u@agcgY7=tj#-91ooka}c$ zmmrBFo3jQg4l)PX-pf#NkU7ZV{|iYR*&Kc7{v42c<Z>epNgO%8ijc&S&6x-l2e}92 zCs=&Vg^GjB2W=6Bh0l7ZIJ)}XP;rp@mq_L65vVx2`tMM2ka}eIh;f2Kl>vO>2(o*W zpyD9)$nG(LildwF02K$RM|O`VR2*G>4OASY9@#y!q2lQ3mqW!t>XF^E6Dp3b{wP!& zq#oHl=b+-~>KUQuMu5~KyGH>kj;>w@Dh^VQ>>fL)IJ$b!xf7s}2B}AOPcRPkZBTKL zdSv%3g^I(>hn;`35h@N+kL;eqP;qqi=b_>t^~ml4o$mp1C%SrWZjdOX-b8kf7E~Nv zy%|&-WInQcJfPy}>O-L7Aoa-ZiG_-ztDgWB2dPJP&w8jhy87Kvagcgs_nd`_qpJt4 zs|5KNq#oHlk8r4$fcAet>XF@J4i!f?-x(?nQjhGOP^dV%`b4NWNIkN9vY_JV>gPbk zLF$pq`IAWEpsmWVa^^0Q_&KEV<RuPqCTPD7Wd3C&^%6+ppe@WW^L3HLL3>qU;?_vw z$m)ZU#IGZnUx_4+Z0}Meab$mOMG{B$*JUJeWOJS%i6gs*8`=*9`4`zeu1Mm@_NF6= zBbyI8-vgGekj<Zuq#oJ)t4QL==6^>LM>d}W+W!Q(6WM%yBynW(Q<21x%`Zg~M-I2i zNaD!$E<qAUw)ZrWII_L0pvnf?jzzXt9Z4M7d<!ITWb@;Z#F5RPiX@I~{&pmBWb;oT zi6fg28V7^L7qa=P(Ed3noRQ6UM-oRiKLSY{+5CDWab)wiB8elLe;r92+58ts;>hOn zL&pn1?nE}<6-gY~{B$I7Wb-SK#F5RPk0g$4{#7J#Wb?lxi6fiO0Uf6Rxf9uZeI#*Y z^J|dAk;}s=NaD!l>SiQy&`}t$^5-y;_<5vq<{FatZ6xs@NaCQQHelxXK*vo$?nib{ z3X(Xodzz8Nk=-*HNgUZd%aFv8-E#s-9N9fGqM%d@sjrdUV}T@&?4B?rab)+DBZ-5K zWP$m&9Z4M7ovV?=k=5@;5=U1507)EK{Rbp*Wc8wA2!A1~S49#>R_}!*j;uZkNgP>y zJ(4)G`hFyFWc8r^J0KT<;vHH25gh7YB8elb|A{1yY`&a0$aF|NBCFR!5=T}aj3kb% zJ{d_IS$zkRII{W~NaD!q_accSt3Qh*j;#I@k~p$@CTPb86mH1s)se)J)te)UBdd== z5=T~_gCvfuej<`MvU<??Dk!AU-Ln}<J#xOgjU<ko?{uK!p&)aR^PLNlIC8#AMiNKP zcg0BJ$nI}L5=VB=3M6r4_xwQ;M|O`0bbJ=%PGt8OBZ(us#~Dc+**#%M;>hl)KoUoG z&lx0fWcNHl5=V9qGjzNd<W6Mw2qTFjyGIR49N9e{NaD!unS&&b?4B)1;>hl~j3kci zp2tYy$nN=uB#!JJ3Fvq?$Y03r$w3lFc25hEII?>dBZ(usXETyGvU`ppi6gt`1(G<j zdu*WN>>zg{yC(!m9N9g^NaD!uX+{!9cF!~<ab)*^=7nMT5!pRF(D8kA_oyI=BfG~L zNgUZdQApy*_SPVYBinlhNgO#Go*;=M+sh0(r~=x)MYdN8NgUZ;8zgaLd()A`k^7a6 zIK)Bo`>=3BR=)&E{SBn{%r+!(Wc4qR#6f3h!Rmc+=zs$#{E^jLLd9YIP?&mOs5rX% zA{^qKIK)@s5I>GX{3{M|PUv|<=<ZR#A#RRCJQ_(HIeZF{#F4{iHIg{8`h!T~$m)M1 zi6g5Qgq~Z3?tX71ab)$0NaD!m&qfkQR=*KR99jKqBynW*jL>;CboW>zi6g5IL=s0f zzZ*#$S^Xg-apZh=1xXw^-#vhe!_pz_Ji1p<agaMNAf<n1==>i@963LV;t-dCio?x^ z&Yw9##X;tPj+TX`{{=|m$nM{bB#!L<%ShtL?qPt=JA%yrh~)kRBynW(tC7T!&7X`U zj%@w`BynW(Wz;~W38ejrY`z7OII{U+NaD!tt70T^&{-(3@aabqM;>QbghTuhk~p&Y zjOqw?BAYLPB#vypEs{90IWb7$$mW3ddxC5Lg+H=6Gmz9Hn*%!c7^WWCoO4L(k<Iyy zB#vwjKlC7TkiE$DraqE5vU)!xab$Z_k;IYh?LrbqHfJT0II=khki?PAd5R>CYz~Vi zC=?*+2iY8HBynVO?2*Kg&51)2M>eMvNgUanMM&bv=4?X}M-I<xNaD!qzaohv+Y8#C z1@ajvUXblI)B=e@{EKW3XdOF92BaR@oOC4h$maAQi6fh{8c7`4oI^<B$mTpl5=S<N z6?`r|#GT0I$RLR$o8yTjj%-dWk~ngB)*^``tDl1;j%@E{BynVWZy<>yoAVt>9N8Q` zXn_w3XJm7Xk;IYB2|^M_HYXEF9NC;sBynVORv?KZo3kHD9NC<UNaD!l@E;^`<Z{>v zx-S>xFXVDK4oMuj94<o=2OUKVD{rSFi6gsb1rG76NaD!uWQOi91-S>=odHPV$nMNW z5=VAtGm<#6`5TbLk<Ax??nedLi)_9&k~p&Y&Pd|O<`*D|Bb$E#NgUby*GS^X=Knzw zM^69z(0#BVcOu(sgCve@?*t@qWP4X5i6h&47)c!2{0~Ut$mRz?_rZePgKT~_k~p&Y z%}C<N=5Ig}M>byox_=ejd~GCgWb>Vo#F5P}KoUnb{{oUYvin~ni6fi;2T2?yUZDG9 zLGDDh*9J)(+1?3A;>h-{MiNK1_b`$;viTp7#F5PpfbN?Gxd++&Y$S1H^P7>xk<H(L zB#vyp0CYbsy7}5j;>hMZBZ(uMUw|ZzZ2ko#ab)+uMiNIh{|}NlO1wb#>w?^gY_AQH zII_JHki?PgU5zA;Z0}(tab)v9Ac-TJ9{}CQ3vv&#`PoR~$mTaAi6fi80ZAO$d;#cw zS9J5Wk;IYBcSaINHopK#9NGK}NaD!ue~l!LY(6t|A0x;;$mSa$i6fgo0ZAO${MAU} z$mSnL5=S=w1Clth`99G7KOpxYo1cOtj%<Dfk~ng@nv5ilZ0`vqab)vvBZ(uM{{~4M z*?e~Bej$)Mk<C}aAs&Gwj%;r>k~p%xHAv#f_D)9<N49qp4)GUA;>h;?MiNK1mj}A< z2;?thd)1M|k?nQDAzpzbj%;r?k~p%xbCAT5?cI(fj%@EG9O4|%eNZ6xBik#DB#vya z4w5*sz3xcj$o3}T5TAi0j%@F0BynVW_aKQQ+j|{J9NFGaIK(xe`>)XbYmFq1Y_AWJ zII_LzNaD!$HsKK8fh3OX-_uCq$oAer5=XZ8JCZoEy+Y6h(;$B#i+do6BikE|B#vxv z4w5*sz3oWi$mTD?A$|i%9NFI2NaD!${y`E)wpSdwpAFq#MmWSXki?Pgtws_@wzmgK z9NFIGNaD!;J%mG?6}pcO<W6LJrIEyu?X^J?M>f9|NgUan*+}BZ=IlWdM>dBQx=#<o zJxJon=GY*KBb(ETB#z=9BynVO_8^HPo5Kp-2Z-SwBynVOY>>o}&1ppvM{y65II=l= zki?PAVTJB9#BdLiII=l5NaD!mv?7V4xCcob*_=H{;>hN(LiaafxCcob*&G`rab$B^ zk;GBlgCve@&K@LjWOJOM=kJ5ugS?LSFb?s%NaD!rdtV`mBd@RHgPwN}G9P(=L=BQS zXiq1sKRyXb9JKccCcYO*9CS4dO#CjAIEs6a#F5=23p!{RIuC{H-##R9&=oK+^A975 zBfI}Dk~p%xuc6|w@o?z0IKwBXI4B&D*J<-W@4EttgRWYG*(-@8j_m$&BynW-T*o19 z4!s`>WInR`={Ur{;}8#rUZ8_+&UPH);?VuN=<2<Z#NQ%~+t(n8Bd6!7NaD!pc?Xg> z^0?D!Byr?&{xy;~a{AYS?!N`O6WKkHNaD!uDMS)ScF%Mqab)xFAc-TJ&k5Z(3$hp4 zd_^R2Wb@sT#F5SKK@vwce;1NCviX;g#F5Q^h9r(0ZtT$gu^@LM+v|fQj%;rwk~p%x z6OqJ`?cI(fj%@xPBynW(HKF@sLGD2|-w{b1+5B`Qab)w?Ac-TJe-B9<+5B%v;>hNU zK=;jp+=D!RXo@6`Y;O&cII_L#ki?PgJ%%KXZ0{2!ab$a0q5EJ#?m@QK2T2^+-by5K zWP2wfi6h&)9Z4M7{69$I$mVN8_t}EngKWMdk~p&Y=}6+p=C45#M>hW^k~p&YACbh7 z%@>F6;{~|~+58wJab)v5k;IYBUx*}*Z2oa1ab)v(p!<YD_9B~ai6o9}ejt)Kviapm z;>hOjK@vwc|0R+*viXeAeZ?U6Ae*m_B#vx;4w5*s`7@Ekk<H(TB#vzUbtG|Q^JSp> znL+MBHs1?L9NGLNBynW(%aFv8%dzQ5;>h;iK@vx{mkYX&8sr{idzFyHk?l1@5=XW- z97!D6-Z@C($o8H@5=XZ8A(A+<z3k9^+8}o#o9}}pj%<D<k~p&Y6OqJ`&EJkBj%@xP zBynW(^`Q4+g4}~_z8jJ_viT`U;>hJ#E0Q>}z59^Fk=rvrk;H!>wJS`Z7o~yRgDf6} zBo5l64{LXIBZ-5qnuUq)LJ~(-{~AdgS-mCn-c69b$l_5*;>hOoA&DcazllR!5_(T3 zy7?AJ;>hMVBZ(uMzXC}dS^Z}uab)$D(EB^l?XAQiz7vP|4<vEqc6ciE{!Wnj$l{l9 zh&w^=??hL>6^FPg^dc~H_3lXG$nE(CB=Ofs>1ryHIOwiUSUOn-6-RIX?|_Pf>_tw` zCy~TKS98M5xq&2(Z0}nnab$b{LB-MS6-WZjvP0&zk?mDM5=XYz7)c!2UJoR3WP2l_ z;^_8fL&eekTaP4;Y;PZuII_Kqk;IYh-2xRyxAz!S9NpeqNaD!$zC;p7w)Y>BII_L` z$&m0ww^tD=j&83hk~p%xE=c0Y_C_L!Biow=6-T$X1}ctj?*t@qWP9f$i6h&)1xXy) z-lI@)bbGHu#nJ73jU<k2?=K{AWPABjAmIrz2iaZ)s5rX4Mo@8(dgS!%h9r(`Zzz&D zvc368;%AWZbq7=&W^WI4J=-j(IJ&*-ki?Pg-HRlSZ0~g>ab$ZxK*iDRWlBYa53+y7 zki?PgRYej<w$~m>9NFFos5rX4Sx|9w|JEUiBiq}HB#vzFA|!F-_}vT@huI4oCpiig zN4NJHk~p%xPm#ot?fr!$j%+V?8YDc??UjX!quZ;8B#vyaEs{90y+KIg$o3{f#nJ68 zg^Huw+lC~LZ0}Sgab$Z}A&Dc~yBjKwZtq#BIJ&*}ki?PgeTyWHY%fzfBs@XpAloYp z6-T#M6)Fx=kDQ*(ki?Pgbwv_Kwl@k%9NFG%s5rX4wNP<%d;5^Yk?oy}B#vzFCM0oW zdk;g!(e1qo6-T%CC6YL@y+4q|k?rM$UUUmG2iaaZs5rX422gR3dgO3+K@vx{HyBAA z+1?B!ab$Zdq2lQFc0<L{?VXP#j%@E5B=KiR<?s$1;zw|ZU%(-L2Z#6z9O7Sah%;m& z(mAp_d2onJ;1E~AA#Q*}+y;lZ2M+NN9O4N$#B*?nSKttD!67~Yhxk(@apZQj5$J+a z2E;iVbD;ICJ5(H$e!e56hX^Eb<ni!as5mSg!pv!cii6Dgie%0T9O4_G;vjQCdSLDF zt59)}81lI&pOM6o{iT@=Nhct4KwGF`^;#-a9Apl%zcwR@Bb##%NgTPqESUo_AKjc{ zBynVO<{^nAn{yLM9N9g_xd`)-&51)2M>c0Bk~p$CpOM6o&5_GPn2&5uB$7C?Il1`= z^`JXOVev8-Dvln`d!XW=@IhAp2}vBeUnyLGFdx~Sc1YsL?yN)-M|S6BBynVO{vnAY zn`2psuou~!$w=bJ=IlcfM>gjtk~p$CtBVlkBZtF7Byp5*C`PD9w$~FX4hv^kd$<)U z4hm;vdoLr2Bb)ONNgUbzmL&*#k<FQmB#vy(NvJr?J+S=6T8iDAU?g#5bLx=9k=?Ts zNgUZdxn&6Rxfl`W)a`<bqr2w{R2&pO$l<@d9AXZ-`h!q$boELV5cTNd`AFi(@wgdD z9NE7wq2lQFs#YS*NA_<qk~p$C^N_@m!|f)LII=mmRS5Hu%{hf64!XM)mam^e5B>%D z7g_vb4a6Lf`$0#S!PMV^ii6ZMA;rrZB=N^c<^LC`ILI8(kzg=$xN8ySgUanK(E7*% zDh@KA2g&?>P;rnsAob8|I~h))iHm>~FfcGE*P)sZz21_+6DkfeA9SZX%smZA;t!GB zzYQu5G8SYn^x8;<J5X_SbA;+4_JYKb%`rm~=S1?C6I2{#KFs~`P;rns$m#hOk~nfY zWCmSW4xML5j(1C_ILv%lc~S}$2bqtYehwpvBb&q02=N!p9GE)=pyD8Nkmu90ki?PA z`3e;WnFBiN3>N<XpyD8Nkkgew6U1JSIC8p@fQp060Ua#{Ge;ju928&BYYrJ=q2eHO zkkeHOR2*auNIfik8ld7J^~m{dIaC~7{e3iX=(U;*tj!4bBd0fOByr?)5{e{_Z0{r- z;^&dXk>k;<1z|68_~#>uBj@*rNaD!$inKz^LHDl$R2)5g+@Rv<>XV@2=<4Spi6guJ z7m_%#`_0-Q?g5#D?EYw|ILuyHJ(Uj?2dU>k%6CmrahQ5oy!S!HLF$piVFy$kUHuU> zaZnlpx$p*59Apl%z2A|<k<C|Y2kAnz&v`%=F)%P#LB-L{@q&tjl!5GoUSG-(2Ng$G zUyCG;Z0|~_ILw?MAO-^i!(OO3x;YP!#F5RB?tr)-q#ijQW1!+7V?pkOUU$t<02K$R zM-HFqNaD!xyAdi5Glv6om?8rM!%?U>x;Y<^#F5Q0=!CcvW)1_?oDiru$Q<N++KwcS zoKNQ>i6e)@3nX#mbgR^bYA-B(T0zA@_9BPdbR6Obafl0dL(B)6gKV!Ik~p$EE0M&J z?Y)U4j%<!vAH;l6xPgu^gqOEaage>p<+dY|IC8n|2^9yK13Cf_W==ekI4GS+K;xwW zDh@Iqx!j%v6$hCEQV+r)7tVx=gVZCh`;vxU=m1iW?0yxfI7mIR`^}KVk=<_x6$hDv z?EYXRagbX$Kn5}}FqA;WL0n|_XG1SG0-1yCo)V}yNIkN9+K|MN-O~pZ2bqKHo+U`) zAott_83@WRP;n3!**({x;vjQC>OmM3p7)^QAoa-MX*>}m3z_FaPA73l;>hW3CXzUE zdi#tdj%<$RB#8Mi_rUT?DpVZg9%OSiBZ(uMa}P-z**%hzA?Bl-Q;Q^yY|dw>ILJMq zBN$=n_77AXWDatCrA$GXk6iwopAHcRnF9*rCD3;7O{h4?9OQ6*hC}=_R2*auihH2q zAaju2!v{Sm9wd&Oe#D^SAag)REyDbzfg}!cC+NNekjo>X;vjR7)6ef&AX!Lyc!AV@ zE}M-IM=n3Rq2eHWLFR7&but(j80JI8LFOaZ3ww~nPa~Os7%C3RL;Ohf`!%RI%zRk- zc?A_mH=lhD!kx(G^FhVY%{PLIgUmrzZwVDgSDyeChq-45)W0QAagaI4{+)^>j%@xM zs5r=c0VMw(g^GjBK~{eTDvqxHD^wg^{U4|}NIi0R^3R2Y56t}spzc?Oii6BScE1CX zII?@(q2eI(k=<Va6$hDvtiBv74pNWoo-U|3%snTd?wJP_2bqKHp8ZJT$mSn|ildwV z3MvjV2U-0`s5nSHviqgxLBa=Jy&_Z`q#oJ*CQxyh`!7J<?*SDDnS<>9R3vd^_vApu z(aoO#6$hDvtbRIF9HbuE{p+FPF!#XDH$My&2bqKHo+n7+$mYL>ildt^G9P>RNJGWZ z)w@8&LG~h>?+q14SDy?Ohq?a&G(5|p;vjR7-9H6M9NGNYP;rp?$nmuwDh@ISS^Y7n zIJ)|qP;r=hUO?UR7Ag)h2iZM*3$TZeC{!HXd`qY}$Q)$%I6%cg>XG9&6Dp3bz5pr? zQjhH4W~eyK{jl@vr$fa-<{-O&3z9gpdv-&`LFOa7=Lu9CWDc_W*HCegdSv(fgNno4 z^8*^5LJJY$hU^|=BynW(t)Su{^O4<?3>8OrPZm@hUHxRJIJ){-P;roYWcROyio@K` z02&NqU|={16$hDv?EZU5;>hlQ3Kd5;pK}o+9FWxuK*d4ok^QRy6^FTp1L__ds5rWN zVv)p=%};@fgUm<vZwFKyWDc_WeyBJ|J+gatK*iD3?}v(`tG^Bvhq+$>>i*YIagaI4 z;mNTWd-(7}#nH{TfQp06L3Y1AR2-xp*}p+hahQ7~pzcY7ii6BSc27H!II{VDP;qqg zH$lZg<{+!z2^9yaM-I<NP;qqiFQMWf^~mo30~Lq4UjgcVfhCA=Lw3I|k~p$^OrYZE z=0`xq(cKdd6-QTJ1Qmz5M+54fHmEqr9Ax(_M-oSN&pN0$$b97RxdIgjnS-qUHdGv4 zJ>ybDI3TO%fQp0EBZsFNR2*dfQ>6B)8B`qRF9WE*yrAMB^~nB8M-oT2HxDWfvKQH3 zQ=sA?bCA`~hKi%B-wYLpxyJ(Pp5st)kU7Zid4eR4Z2oJgIJ)^F%dm%=G*ld2y)jfA z<{k&Ad)%So=<dlt5=VAVK2#jt{25SjkU7Ze=R?KO)t`WhqpLp;6$hzDj`v4UahUr( zpzi+$6$hDv9G=3<v4@WoR2*bJa{M|&#X;sEyWa~cj;=llDh_i`0MtEYP;rns$nKer zB#vzUJg7Lxd}RL~f{KI8K~{efDvqxHEmRy`{THY>x_b5%knn-IKLYB0X{b2J9Ax)f zAc-Tp#~vz<ZhkUU9Apl%`Yfn8NIi1+H$lZ=?n!{UXBt!-WDc@>wj+rno4*e#j&A;Q zs5r<RWcBZ$;vn_N;V%TexC>pqBvc%v9@+hdP;r?1GobEwg^GjBL3V!<k~p$^GNIxi z^O4=t3l#^MgRFiER2-xp**$BZ;xP9VK;3fyDh@IS**$lW#F5Q^0u@I$pBH-39>^SI z^&(JlboDw=ahQ85pzd*iii6BSc26vlII{UEP;qqgYoOvV^BbV%Pk@S}o4*!G9NGLW zP;qqg&p^dt=668Ne*hInH~%k^II{UHt0DOgWIl5IibKU==1+i{uMHJPH{SzE9NBz- zs5rX$Nl<Z^`7@yAmqEqR&7X`Uj%@xcs5rX$YoX#W^A|wPKL`~^H~$`zII{Uqq2lP~ z|A30a%wGXDpJxpuJkib9L=s0f-vBC(ZoV^A9A^FosQKYgadh)bki?PAuZD`Fo8Jc& zhnc?vYW^aqIJ)`!k;IYBKL!;?H~%J79A^FjsQGW9;^^jctwn?zviX8gadh)lpyDv| zPe9GLfQqA=ABrT7Y<>(>9ArLnc~t@xhnar?YJLY)9Nqk-NaD!muYroAn|}l<4m1A- z)chMzadh*)B8elL{|73LZobGmNO;1`e*iUK11gSgzAKVAviUwxagh1Q<wHDF9A^Ft zsQJZEadh)1Ac-TJKOHKLZvHB$IL!PHQ1kae#nH{bjU<k2{v)V3y7^zB;xO}nK+We| z4+%GP^VN{Vk<HhIildwF02POs&j4Bk!N9-}0u@I$zYs|r+58HqIJ)`WP;r>~98mM; zL&ed}--9HMZ2n=WIJ)`QpyDv|1)%1?f{LS?&%OZ>Zph~ILB-L{SA>eg%$I<gZweJh zH$MnT9NGLxs5r=c<osI*6^EG*yGOMZDvoacA|!ES^H)N}(ak>y6^EIx0d@aXs5rX$ zpOD0n&Ho7%M>k(^BP2Xw<{LoGSA~kBo9~1qj%>asR2<#>M5s8-d<&@grBHEn^CuyR zBbz@HDvoacMyNQ<d<UrcN1@{A=08FbM>hW@R2*bJa{2QIDh@N>18Tm&CP=uUo3D!` zj%>aOR2<!Wcc?hb`~ax=(NJ-8^DB_Vk<G7%ildu92`UaVKLTq0GN?GZ`G=9jk<C8^ z6$hD*oc<p{#bM?rK+XRK6-PH;crzm0kj<BZilduv1Qmywp8+-B4JwXqeln6cviVt1 zadh*WpyDv|3!vstgNmb@zZpp!+5BBladh)9LB(O_S3u2w1{Fs)pLq-R@Zo}rqnob; z6^EJM05#tXDvoY`Fp@a3`=g-Z=;jwe#bM@mK+SK1ildvq7)c!2{8dnKbn_2E#bM@8 zfSP{|DvoacXC!fC^M66b(ajgy3JFh``7@yAt3k!l&38r;M>gLJDvoY`5>y;!{sO4^ zWl(W+^Cu&TBbz@9DvoacCa5^f{1s61k3q%J&3}v}j%@xbs5rX$OxsYyX9LuHF{n7Y z`Nl}%$mUx?#nH_Vf{Mf3zXNK18dMzJ{AMI^Wb?bA;^^itf{Me;KL9m<8&n+K{L4t< z$mZXIildwV2`UaV{{+;0w(XE`LpNU;NgUaHEvPuU`A$%AnE4l==7&MW(akSL5=S<_ z3M!6n{v@b4%={Zr^Or%z(ak@MB#vzUDX2KQ`H!IDF!LWk&Hn}!M>k)12O`{%&6k3T zqnmF86^EJs0&2b+R2<#>WF&E9^RuAh=;k*;#bM@ufSNxIDvoacW+ZWB^LIhT(apaE z6^EJs18V*=s5rX$%sa7%4;NG%-FzjeILv$o(BfqV1_m>zIJ)`4NaD!ukAjM$n_mPK zhndd-HNOoij&A;9BynW(S3$+m%|8SchnX(`HUAn^9NqlSNaD!m|ALC6n=iBr5}q*g zC7|Z3LB-L{cSaINHs1>>j&6PuR2*i$0@VC6s5rX$laa)c&7TDoM>l^HR2*i$2Gsmx zP;qqgA0vq)oBs+bj&45FZq)EGfSNA`6-PJU7)c!2d@HCp$b97XvoBN}=6(yP`H4_* zbo1+w#F5Q!g^Ht_KLaWbGv5Jf{u-z_y7?!O#F5Rv02K$BkKDd}0TqXt?*TRc4^$l8 ze91kK@C1n?o38*BM>pRBDh@M00BXJuR2*au^7wEjk~p&Y1yFHx^E;s8F!Ljz=FfqO zqnp1INgUby15j~v^KU@KVdf`5&3^+GM>n5yFZS>efQqA=uK^W@nV$hQ-v%m<Zhj<^ zII{Z_pyD9&k<(`}R2*i00o43<s5rX$OOV8o&0h@_M>l^TR2*i01=RdYP;qqg-y?}5 zoBs_e4l*A(ee&&tgeT1W2B`T;P;qqg?UBTh&3A)}gUm+`pGc@U%=`|h`MFSWbo0BA z#F5RP2o*;+e+g6^X8r`I`8%NE=;mKV5=S=w4pbc7{P$3CnE5lH<}>eygd4i~3P|F} z=Bq=+(apDlio?ub05#taDvoY`Hj+59`9)B1kom~*+XWSenZE*R{yeBSy7{}2#F5QE z1Qka&{}xmnX8s1K`R}0O=;m`DK!h8z`9e@}bn~^K;xO}fK+U&<ildt!jU<k2eiBq1 z-TW%3IL!P5Q1kns;^^kDMiNIhe-l(3WIl5GKMECxnSTOm{!OSjy7}Lb#F5Sa3l&E< zU*I4lJYnWvfSRuY6-PJU5lI}`d=IENy7|#iahUlxpyua8#nH|0K@vwce=<}YWIl5G zTn!b6ng0N4{(h)9y7_mI#F5Q^3>62Nj~xErpyDv|UqH?0Is^$fbo14b#F5R{gNlR9 zM|Qs(R2*jh2dMc`P;qqg%aO#9&98%sgUm;E|3s)b%={lv^Or)!(ak@EB#vzUNvJr; zd}Q}Ogo?w=X8<W+U|{$P6-PH;=rAJOkj<Bbii5Nxn{Nmehndd-Qoz8#;0hH-H$MqU z9NGL#s5nSFviXfrahUl6AO#Ez3{#=v=;m)i5=S<FCsZ7y9Xb5ZK*eF^OMnzGFfcrT zildwV7fBr1e3m1Sa06*aHeVbn4l`c?q=12eK^rQLZoUVSII{WvP;roUWb>1t;xO|y zKnfTb7|NjH=;lvG5=S<F7E~Oh9ohV~P;r>~1|S8Xb)-;nbo1{ai6fi;6e<qVj%@x9 zs5s1g3y=Z^1_qv^knlt|UlU0j*?a@2I7mCP`OZ*rnE4JM1q=)f;ZSjO^GlG#k<G7$ zii5Nxo8Jc&hnepIQoz8#um~!SZvK8Gab)w4LB&DZk<Gse6^EH008+rf!0;9-j&45J zF+{i_n=c3z2WdynUz$*HnE4SP1q=)fwoq|&^P`Z&k<Cwpii5Nxn_mePhnb%MQoz8# z&<hnuH-8n9II{U0q2eIz$mXAfio?v$04ZQ#V7LnvM>qc$k~p&YjK?A22GWik{vuFu znE3@D1q=)f8c=a`^Ieg|k<Iskii5Nxn;#DqhnZgiQoz8#Pz)7EH-7?>II{WEq2eIz z$mXwtio?ur04ZQ#VAuy0M>qdAk~p&YkD%fp?a1bTg^I(>?*J)aU|`?`9qtc39{{<3 zs)i(vY`!j39HbrDd<UpF%=`%;1)%wBs5rX&3z5W;&98upgR~=?-whRqnLh)h0JJX* zDvoac9wc#O^AAJCLE4ei=WVDs%=`r)1q=)f@1f%8=JT9{q(hK6viZVLagcUo^R=Pk zF!NV{6fiI_*h9rZ<{*cE43apq`N>dmkalGAtD)jB^EZGLFfcImL&ed}UxOr$Z2o4b zI7mCP`KO`cF!OhS6fiI_+=q&zoBsz%9NB#4Q;={2X-76+8Y&Jm{{Tn<0|SFTR2<!W zA0%;P^Mj${AnnNMCk-kNGyeog0Rsa=6;vGE{OL&I$mY+3ii5Nxo4*k%4m1A(NC9YH zEmR!c{6|RQ$mYL<ii5NxoBszY4m1A-NC5)_g8)b~mi()WBo1Q2_HUYiIG}tF(u=fD z&<83GGyeh9`~;{ty8CO9#6e7$`7Iz07W3yo#bM^ZfSSJnDvoacStM}~6K4Ju5C@C- zZ=m8Z^FKh%X8;|ti6wkwk;Fk-Vdkqq#X;JU)29to9A^FxkOBq<h5)EIy8CmH#F5P} zfr^8)Bb(m?6^EJ60NS+8z`(EoDvoacUL<j3^N&Eq(apaD6^EJ60X6>vR2<!WUeF<f z&~QUGUj!<SZoUpw9A>@%)O-i1IJ)_<NaD!mr$EKg&98xq!_1d}nm++5j&A;1BynW( zw?M_w%|8PbhncScHU9xr9NqlCNaD!mvw#jy$C5r}pyDv|HK67jK*iC`_eBy%Ha`R^ zj_&>(s5s1g1E~28P;qqg=OT$Co4*7qj&A-Qs5s1g3#j=QpyKG}zeN&9HvbD$9Nl~# z&|&>Z=MXwT%~ybmqnmGwB#vyp3sfB4{1~V>%>5ov^9!Kj=;rq#i6fgo1uBki{u-z_ z%=`eT`3Iol=;q%=5=S=w2~-^2{6A1}nE4S<^93$I>Qi*{b&<r8%{PIHqnqyo6^EIh z05v}WDvoY`Es{90`7Ka!bo1vx#bM@WK+WF(6-PJ!ERr~~`B$Lg=;ptHio?t=fSS*6 z5qtQ^B8elLuL2cEH{S*-4l}<3YJLDz9Nqo7NaD!mmq5kQ&F_JV!_04hn!f-lj&A;5 zBynW(k3hxI&Ho1#hnWvMUxMQjB7Bg~mk`Av?u{gld~U;$%Mf#5=668d{~9U|azFC9 z5PzWJAoa-SRIpxwm;+Led`^Wpk~s1?74lGVkU7ZbR2U$MgU*qt0a?Ppz>otK2bquT zo*78u$me^!y^3%TJ5qZo>pFJvi#HJB$mgEDLJ~(d=OpN|2WUNutbP^fGBzyYCZJ2) zk;=&)=s6)iP;rpIkk5r%i6oAEE}RbNvM#9k$m+|H#F5pzfFc`<`lU$X$m&ZTA;JOK z{2NH($m*AXA{C4I9H2vrq2kEuuONvdn{V+HVK1`!e9&RRP;)>|hPC&)ki?PWCFwcB z9Ax#qNaD!${zVc;w$~MO=n2$b<nvyxA&DcacLH73f<-+i=&)U+co%@4gQNi!N00Y3 zBynW(?;(jJyGQ5^#J`|?1j;Wk^9`Zm=;k{>#nIKrL&ee6&qfkQc8>$-(i$xO<p&+g zgT=pkP;qqgZK2}m?umhlqpP2RB#!JJJJ2CtSlp8ex&#`Fds?94=;lv`ile({6I2{s z{c9v~WcN6Kg2W3*J#x8J2^EK#4?D+Z6I2|e9{C(3W>Db*^%t@^*+}BZ>W?9bBddRa zB>oR+|FRCK@<0k7n0xL+#nIhk209EHi+i3Ti6gtm>>KuQ*aW&v2x<<pdNI(YL{M>L z_uqtyqlepPs5r=-$oV=Fbjc;u9AxuPA&Dc$*9#<ZWP2xo6k!RU6;N@Iy&$i{%B9md z#NQ!_BfE$B4<vkG{({vDZcuS_^K)^CPeBq#Hh&)uabeJ<M9}a-_OBh1I4G;Z{8fo0 zj%@yABynVOxIu{wYCdxKBqNC<t3Qn-j%>a%ND<T=5EJGeKM)5hj_l4JBymvEgQ=Hc zfK<4k@B~E#OxzJF4hw%+`I!I}2dM{DeK7TXNaD!#%NZnbWb@S-vD@p6Bo1;i%=}EK zILtjNypZ%!2Nego2RS^eK#eVEI3U-9W}r)0q2eq^?H^yLILv&Qe{-PXAoG#)(R?Iv zWPkCpBK(DHz6w+v-F#=LIJ)^|NaD!muRsz<4xa#!<FMq%RH!(}eB|`gfkS*X4siic zAVR|p*&JgW;)yuKTX2ZKMG{B$uQq598EP-Gcmxjd4jkgUafrXhAubI%yclXPa=XGA zDh`WB9cViAM-zvo&one~6R7$os5mHIKvgs>olk^{!_0@7vjHj&QV)tEn0g7&q2W+> zB8N{M4)K>r;>h_l9&`x-7IU895Vr(%Hn6Dg#38;HNgO#me<6t@yGMx^k&lqoZ$=VF z_OA&aLOrs0Cl2wOIK+8DgVa!eA=~SPB#!LPA|!ES^~|8bP^dY`?rFs#eiMhdmLPV2 z#UhC#+dB(M9NC?Rki?PI2Mb|0e<cp_pE$$?gc0T-mrHU`aaekWwWBnk;-K^lYEr?{ zvl&zzrXE)BJ3+-k>OoC5nEGHOab$B+aEMnSi6fiSjU<k2&Kw-#>ygAkT@aXiu0q9O z?uXqQavv%VazC>9A8?4fi9o^=W)5t8BLFInZcZ!?@hqq~$Q)4719N9FR2*ausH%jC zw<3vyy09?uUZ^<896qFW@*E^_P(RBCWC;TU!#Sup$b3*1hneFi3h@_698`tE#G8@C zK}}bf_*^7$<aD(fDh_fF$X-~w+5{B`nU5UKXOP5^<NYR*IC4Ciiy_>J?4Id3#J}SZ z4;RO7P6LuSvcKlbAk-tbubAWz;>h`TC6YL@fBEGR>OoU&u<+EwA<nCSP>*b{7Lqt} zxVhpG4~B|^#_K>u4$R(6s5mHI-XW!*UL<kk`=q8KiGzkBVCF1G5=ZveMI>?L@R_2B z-CwJb#F72AABXs3s5rX6UPHyv{Uxe|uou~1vPj~{{xU=oNA{PsGQu3>cnLxhM|OWE z4)G4CIJ)~ML&eeEzZXdy+5Jb6#F5>96G<G|{cI`-_an#42PAQ1fAOdy)Fb;#4u`lC zR2<!3zEE*=e^nugBm1ifNgUZ<+mXbP-8o$i;Z9`nl}O^q?%#_;{0USX-TiN%;^^*| zR7cp0?0!Wgab)-VB8elHGtp3S^zt(aDh{$2xjd;s5=Zt|Cz3dF`MFga;ZEd!=tm@R z<n$n=gI&E9k~nB65mpW~>q69{yMG>39OQoFcv-H8svcBlfO?RJpyKH2Kj@>Xht)6L zhS<fILB-L{*=>ZX9%k<~s5rWMUK3RHFncwi;^^v2ki?P0c^6b17Cx~4>@lb~$Q)$# zcc9|v>e)>p?g6PsjxQq|;;}fyGoj+><{yNLqnrN*hq!_n!ad08`Lr!U968=~>=5Fh zt}`tCoJJBy?x#!IBh(}NcNvm6a=zekK&VG9_cU>cmpdZVBfE1xk~nhyI)p>~0#qDS zZh)HPFn@i9ii5%vxt^7ALfDI3Zm1xMBbOVFNaD!;+USfh2ig5ck;IYRe;<eVKd3mm z`?*~Z<|Dh`3P~K<{Z2^Y$nFn85=VCb9an_;$nO7*B#!KU0XKwtWN~w-IJ)~Cq2lP_ zUw|Zz?EVTQab)-RBZ(usU)%%X9%T3HA&Dcq(*cKgJX9Rr{h3g4bob9d5=VCb0wi%{ z_wPayM|QuN7k2miB8elrKOKj7A5<LO{j;Is=<Yv-B#!L<OGx6#?tg+Lj_m#fAMEb0 zM-oSN|3n<(8=&Io?%xF!M|b~ABynW-e?$^Tc0ac-!kx(OZ}&rpBd4EvNaD!u-+@E? zK2#jt{V$>7=<b*BN7#$(eg!0PWcQmRi6gszLIA=XWcRN@5=VCbJ{;nAq2lQ7e*qOo zcfV*L!d_(e%OZ&*yT21j9NC>Gf)M5)i{D2QM|S@=9O8<>2y>9jNk1fUWOs%ki6gtS z7D*hrKJA8z!|H2TJ7f}6927pt_23#Lab)-JL=s1CAAXKNxF5M4Vi<`KN3MUPki?PI zS0RZbw+~HXAm*UEKN>0yazFC;*veQ`^{{r@1*kZ>`f2f~>S5t?04k2IUMT^h9wd(J ze%EA(IJ!Giq2eI*$m!%o3aWaTJGoOK;^^v?(jnsL=6gfM(bca+5=VCDNhERPaGsw5 zF&|_Oa(tb_A^sbO_}47#=I~@g#L?Yv1{DX{iyV(-IS}<Aab)-OLd9X`z`|`iR2-xp z*_@(0?B+B>#bM^a;@zPDq8?p54k`{ZA2}Z96k=Du7)>1J&Vx{KbaN&ZLCgV(Bl~wR z4)J$5#3hTdo8yH;d}|3rJ-UByLd8MuLH6(WQdIRYfBi!fhlQtn8ALt0ITy<z;^_8% zg^HuAH>^Ze53@HEDvqxHUKOf(n0uDiU>83O6-PIRwGO*_9yD>7d$ge9=;pB0L(Bn* zBgd~P4)FvW;uCR*AH^a56Nh+gBg8%E;ok@q2e}hD-WNBas)zY^6`D9KoKHi=(amXW zK{W@aekoKOUH#KmRP``>IolxO=<27nL&VYjmC%V@ybdakZqA`D?CMXViNoCU94d}( z&cSYoIUsT5c>jb$ToyV}g09{Vhj<eX@ohN7W%?lQK@T4Xs5r=8<aqBx5=VCbejMVj zaEL$cN3|CgZg0@UVd;T)0z^H!dy<jFk=^rtB1Ap9zl0}2#L>;kK@vwcr)e@oJ<J@K zJC{Ml(argfB#vxO;#5>~VE$@_ilduz8%Z3wzBZW#F$bg`+59M|INY7kb$4k{agcgs zb84aD=;}Lhh;M_6gUms0PhOsma1V03QEdi799g~dOoTYH`ZY-6prv-OagSR_;>hij zPe|g({$iVjFdwuO9cGR?k~nhvISxr2c|Is=Ho_d_dER+Q;-IBQF!PTii6i^>H<I{k zr1=p6=ma7tJV9GDVCEPji6gty1xXyVq!gw;4oMueL=+~z7D*i0-a|;@$o5`_io?<a zEPZ~2ii6yVY!2I8MED?^BMudZnFAZ2w1kR-%s~zxpLq~-VCrG^DlLGBgVZChOX)=t zM~;^bNaD!m?}Lh?n|}f-4l*A(9p1zt{tAcqUnFtlevr*Vg!_@xa~6^~a(Zq;5=TzY z(~!iG)AM#DapZLJ2T2^+Uadt4_aNKrgd~n^Zy1s|vc2U<;>h-{LlQ^MM~RCO_9DlZ zAavpz6#mHK#!C_Ek=yOLNaD!qAK?(+x(s0sXsIJCU%W*UM>a=iIYK>hxJ4m}BZpfN zk~ng>wIPWkhud-_apZ7&gCve@uha^JdywrlLJ~)|*9}P=+1_*{ab$bfAc-T}dkaY% z+1^h`;>h;0twgvJ*<N)dab$aQki?PgorNThZ0{x{ab$asA&Dc~`y5Fe*<PJh2zMge z8-*l}Y;O^gII_KMNaD!$E=Lkaw)YK^II_J`s}b%&w$}(r9NAtsBynVW(~-oH?OlT; zj%@EOBynVWKOu=D+sg*M@EVj)k?mDS5=XW-2T2^+-dRZE$o6hR5=XZ87?L=$z0Z-v zk?qx4iwI9-d!vxVk?k!)5=XYT4M`l?-sMQ*$o9TL5=XXIY8}Eo$o3i`i6h(Vh9r(` zZ#t4Vvb}4N#F6d2g(Qw_?<XX2WP91xBixB>uR4-Avb{M-;>h;SLJ~)|cN3C0vc1QU z#F6cNjwFt3ug(U9JCW^;LJ~)|w+Klb+1@rJab$a!BZ(v1`v6HCxn1GA9pN72{?XPQ z2yx{0dE8EfIC6XA1d=%Nc=#I};)=Tw<{;baiX@IaPMe5BJRd3!8Yc%W>4Mddtx$1L zen%dEUWFu%96p<n#F59JPa=sU`%7>ycK53yi6gt;28Vb8R2<#?8BlR__fJL=M|S^g zBynW-uSF6^cE8Mi?C!Tl5=VBwFAnh%s5rX&>!IT4?q7u@j_m$TNaD!uKZYcZ?0(aO z*xm1gB#!L<1RUZ`P;qqkcR|I`-M<4#9NGN`ki?PQ{}o9bIi24;gx&ogki?PQ&wd!8 z9$8!zDh_f#XsISF{hL9>(cPbdB#!L<93*jM_s>NVM=m#3L&eeiBb%V&AbXL^hXYV? znEDmab(m+M;vn_N{<?`Ij@%z%J%I=Z<nquFNgTPn%0d!HR^Nprj$GbeJ_#`&=1y2Y z?j2Md<WA&x{C)~mJ#1V>=rlweUA@B@h&a0Wx6fi1{{|IDH;4N?s(P5cYEW@>^|2RF z)x-Q%0ToAAe*{S!Io!A|qM8Hi7mGo~LFOQ<*MW+|{0mbb4iyKfM~<&19O7$ni0_1o zqnj^y3F1z4^KEd5H(y7nM|S@~BynVSzCsd5R?l?<VGeS-I&upl4s$0g{9i-GLGDEE zCtBV?RS%2b1gJQ=dbN9~>S5*wK*iD3Z$c7BcK_9f*v<J06$hDvoc=2wqpF9wb2d~Q zUHzt~sOn+vybTpcS8w?Yq8=oU?9NCeapZ8;e~wU(9A8m5#Jh2bx4y(~&K#&XdORM3 zii6yV9FJ_Tv6~|c6^EGv3paPDIJ!AZZ?T&r3>Al&0}Ia!?;z^Y#os~2LFOaJqs|BH z>W$IFVeSlsildvO^bukXNE|u*eQ}7_;SgVmL;MyFao5ifd(r)y2^9yq2id>vUr^P< z{MCmh4hzrqP;qo~62GCE15@7$6-QTp@H?t{n7vP-;^^x0exj;}nQ!_VyLd2E9NnC$ zf3T~cgC-7h&n~Dqx;az+Ld*e)BggMi9O55vh%5fXZcZo;@lG7#Z~sHgL63Jq29O8? z1IV4o@ovlr5eJbVzk)Dmovjs`I4qo_q2lP~2r`3AU;x_!G6SaG6e^CczLW)3JxCA8 z-kDHwboFX%sOn+ne_+Qh&c^`}M>i*k6Cw^GL3YB-i9{0z$${Kc4i!f?Cy)zd0wg?< z<Gl%o_*xv|_i%^{abq{%4Tty|9*BAn335BkUl*X_=<b)}1*ybzzdsJ~Djedad=PU$ zB*<P628CM<nmEiobD`qs?)i))jvSu#0w9wy)74_AIJ!B1ki?PA5fVZ*2j)&Qs5rVg z{Yc`-=6n=JH3#M|Q4xqZx;fcM;>h*&5hQVB^It*5L8gJ+2x}jHgNlR9M>dC76r>Un zJ}~u?IK*S1;vjR7$6LCP#F5(%i;%>T?cIhXjy&FS9Z4LyKP4juaVNUHUP$7|_9h{T zBimbsB#vzFbR=<Pd+#8LBm0+29N`{hdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ8 z5t2Bvz2A_;k?j?iK)4^--WVitWP7`i#F6b?gd~n^?=~cHWP7h8i6h%9BZ+Vivb|nN z;>h+UA&Dc~TZSZ#Z0~d=ab$b%Ac-T}%O!<y53;>VNaD!$njwiJ+Z&D~j%@E7BynVW zPa%mT+xrMf9NFG)NaD!$ic2Hhk8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?Uj*1 zxChx@FC=kfdy|mFk?k!*5=XXoI+8fDy?2nrk?rM@MYspqUL_=PWP8n!#F6a{M-oT2 zcMg&`vc0E}#F6cNgd~n^?>8iIWP8Qs5bj5|HwH-@+1@TBab$ZJA&Dc~yA4Sk+1~3& z;>h;O$Rpf?Y_AuRII_J-NaD!$mLZ8F+dCae9NFGGNaD!$aw#C(gKV!7k~p%xW=P`5 z_J$*gBilO%NgUbUQ%K^-_C7)qN4EDHk~p%x;))3OBikE;B#vxv7m_%#y^D~<k?q}v zB#vzFbtG|Qdu5am?m@QK3rQT=-XtV(WP8hy#F6ctjwFt3?;Rv@WP7=k5$-{@R|!cR z*<Ldwab$bLk;IYhor5HfZ0{)~ab$ZRA&Dc~`wdAP*<NuKg!_^0jX@GewzmsO9NFGQ zNaD!$ZbK4Bw)Z-cII_JmstETW+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4k~p%xTxtmS zAls{iB#vya8Im}%z2QjW$o9@b5=XZ86p}cyy^oN@k?sA4B#vyaxH`i9$o9q{i6h(F zg(Qw_?;<2|WP7(Ei6h&49Z4M7UKtI9dywt*LJ~)|Hwj4`+1@fFab$a^BZ(v1dk0Az z*<LP9gnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$enS#RwpUyW;eKR$ zW01s=?d?JmN49qnk~p%x+mOVO?Y)j9j%=@tHo`r~_Ie?SBioyVB#vxv8Im}%z0;Az zk?p;MB#vw^mkz=`$o48Bi6h%<h9r(`Z#a@Tvb}SV#F6big(Qw_?;|8}WP86Mi6h%9 zu8VL#vb`}#;>h-PA&Dc~y9h}f+1_nP;>h-1M-oT2S4I!v9%OsHki?PgO+pe!wzmvP z9NFIKNaD!$-a!&awwFsE;T~jrm5{`d?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x z-;l(S?G-mbxF6Zx7$k9Id%KXtk?mcCB#vzFHY9Omd#@vjBik!uh;R?Gy<SM-$o3{7 zi6h%vh9r(`?{p+_WP9%*i6h&~WrT1Kvb{=3;>h-zA&Dc~8;&H7Z0{T-ab$Z>A&Dc~ z`v^%K+1_tR;>h-j8zbD0Y;O#bII_K6NaD!$E<zGVws#wnII_Lhk;IYhl`%oM2iaaP zBynVWlaR!b?JYwRN49r5k~p%xcaX%9?d38>xChx@B_wfVd(Du<k?jpf5=XXo4w5*s zy{C}Gk?nniB#vzFHzaXnd&SKV?nkya21y**-Yz6@WP2AOi6h&)4M`l?-s?!>$o9&Z zBiw^*uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-LSs>hlY_AfMII_KFNaD!$h9ik1 z+dBtI9NFGeNaD!$K0*>lw)Y#7II_LsmI(JF+Z%%<j%;rik~p%xi;%>T?cIhXj%@FB zBynVWWvmeHLAKWmNgUbUBqVWUd&`i-k?oz1B#vzF9VBsNd%3I;?m@O!2}vB;UNa<d zWP8Jr#F6ctgCve@?<pj4WP2YWi6h(l4M`l?UU3_Q`;qO9K@vx{w+l%e+1^D+;>h-H zLlQ@}_d1d|vb{342=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K5b_n+%+pB~m zj%=?Pk~p%x;Yi}h_Rc{PN4EDAk~p%xkC4QX?fr%%j%=^EJ;MFS_QoKIBiq}BB#vzF zA|!ESd$%EpBinl&NgUZ;83%-WknQzC5=XW-2}vB;-ZCU{WP7J0i6h&42T2^+UM@$3 zdywr_LJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$+1^J;;>h-XLlQ@}SKJBVeq?)Nki?Pg z?Lrbqws#SdII_Llki?Pgy^bV~Y_E(n!ad0LdLfA;+na<Wj%;rkk~p%x(~-oH?Y)B} zj%+WN3&K6f_9`KXBin0+B#vxvIFdNBy>pPnk?lQ&B#vzFBP4NTd%q!xBik$Pif})& zy)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXI#tq>fWP81k#F6byLJ~)|w+u-f+1}|$ z;>h;iK@vx{m&+aD9%Or!ki?PgHA50dwl^F}9NFGENaD!$o<b5ww)YW|II_Lpki?Pg z756~6AKBg*BynVWyO6|@?OlW<j%@EXBynVWuOo>g+biRVa1XM*UP$7|_9h{TBimbs zB#vzFbR=<Pd+#8LBiqa6g>VnDy-G;p$o85ci6h$^jwFt3?;Ip?WP48`i6h(l2uU2- z-fu|a$o7hRBixT{Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6cl@j<u;*<LRsab$aw zki?PgEkhDVws$&`II_KWki?Pg<?=<i2iaaFBynVW&5*>A?F~l~N49qkk~p%xr;x;v z?R|tKj%@EYBynVW#r+WON47TxNgUbUE+lbedlw;zBip+TNgUbU>qz3r_R9Dp+=Fbd z7m_%#y-7&o$o7^Yi6h%P9Z4M7-aAO*$o6stAl!p&uM(0tvb|<V;>h-fBZ(v1I|oS| z+1^t~;>h+sLJ~)|_ZyNpvc2Mg2=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aC zf)MUOw$}?u9NFF^BynVW%aFv8?VXM!j%@E8BynVWxq=byLAF;3NgUZ;GbC|jd&7~$ zk?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;@eqXjk?oB^5=XYT3rQT=-bF~_$o6hS5=XZ8 zI+8fDy)vN)_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2_YRUcvb|hk2=^e{tAr$uY_A!T zII_LrNaD!$&Os7Kw)Yg0II_Kuki?Pg{e~otY_E7Y!u`nh#vq9!+uMaCj%@EDBynVW zw;_om+j|{J9NAu(2!wl(?e#(uN47T!NgUbUG9+<id#59bBinliNgUZ;u1JJ?knL4M z5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXIJPP4{WP4+f#F6doLJ~)| zcM*~}vc21o#F6d2jwFt3uS_(;J;?TYA&Dc~n}j5eY;PHoII_Ldk;IYhy@Mo<Y%f;~ z!ad0LDj|s@+iQj-j%;r@k~p%xbCAT5?LCDgj%@EEBynVWzafbu+bbT6a6huWF-YRb z_I4qOBip+ONgUbUZAjwC_FhL4N48fc4&feTd%cjvk?l=F5=XYT3`rc>-swo<$oAer z5=XX|D<0t<WP6p6#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4Pe8aI z+1?l=ab$bDki?PgU4$f#Z0|NCab$b1BZ(v1E0c(D53;>pNaD!$CLxI<+gpYtj%@FA zBynVW?;wdI+sl=Na1XM*N=V|!_L?DyBikE}B#vzF93*jMdru*WBis83NgUbUZ%E?E z_KGJX+>dN;43apqy<JG+$o4Km5=XXo8<IG(z1NY%k?oa9LAVFmUN0nZWP6j4#F6bS zLlQ@}cRG?dvb}eZ#F6dgN=3K_*<K|iab$bVki?Pg4M!43ws#JaII_K`ki?PgeS{>A zZ0|QDab$bN(-7`Qwl@Yz9NFG3BynVW7a@rw+q(@(9NFIMNaD!$%A_OQgKV!Ck~p%x zNl4<z_Ld=uBilP2NgUbUJ4oWl_Htz)+=Fbd5|TKwy=F+_$o7UKi6h%P2T2^+-cv~8 z$o4)$5=XZ88<IG(z2cb&_aoaIgCve@Zx@m{vb~Ft#F6dYh9r(`?{y?`WP4?@5bi;? z*9%D;+1?~1ab$bTki?PgosJ}qZ0{W;ab$bBvJvh<wpR&B9NAtoBynVW!;!?1?VW=p zj%@EKBynVWA0de&+xrbk9NAv+9EAIk?TtYaN4B>MNgUbUMM&bv_HIKGN4EDmk~p%x zGPwx%AlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<B++_aNJ=gd~n^uNjg!vc2I* z;>h;SK@vx{_Y{&ivb~Rx#F6d&h9r(`uXsMf{mAylAc-T}+l3^KZ0{l@ab$b9A&Dc~ zdmTv}*<P6fgnN+f^+FOywl@h$9NFG7BynVWrz43Y+j|E|9NAv3LWFye?Nve&N4D1t zNgUbUa3pbLd*>jDBinllNgUbUM@Ztx_I^VWN48hI2;qKYdt;Eqk?rk55=XXo5t2Bv zz1xt)k?p;XB#vyaOfkYe$o6_6i6h&agd~n^ZyAy}vc1!h#F6d2gCve@FINe|J;?Sd zA&Dc~Ylb9_Y;QP{II_KSki?PgJ%uEWZ0{o^ab$bHA&Dc~D_)9lKeD|sNaD!$b|Hx) z+q(!!9NFG&NaD!$UPlr~wpXSM;T~jry^zF_?M*@wN4B>NNgUbU=}6+p_TE7fN4A%% z9N`{hdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ85t2Bvz2A_;k?j?)K)4^--WVit zWP7`i#F6b?gd~n^?=~cHWP7h8i6h%9Q;Bd7vb|nN;>h+UA&Dc~TZSZ#Z0~d=ab$b% zAc-T}%T<MN53;>VNaD!$njwiJ+Z&D~j%@E7BynVWPa%mT+xrMf9NFG)NaD!$idQ4t zk8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?UkuPxChx@FC=kfdy|mFk?k!*5=XXo zI+8fDy?2nrk?rNGMYspqUL_=PWP8n!#F6a{M-oT2cMg&`vc0E}#F6cNgd~n^?>8iI zWP8Qy5bj5|HwH-@+1@TBab$ZJA&Dc~yA4Sk+1~3&;>h;O)Fa%3Y_AuRII_J-NaD!$ zmLZ8F+dCae9NFGGNaD!$ay1~_gKV!7k~p%xW=P`5_J$*gBilO%NgUbUQ%K^-_C7)q zN4EDHk~p%x;*ALRBikE;B#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu5ss?m@QK3rQT= z-XtV(WP8hy#F6ctjwFt3?;Rv@WP7=q5$-{@R|!cR*<Ldwab$bLk;IYhor5HfZ0{)~ zab$ZRA&Dc~`wdAP*<SG$g!_^0jX@GewzmsO9NFGQNaD!$ZbK4Bw)Z-cII_JmtqAuZ z+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4k~p%xTx|&VAls{iB#vya8Im}%z2QjW$o9@b z5=XZ86p}cyy^oN@k?sA4B#vyacss)V$o9q{i6h(Fg(Qw_?;<2|WP7(Ei6h&49Z4M7 zUYQPrdywt*LJ~)|Hwj4`+1@fFab$a^BZ(v1dk0Az*<P+rgnN+fRYDR+w$}_v9NFG* zBynVW=OBqA+j|O09NFGSNaD!$enS#RwpY9h;eKR$W01s=?d?JmN49qnk~p%x+mOVO z?Y)j9j%=??H^M#0_Ie?SBioyVB#vxv8Im}%z0;Azk?p;MB#vw^R}aEH$o48Bi6h%< zh9r(`Z#a@Tvb}SV#F6big(Qw_?;|8}WP86Mi6h%9-ivTQvb`}#;>h-PA&Dc~y9h}f z+1_nP;>h-1M-oT2SEdi)9%OsHki?PgO+pe!wzmvP9NFIKNaD!$-a!&awwJ3P;T~jr zm5{`d?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x-;l(S?G>MZa6huWF-YRb_I4qO zBip+ONgUbUZAjwC_FhL4N48gHBEmh$_Ie?SBioyVB#vxv8Im}%z0;Azk?p;MB#vw^ z*Cd2{knL4M5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXId@{oQ$o9q{ zi6h(Fg(Qw_?;<2|WP7(Ei6h&49Z4M7UYRKf_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2 z_YRUcvb|hW5$-{@R|!cR*<Ldwab$bLk;IYhor5HfZ0{)~ab$ZRA&Dc~`wdAP*<SH! z2=^n~8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aCrX$>gY_AuRII_J-NaD!$mLZ8F z+dCae9NFGGNaD!$a?L=v2iaaFBynVW&5*>A?F~l~N49qkk~p%xr;x;v?R|tKj%@EY zBynVW#b+Yik8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?Uk8@a1XM*UP$7|_9h{T zBimbsB#vzFbR=<Pd+#8LBiqY08{r;gdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ8 z5t2Bvz2A_;k?j?qgK$5xy)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXIW-h`#$o6_6 zi6h&agd~n^ZyAy}vc1!h#F6d2gCve@FV{STdywr_LJ~)|*9=J<+1_v@ab$bvAc-T} zdkRS$+1^J;;>h-XLlQ@}SA0Ig{mAylAc-T}+l3^KZ0{l@ab$b9A&Dc~dmTv}*<P6i z2=^e{>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K53lZ)?wpR&B9NAtoBynVW!;!?1 z?VW=pj%@EKBynVWA0de&+xrbk9NAv+MF{sJ+Z%%<j%;rik~p%xi;%>T?cIhXj%@FB zBynVWWfmjcgKV!Ck~p%xNl4<z_Ld=uBilP2NgUbUJ4oWl_Hr#jxChx@B_wfVd(Du< zk?jpf5=XXo4w5*sy{C}Gk?nniB#vzFHzaXnd&QR`+>dN;43apqy<JG+$o4Km5=XXo z8<IG(z1NY%k?oaPhHwwEy<SM-$o3{7i6h%vh9r(`?{p+_WP9%*i6h&~wH)CdWP6p6 z#F6baLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4Ux9Exvb`}#;>h-PA&Dc~ zy9h}f+1_nP;>h-1M-oT2S7s%`J;?TYA&Dc~n}j5eY;PHoII_Ldk;IYhy@Mo<Y%kX; zgnN+fRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$enS#RwpV;L!u`nh#vq9! z+uMaCj%@EDBynVWw;_om+j|{J9NAu(H3;`0+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4 zk~p%xTx${TLAF;3NgUZ;GbC|jd&7~$k?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;@pTCI zBikE;B#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu7%m+=Fbd7m_%#y-7&o$o7^Yi6h%P z9Z4M7-aAO*$o6t=K)46lUL_=PWP8n!#F6a{M-oT2cMg&`vc0E}#F6cNgd~n^?>8iI zWP8OoBHWK`Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6cl*@SQpvb|nN;>h+UA&Dc~ zTZSZ#Z0~d=ab$b%Ac-T}%e5Kd9%Or!ki?PgHA50dwl^F}9NFGENaD!$o<b5ww)YW| zII_Lpki?Pg72kqzKeD|sNaD!$b|Hx)+q(!!9NFG&NaD!$UPlr~wpV5=!ad0LdLfA; z+na<Wj%;rkk~p%x(~-oH?Y)B}j%+X2HiUbS?Nve&N4D1tNgUbUa3pbLd*>jDBinll zNgUbUM@Ztx_I^VWN48gdJHq|Q_QoKIBiq}BB#vzFA|!ESd$%EpBinl&NgUZ;nH>oC zAlvJOB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<9sH?m@O!2}vB;UNa<dWP8Jr#F6ct zgCve@?<pj4WP2YWi6h(l4M`l?Uh!QB_aoaIgCve@Zx@m{vb~Ft#F6dYh9r(`?{y?` zWP4?HBiw^*uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-L?LoK)*<K|iab$bVki?Pg z4M!43ws#JaII_K`ki?PgeS{>AZ0|QDab$bN_afYnY;O#bII_K6NaD!$E<zGVws#wn zII_Lhk;IYhmDz`I53;>pNaD!$CLxI<+gpYtj%@FABynVW?;wdI+sm~d;T~jrm5{`d z?KMLZN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x-;l(S?G-<Oa6huWF-YRb_I4qOBip+O zNgUbUZAjwC_FhL4N48hyAi_P!_Ie?SBioyVB#vxv8Im}%z0;Azk?p;MB#vw^*CB*^ zknL4M5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXI{4m1($o9q{i6h(F zg(Qw_?;<2|WP7(Ei6h&49Z4M7UYR2Z_aNKrg(Qw_ZxWI?vb|+U;>h++M-oT2_YRUc zvb|hK5$-{@R|!cR*<Ldwab$bLk;IYhor5HfZ0{)~ab$ZRA&Dc~`wdAP*<SHu2=^n~ z8-pZ{Y;PBmII_Kqki?Pg-G(HNZ0~g>ab$aCjw9TIY_AuRII_J-NaD!$mLZ8F+dCae z9NFGGNaD!$a-Bf92iaaFBynVW&5*>A?F~l~N49qkk~p%xr;x;v?R|tKj%@EYBynVW z#ZMyKk8E!Yk~p%xT}a}{_AWvaN49qxk~p%x*OA1L?Ugx&a1XM*UP$7|_9h{TBimbs zB#vzFbR=<Pd+#8LBiqY$8sQ#fdzFyHk?l1@5=XW-97!D6-Z@C($o8H>5=XZ85t2Bv zz2A_;k?j>fgK$5xy)j7Q$o6(2i6h&)2uU2--fc+Y$o5`G5=XXI<}AWJ$o6_6i6h&a zgd~n^ZyAy}vc1!h#F6d2gCve@FV{JQdywr_LJ~)|*9=J<+1_v@ab$bvAc-T}dkRS$ z+1^J;;>h-XLlQ@}SNuG}{mAylAc-T}+l3^KZ0{l@ab$b9A&Dc~dmTv}*<P6o2=^e{ z>xCqaY;O{hII_KENaD!$PDc_)w)YN_II_K57ZL73wpR&B9NAtoBynVW!;!?1?VW=p zj%@EKBynVWA0de&+xrbk9NAv+O9=NP+Z%%<j%;rik~p%xi;%>T?cIhXj%@FBBynVW zWiBJ!gKV!Ck~p%xNl4<z_Ld=uBilP2NgUbUJ4oWl_Htc8xChx@B_wfVd(Du<k?jpf z5=XXo4w5*sy{C}Gk?nniB#vzFHzaXnd&RFJ+>dN;43apqy<JG+$o4Km5=XXo8<IG( zz1NY%k?obahHwwEy<SM-$o3{7i6h%vh9r(`?{p+_WP9%*i6h&~bsgazWP6p6#F6ba zLlQ@}HylYE+1@!w;>h-%LJ~)|_Ysmfvc2Ds#F6b4zkzT+vb`}#;>h-PA&Dc~y9h}f z+1_nP;>h-1M-oT2SLP<dJ;?TYA&Dc~n}j5eY;PHoII_Ldk;IYhy@Mo<Y%kX>gnN+f zRYDR+w$}_v9NFG*BynVW=OBqA+j|O09NFGSNaD!$enS#RwpaW%!u`nh#vq9!+uMaC zj%@EDBynVWw;_om+j|{J9NAu(I|%n6+v|lSj%;rdk~p%xWk}-4_D)9<N4ED4k~p%x zTz3)fLAF;3NgUZ;GbC|jd&7~$k?oy>B#vzFDI{@ZdmkZ*Bis88NgUZ;@p}mOBikE; zB#vxv7m_%#y^D~<k?q}vB#vzFbtG|Qdu8q;+=Fbd7m_%#y-7&o$o7^Yi6h%P9Z4M7 z-aAO*$o6tQK)46lUL_=PWP8n!#F6a{M-oT2cMg&`vc0E}#F6cNgd~n^?>8iIWP8OQ zBHWK`Zw!(+vb|kM;>h+cLJ~)|cN>y8vc1=l#F6cld4zBevb|nN;>h+UA&Dc~TZSZ# zZ0~d=ab$b%Ac-T}%k>!H9%Or!ki?PgHA50dwl^F}9NFGENaD!$o<b5ww)YW|II_Lp zki?Pg6@P+oKeD|sNaD!$b|Hx)+q(!!9NFG&NaD!$UPlr~wpZpU!ad0LdLfA;+na<W zj%;rkk~p%x(~-oH?Y)B}j%+X2GlYAP?Nve&N4D1tNgUbUa3pbLd*>jDBinllNgUbU zM@Ztx_I^VWN48h|Il}$O_QoKIBiq}BB#vzFA|!ESd$%EpBinl&NgUZ;nHLE6AlvJO zB#vxv5|TKwy=6$^$o5W05=XZ84w5*sy<9I5?m@O!2}vB;UNa<dWP8Jr#F6ctgCve@ z?<pj4WP2YWi6h(l4M`l?Uh!85_aoaIgCve@Zx@m{vb~Ft#F6dYh9r(`?{y?`WP4>^ zBiw^*uNRUyvb{-2;>h-vA&Dc~I~_?J+1@)y;>h-Ly+ODK*<K|iab$bVki?Pg4M!43 zws#JaII_K`ki?PgeS{>AZ0|QDab$bN-y+<PY;O#bII_K6NaD!$E<zGVws#wnII_Lh zk;IYhm3fD753;>pNaD!$CLxI<+gpYtj%@FABynVW?;wdI+spMH;T~jrm5{`d?KMLZ zN47T{NgUbUIY{Ej_MSo#N4ED7k~p%x-;l(S?G^uka6huWF-YRb_I4qOBip+ONgUbU zZAjwC_FhL4N48hyBf>q%_Ie?SBioyVB#vxv8Im}%z0;Azk?p;MB#vw^*C&K~knL4M z5=XYz3`rc>-f$#wWP9fzi6h&43P~K<-bYB{$o76i5=XXI{4>J+$o6_6iC<)3VEFSN z0;VI0|3(tuf+T(iN&FI$II{ZpNaD!qdA}gsgREW)NgP?d2a-6l`cx!wWcAHR;>hY3 zAc-TZ--jfQto}BVII{YmNaD!q#l9l^g{<BLNgP>y6AtnDNaD!m>_rksHs=<SII{X5 zNaD!qMZaNpr!kT^vibldab)xJki?PIcO!`-t6zyEj;#I|k~p&ZCrIMR>KVUdcfS&n zII?<sBynW(W0Ay>)vv}Oega7x*_>xc;>hMO|G@4}MI>=#^>#?&$mYi)i6g7;LJ~*L zzXy@Tk<~N(M7Rf8+!Kd*7Lqu!`OQe;N0G{bHAv#Oki<_QiC;w$e~KiIY(CE~ggcSV z(Z(U}k3+l)NgUbynMmTu?mvnoj%@EkBynW-bN@!T6WM$(BynVO>Trl}!Xf?)hq%-q zguTe-`{598!Xds5hxjWT;&Okn+Z&5RoB;|zy7(Cw7~B*f0xS@U0Ve(zD$ao>-USus zK@&d)6&FAgzW^17QgHL3${1px44AzJj1Ykt5DKpT095=6nmBZO0>cY5ap<rW0}E6i z+<az;LIpJO2B^3Vn)m~#cm$fb12n*vpovdlg_!>VO}v2(A`ZRY8SbwK>=1G2HAHZ6 z2WY@Uk3)ltFMtL-beRBLynz>Djs(=bF#js>LBvzg#5X|2H=v0-fD#3$JU|nF02TLu z`Wt3`0W`v=poudGLhOBjCO!cwt^*Axm^lhU5cL&k;v1miN6^F_gdyq$py3KLM?eH3 z9)l+S04ja}P5grdL_H5Q9$@Aikc5awpoveAf`~6c6Hkzah<`v6H;{pd+d$(JW<G-~ zM7#q{`~p<`3Yz!?If!}%P6!P%CqN#;4?z=WfM&QJH1PyQi24<1;v1miN6^F>lpyLK zpos@S#s8p*FMx_ma6$CJ-1z}2Zh|K6pbSwLfF?cxD!u_tJV6DbUVs}y!^~Guh42f| z#2-M#ub_!D=t9(+K=TvK905Iu_!Knp3sCVdXyOy}A?ib*`447JfB{5&3!1oqAw*mR zn*U(xFF?gh(8MPgLDXMC6Av(kh?_w3CCnTF6NvZ}H1P{i@h@oN6HFoML!kK>W=?<^ zM0^XHxPUoCTm+hrVd^hH#Y@n{Cs;t#UqKTOu!M-4K=U`u904nccmtaF0;u>IG;srK zh<XWVc>pu#08~5$O+3H`qJ9IK_yef;A2jg-TZnoOX!!v%pTQ0yJ_SvD0#qDU-oVr= z*hAFoh(c(X_y(wW1)8{n14P{sH1P{iaRD)iJj|Q`M+iR#P5c5>d<B|#fD=Uh7c}t; zP;m!wh&<eUX9&LsP5c5>{05qMfD1&u3bcHMnR5XuUVtVZ;0jT{2Tl9}RQw8>cz_#3 z{Tnp#3s7+mX!#AZ_XAX122EVS9b%3Rn)n5%cm$gG2dH=snz(@n#GD>9@e5G#6=>oL zo)GnW(8L>{;up}wA3(*Qpou$pLCpDqCcXeF&I7HlVBx^v4N<RvCY}HlH$f9W02TK@ z6Ibwon6m;+oWU0&&H=3tVfJo-if5pSC-_0spFk5=@P~+NK<iJKITxVf9cbbW0TA^s z(8L1*A>tm;dKG4lLJ&lJ1)BH;s5l3-zJ;l82!^Q7Kod6zfry_#6Mp~|*MQc~Fmon^ zLezJli93Wr#9yF^e}Ia6K<jguIUB+u>Q|tN7eqkBIiU49Oua%RL_7mc`~p<`1e*AQ zD2VzyXyO;3;$P6j8KNQTd7$+?%w7kmxDJ~50;sqTnmDXOkboxc0PQF=pouSlicdik ze*hI<fhMjH3vuTOH1P>g@fT>~3~><kf6&AopyC41b_&eD4N!3vH1PvaaSJqYhIoki z0chd{Q1J{j@dr@x1~l=21c*5^(8Lcw#W$dd8ze&1zd;kfkO>jjfwr??{(6uF5f4EV zUyuzEuR#+}$bpD2K@(TVg@~U)6Mp~||AHpIAP=G**1m(ehoJx>?g4Gz!Nd<h#S75H z8ww%nXP}8Y6hXxIpoudSL&Tq;i64N9^Qb}OVfHqZK=>wT;tr({@fb95hBAow1T^sl z6%g?qXyOT#5b+0S;tEv|aSwF}4R=p1gue$({6jrN{0W-)h6ac@j|PN>nG?_m;kTfP zE3`nwH=v0>XoZNsK@-2w2@zM&gwQba8M+~S4>a)uQ1LBj;tCTX>hGY5KY)s}XhGy* z<}a88;p?D@CrpNje?b#(fDSNdXhY;-=6tvZ;d`KoAGi+@&p{KP@Bkt{15NzELx}hh zH1Q9QAmSg;#1)=E#AS3KG|W8#Pa*snXyOXcfsh?&;t!zWZ_vaWUO~)JfcA%A=0A7? z5f4EZ2Tg7;FfeqWi5q-^sNaAl{sAg}15JFxXNY<hXuk?(ufkV|xDLAbH;8xynmEHx zh<FQ{_<~;$@i}PX7og&>{v6C+hQAQ?KhV@4fQl<X`*|?+0skQCJ<!Aj{zJqI(8Mo5 z#XHc%4HzH;MQ6~&A3(()potqWLez6W`<F2H9Ds_Opowo_hNw?K6Q95e5ubu4et;b! zz5-30fdeAG2Tj}nDt-Y?JOL{H1WkMbRQv~;_yMRm540Z)^VbKcxC5HF0T;wQ5oqEQ zpyDlP;sx9g^>fg~KS0H|pou&1K-8Z>6Q2MTXMpz0VeUM@3sEnDChouo5jQ{+p8ys2 zKofre6|X@P7Z8A$GX+h20#tkrn)m~#_z^U513`#6KhVSzgdpMq&~XKrzcxU{HPFNv zgdyr-;}9_Q2cY6LXzB$-AnMPciGP5Kzd;k9APP~>0Ufu1ng0MPE`uiSAO=xyfF`~G zD(-?N&L9p^AAu&G02N<>ChnjPQGWzYd;(Nl1Uilab0>otM12aHctJ2kya7%8090H7 zI_?59XTdaxdLJ}#ff*3-3N-PCnGo?CXyOjDAmVS(#0#L}JkaqQn7swFA?g*-#5X|2 zW6;DE=0eoZKod_`01;n<CcXeFeg#cjU?D`k33S{D=AH{s@hNEH6Ba?#uRs$&02M!g zCSI@@qW%V&xB_$_Uj#ZX1+%wdDMY;vn)nB(xCff}fn^Z&F=*lp%OT=>(8Lcw#bu!5 zTX1_<K-AZui94)>h~GgIXIKRhw}Fm(!OS@T6`zA9-mn^?{tueC!y1Tq40JpUW)8zz zi1;2f@dHqC8R$3}Ont*Ti252dafkH~@jGbZ3>zTgHqh}im^lZa;yq~M6AnYvuRs%j z02Tj%CLVAJqJ9Q+ybWf)fhdT@!0-c2`~y@x0y^FXQUw}1-5>^HF)-{v6E6^lh)Y1n z+dw>!dIJd%i-Dm6P5c8?{05r%21$r|3+Ol;hzByKKnlcSV3>g>ZXgX2|A8j{0V*B= z9iIcK0-3Wx2E<}u*nuWqAPW(ffR4|Bcp&u#av&B1Lj{`n2dMZBH1Q4c5cL+&aXSzX zWKMwsh{eD#15MmO5hDHrP5c8?JOVmy2T}zxXM+-m#lWxwO}s!EA}#?Pp9Aqg>J3yt zECz-OH1Q8m@f&F38&o0cEuiCeARfq^0yPkefnf%kxPdxE{0Ex&2dH=ibleW43S`a( z4G@cgVF#LcfhI&;0y=I7;(^o~Xn|M^3>9eNAE4ql(8M=rL)2S9$L&BokU0f9AQl6| z3^Z{AU5NM(H1Q8m@d)TRA4nC*oDF&)76Zc$H1Ps`h`0oFoDakUsW&hHu^1RC(8NDL z#c!aAZ!m<Yw}6fdf_NZv3XDK328J1E;s(YL@gHd7AE4q9(D6c$Dv&uFOh7CKh8<|) z1*Q;j3FtT?hzC+{U<P6_FjSz4e}IbLKoj3!4pDCb9d`urK;{%!fLII+Gtk5hEFt1Q z(8NDL#Ur5Okswtdb2eCkSPTq1(8LR@A>tCyaY_&mq}~8J;aq_x{sAg}15JE`El4K= zg9UW_62t?UQ(y;TF)++P6F0Dji2pzn{{R(_fR1;9RDsOd-~eJVFzi4RFK~p2OF+jv zK|GLp11AuRfuRCT`~y_{2AcQ=XNY<W==dmz2QsI?1;k=tn1Lp4;0h7{fhPU|DjoqH zPX(z0nX|zS#A0CBfhJzy4iT4tj;DfnAoT_wAQl5d1)BH=sQ3*u@eQ63^%l@^RuB(l zPJtJQ#lSEFP29j6BK`wS`~y@x0y@qLQUx++gAa(sz_0^NyucSCE&&}k1@S=Y4g5eX z28IeW@efe(8))Ji{2}TspyR0^9>|=601%6TVFsGGK_Eo@2b%Z?sCWc)+!Uk=WX^^l z5Q~9f2by?6FhpDeIz9^Gfz%s>fLII+6=>ogpyD^s#5aUO)LTHuO+h@6IR#-L76Zc! zG;xD)i1-gQ@efe(2<SK~NEOJO4bX)iJJ7@nB0(w`7$lM);|CxfNWDQ6h{eEAfhPU| zDt-e^d_y!uy+tyrIR!Bg@fm312C)$FA86tqpyClJsOD^lgQ(wuCSDK^5tm3sRd0|0 z5wAcK{{R)gfhN8o5u)BA4b_~2B#8J7G;xDui1-gQ@efe(h;&qQHl#q*??4kTNQH<? zWT2`yNP~!1poxEgir+vJ-;fSbZvh=g2k}7hSdal?F)++P6F10&i2pzn{{R(_fR4L^ zRDsOdkOg8fFzi4RFUW?7OF+ltK|GLpgB%cxfuRCT`~y_{2AcSWT!?xL==eQ|2QsH1 z55!_%n1Lp40KL!t2b%Z?sCWc)Tpy$xWX=ZYee*le#0#MJxJy9C`(f%0p!ca)poxEg zir+vJ-vGVu+#(+}9t)uNh|fS1H-O&f{R2(>15`W$I-dY@&j#py+dI(23!wK{OF-ut zVCoH^_eocviGP5K-#`=J0KM<o0y-}NGp7K0kMayOaRcam#y`-+KS0GJpz{?lb2dQl z8{UB?UI4wvR{}bZ0aI@Py-&9SP5c8?{05r%2Izga7SMSQm^lT|dt_&zi5o!gbNzuP z{sAf;0i7p-nX>_U-|7xD@dD^QrV{0-@ooTJKv#h#{sAg}15JDb^uA9E=sXO}-U8@7 znlsSE4WRc~{y-D|02Pmb&fCDu*#Nz7atE4t0rVb63Fv$dOuYg0KE(<&@efe(8))Ji zp!XeGRHKGN0rVch8EE1L(EI#;poxEgibvF-nzI3V-`);1@dD^Qb`sEeC%F5e_sLbD ziGP5K-#`=J0KM<cq7K#G0_Z(zGtk5hp!b>mKokD}6_0?<cfs7V0eauq4m9xs=sjK% z(0MSJdIRWvS`}#GAE4ql(8M=D@4K>q&YQu^DS+N1H3LoD0D7O(4>a))Q1OT+)Nt4U zy>Dp;ns@>99wUinRP_eX`-Cdc#6LjAZ=i{9fZq3G0iEB2yB~Uw&I~kh1L%D=KhVTK zK*b}V^MNpPHbC#2*?}fr0KLaWq7BXc(EC&>(8NDL#c!aAZ-Cx+V$qIjP66~Dkr`;> z2GILFexQkefQm<SpqjG*df&zlH1PuHJr)wsc}|#r4WRc)RG^7}fQsKh6W;*6@4=!A z)!qW=Jqk0>#0{YL8T>#K{{R(_fX<`B+_M3C-@pzu@dD^M{t`W?_8LIX)2~1i{{R)g zfhN8IdfvT7FRD2O(1qGF(8LX(=ehqt6aN4ekLW`+X9M)S^&M#91<-TMCHhg-8$iz! zuRs(302RN1CcXiB-nYdBRC5ZT=V;GB6E}dKXZ-_B`~y@x0y-}Z4}a)+(>u__3!vvX zOF-wVVd@Q_=P6g9iGP5K-#`=J06p*6VltZhq2~zCKod8Bp6B}mP5c8?JYou}IUAto z?e0JmFMyt7D=`&Sy#e$**$OoA4^Z(NXyO~7=e=4?Lp7%WdXDN0G;ssyd8R+m#6LjA zBc`L8vjKYE=ngdT0_ZuO63~qY@bHJ8r&)m}{sAg}15JDb^t?-pnW**_K+ln!fhKMM zJ<st6n)nB(c*HDJb2dQFTik&rUI0DEP+~T!dIRW2feJM74^Z(NXyO~7=lxmCK{clU zdXC-<G;ssyd3Hb0#6LjABj%!-vjKYE+zvGH0_Ztz67x{i8$i!ft3VU~02RN1CcXiB z-kHUGRC5ZT=ZMWf6E}dK=k)_k`~y@xVgaf-8=&WH?LZSRfSzL|u@F_g0rWhn3N-N# zQ1KgR;v1mnJy|S5HKzc2j?xS?aRcaiMnBNRKS0GJ7NeT80earh4m9xs=s7+TOHkDt zK+n^uKokD}6~BQdz5#mPjm1(_a|)mva%P~38$i!<`GF?>0V*D`4Aq<s(DPPypotei z&oPl$j;h`OdY(uHn)nB(_zg7i4bbyGELNbJQvf|jV+NYI0rWhJA86tqpyClLQO(%^ zJ#S(Mns@>990!S2sOk-%=P6X6iGP5K-#`=J06p)(Vl}Ec1<-Q@W}t~1K=<?iKokD} z6^~ehYR(4ee)}D0;swxs>=J8H)f+(flUJaLe}IbLKoj2p-S2I&4%M6j=sxNhXyOLY z{mfg?#0#MNEia&n2SE2X{y-DI02NnRk7{oMbf2LQn)nB(I74Q3W_)pSMrulFPHKEX zQGRl2adCV>QD%NoW=SPjwk#(<Jw7S3BtAL607;~{q$o8ny(9x7oST}Pj4WH4SDcxi zmzolvTbfgnS&)OI5oT1pL3{<of{c_Lgd0kV67z}^lS?x5^Ws5fB<92y=j4|&=oO{r zB<hu<R+K<Afm{W5I2KK5iN%Ce7Nw@g6QL|GKQBHhCqFqmGcP?pB{e6p66Ub{0%R*v zOX8DDi;7b7O5g#Rl3$dYm<Lq^@@8gUYEemMVorQfYF278R08Cc+|r!*<ovwkL?khE zK0HuM^Ad|H<8w3fN{bPJk(Qa4S)2j08Y~VEA85pa1;O!|o(gd!$j*}Z;*z4$<dXP; zk|Kl(h#mP*5s=$c%RpX(#z{e9QDSatNh*?jPGWIMd|6^nX(}vy&=i6E33n25l)*!{ zED>rRNGZho@x{rBIWS?6Gm<k>le6QC67$ki<MY$vGxJhXE8_DD7(hudw*cV*SOQH( z7EI19068(<FF44*EVU>n5lI6`F4QF;H6=Y2t9(XcUP?}Cd|G}{a%y~0YEEimacX>G zUP^pQQD#|cQG8KqaehuIDB&U;k(pPPpPd??R+<M+(GUrcFA9qC3lh^4OH$*J0s<lp z@;d?Pl+>Km5`?kwAZwBn;Z|qnWr7k}W>so@Nn&v}x->WiBp0QEZAX(Y$uG#sDa%E; zq&T%Cz9ge4H8I6A1?2bm(!8R?yp(*15+s{oevD5}%*g@kPpQmH%*{+j_F;TkMhZj{ z><Fx>0cU;#8%m@;NHRs}NY2kIOD!siFUgNDN=?jR(96p&N!4@r3)L+yNlea$C<H|e zB$b2wkOUV8#RwL0Xb>iW5`HQ?8NkvV#7L0V(v*Vu+{BXPjLf`rgeX?OL!1pRmE!Y2 zPE7|<iRq;4Ls*oOnpg}nH@+wp$rz~Pp;Ax^MFqUL0hQQ!NP5#Ub5i5Wi!w`65tfuE zW|n|611P=ZrB;9nl|*C(Nu_CyMMa5~?&zYPX$GibaC?(M8LT2XKer&U2x3)nNp4Ae zeqK&y9)u503~==zcP8iOCZWidWag$qE05HS_%u+d1$H$&_@QMZywZbJSx7cu&5c;p zfl5_SWP^$juqB|>9$%DM45~R0g5Wd(F2WP@QXuL;LeNZIT$)r+l%I~2Y2!1~;vuDb zDnxm1VopweGJ{@mNl{5+5`$iGWiFToSCK{eDTyVC45<~V$)zQ!@s<oo1x&o5r6rnx z8Jd8RDVl(xF`9spk)<WvcnehHj4aUv49(F5jLgsk3{B7kjEpT1<{KHJ$r_>y7#X0e zurNn;l%Xk_fRPEh02<%W2u;Aq&>Y!KXtKrzXaa_oW@t_@LlZDEMH4VIMiVeX=Ys@b zv1(|B>>M<erl@usS(+jg8-h3}DZ(6Gxfz;(p$VFRF&f_pBmj3Anxvs2nt+i3nt%za za}6!f1x(Qdj7&@r9x^gUlQlF#k~Kt=Gy)00oC@Y3hlQ~Ll2#)S2U*t8(iqL9W@rLN zrf33&#%KaYM#c!I8=^@Xg9H$cLsDsEfXqR2g}D)`fRP!RfT0PRfH4~12qXZr*brUP z08PNq!VuL$LsK*XBNH?MLnAZ+BSSO+V*@k+LrViRTg=b|j7-r4jM4ap=mJJYXex{i z4J;Y-ASI?=a&d_TxHN_ouNH_h4y6b+vP8%j86a~kkR%K(EEr%Vd2W1SvO!v+fl-=? zrDc+(acXLOQj$rcX=1#&1**~J2onsE*hU}@N>VgH*J*~N6NznPXohNqDUv!%Q&c%) zWJx5pk+BJqE+n>*Au`9n1kE@kO~weeks&h2z!+7NAxHq06pawN43XGIhK8t`j0_M8 z%~3+s(83Z;z!Xiu$OKKm&<I@sjc;U#CSYuUCSYi3fo7{2nt+ihnt-7(nt+j!g*iM0 z8W~!c6O)`!Z8fqqM-?zMM-wnILlZDGK@%`CMi($gILi=;Z3N<=q)~JMBLg&}3@yyi z+-Hg=U}S<WfW|j8GDC6>nxv5-k|dae>^@@yH07pfj<GaF7;T0wi7sGdil)LCjc<r9 zU}S`3z9ACZ2!&&SZVtMDk);Wm!_CnIjLgsk3{B7kjEqeXensIJB1?cc$U$gifGi8* zAj=wB7^6AN6ivX$1YH1)Z)jwUaF-#Pq!GG+u>q25BNPsrLoAKZ1kBI`j7-r442{tQ zjEszsoQ5W8Y=|acXl{sVqmdb!fT0PRfRQn}fB~9-p@jjOq2_1;hNfr&MkeS2XnaE> zGyx++Gy!7+1BA!TP)l1&G`@kQ8FCqhDr;npENPA^X>5kdH!?#gHbi0@fjG#i&J>}} z42f-s#5O|V7?`5kWP&ij5Q%MMV1la77)c!x+sF`^V}QglG(t7N&;VV)&=6IHu>mUI z2#s%OV1RIhDN0lrSz4kB7@DIA7@4677@D977#X7rpz#gS`RD>h29~Dq1Yl@sf#xI& zbOBQ|0V5N10W`j$5t@LJp#{Q5Fb6qt7#pA|H?%ZIbCa1lLcb}Rq!GG+p)tA&biNUi zaYlydvX*9Owwt2~7@4677@D977#X7rm?1o1h{QGmagYPU5M98?0L>^<G}l?63z(t_ z7@4367#g7o7#W%(oCoG0JIUApSr)`WmNh|hjwQN)8Jd8RDVl(xF`9spkqN>%hG>$; zAOUz=6oq4et`uR4F`6sQ(FBal&;$%k&;*Q(jS<EfBC(A?9OMu&GC-CEagb#VEsW6I zVu~hUWP&DOXoMzUWQZnUY=9<UXlaOMiy4}Lktv#hF&f_xUBC!Uff2fZu_2lYLvsUE zR~VV02^gB72^blp3t;dK5b<EbP?i&45N~O2U}k1$oE%?VniOw>)FwB#NHa|}PD)Hn zHn%V^Oiqk9GEGXfG&C`YH$f?wEiF;`MreFPOB3W06HT!NLej|40#(w`07=%=997cD z9HG+?iEV_;F*HLcG6HdsQ<EvO95Tni6xAvdB!$LE9780w5emn^1l0s%gbBuIGDuQ} zNNgh%jsda^l37LwwxJQK!A1rMIb)<~HA}TLH%T@$Fi155b>h?FlarE6(hSU!<Bb_I zQ&UqxNg~laHO(+N37jO1Q6k&W(h^O;3{Aku6ivX;7)`*)2u;8cjc;UWVT_z)&}=lf zKou}DLlZDGK@%`CMi)Ti8zNa~1mYm46GL<XBLg(;=BN%bv@l1w-xOUEUBJi$O@$E} z-w<8E$PmeVFbCPW#s=v6EzQu}XND$VWQrzWXpAOcWMqc$k|7e?2*g3Q-p~L|t0}7G zMwVy-hURDjMrLRNh9+nNM#iQ{u0diO8KSE+K$Zn@klkv6>NG<ObOBQ|0V5L=gvCb2 zXtIVzNV0}#l13l_q`DW?YGVT=twzWkV^oEPmgoXzXaYv2#>j3&lQlF(6EHGDQf`PQ zX$%s8I~vU;=0>OjMrLRNh9+nN#%O#a3;_c)6^0guXqK3x2^gB92^g862^gdC4UNzQ zj118Pj1ABP3@r^%ondH(CSYWWCSZ)lH$)dOLQ`ObE?{hEfE1A^9IPd^5mG5{m||>h zY+{;bZfTTgYGG&+Z(@>YX_;nW5pRT6o}==OEQ}B(FB03x&;m`NIYOb4p*gCg8A8qw ziERYpAm=|*By~t^BSU14fhnrtCJ0SN#z-7PB(@O>#{fyj7+JS5s>w!3l7?t<h6YHI zMhHWUEs!`yhDN9+8XKVUjSP&y1M=W$RQQA~a*%-LwG(q7^X0{<C7>yLgZSdis#HU) zac;<<=i?mUX=r8{Z%9=1!!(#%#6y`z#%3_4p_wV1X>Jm4h?-O^EYSo^(FBZ4&;$&P z&;*PO(FBYQ&;$%EEzoQ+LlZDEMH4VIMiVeX=Ys@brI?|GAv}c}fjG#aZ)9nXX1h6> zfRP!RfT0PRfRQn}fH}fOLnO8lh=XjqA-aH(0h&=}Xs)wB7cfN=Ffu_CFf=klat)fK zks+FZu>qQZDVhT;(FM%V1dL441PqPQ1dNPKkz9l(X>5ojX@tTtKvQanE?|N%)&$kr zMwVy-hURDjMrLRNh9+nNM#f0y8zQldKpf;~H8Mb!MdlczI?K=kUBDDgz{tcH;VC0y zG+9F<Bw0f=Nh5RtV*@1BMrbavG(y;8Y=JIoh9+QSiY8!aj3!`YgeG8Yh-8Byn(gLj z0!C(N0){4N0>)^3BMbopG!=#x2B@|gnWG69nxY99nV<`x@ePg81dI&P1dI&~3?VfD zXn_pY8UT6iU_7h}AfN|YYk=03#upc)CTFHq#zPi%rlc5<pch3oWc^{h0oIDg08#P4 znC2GoFs6w)j0vh;V4`NGFi}Hu6FAcd&N7HMK&^2MEKvoFEDVs#ZZt`Agrp%7+X%!# z&W~oO`i#wx^r6X_qA4{+C^a%h;us>ajZioSXhxVIOfW=Z8=-IvkYtPz8jOsQIEKb( zZZkqsXlR5gX=s2XYltRih%R7Y06h#4vh<oPaxXgr1N6!Y6#W@1AQwk?K+m6qNkUG8 zg7Fv_K+C&9V$ka$;1bYdo){9L_o=`npvU6FxD23W_|U5^;9?-ggkKO>b(DcjXJ7zb zX8_t;1ijvY0eXBn0}C$$_{2x(@%A9CV7vlqFZ7rJuo#Gd>4(vm*dh8sW`pEmSl}N- z1a=PrTpY%L(r4Kj7_hltq7V{((Cy7&_k%=W_QKr%lLcZw)HpbGgd5_2xB!#^2@NQR zfq~%-8(2T|bO40-38?-CPy``_8DRFqgr2iucmD*a`(fwdfx;i87lc82VeUW5&H%nk Y1tf-yJ$6If?*Tm@4CHRmRR-w#0m-Ib6aWAK literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c new file mode 100644 index 0000000..8289181 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c @@ -0,0 +1,714 @@ +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +/**********************************************************************/ +/* ____ ____ */ +/* / /\/ / */ +/* /___/ \ / */ +/* \ \ \/ */ +/* \ \ Copyright (c) 2003-2020 Xilinx, Inc. */ +/* / / All Right Reserved. */ +/* /---/ /\ */ +/* \ \ / \ */ +/* \___\/\___\ */ +/**********************************************************************/ + +#if defined(_WIN32) + #include "stdio.h" + #define IKI_DLLESPEC __declspec(dllimport) +#else + #define IKI_DLLESPEC +#endif +#include "iki.h" +#include <string.h> +#include <math.h> +#ifdef __GNUC__ +#include <stdlib.h> +#else +#include <malloc.h> +#define alloca _alloca +#endif +typedef void (*funcp)(char *, char *); +extern int main(int, char**); +IKI_DLLESPEC extern void execute_2(char*, char *); +IKI_DLLESPEC extern void execute_3(char*, char *); +IKI_DLLESPEC extern void execute_4(char*, char *); +IKI_DLLESPEC extern void execute_5(char*, char *); +IKI_DLLESPEC extern void execute_6(char*, char *); +IKI_DLLESPEC extern void execute_7(char*, char *); +IKI_DLLESPEC extern void execute_8(char*, char *); +IKI_DLLESPEC extern void execute_9(char*, char *); +IKI_DLLESPEC extern void execute_10(char*, char *); +IKI_DLLESPEC extern void execute_11(char*, char *); +IKI_DLLESPEC extern void execute_21(char*, char *); +IKI_DLLESPEC extern void execute_22(char*, char *); +IKI_DLLESPEC extern void execute_23(char*, char *); +IKI_DLLESPEC extern void execute_24(char*, char *); +IKI_DLLESPEC extern void execute_27(char*, char *); +IKI_DLLESPEC extern void execute_28(char*, char *); +IKI_DLLESPEC extern void execute_29(char*, char *); +IKI_DLLESPEC extern void execute_30(char*, char *); +IKI_DLLESPEC extern void execute_31(char*, char *); +IKI_DLLESPEC extern void execute_32(char*, char *); +IKI_DLLESPEC extern void execute_33(char*, char *); +IKI_DLLESPEC extern void execute_34(char*, char *); +IKI_DLLESPEC extern void execute_35(char*, char *); +IKI_DLLESPEC extern void execute_3821(char*, char *); +IKI_DLLESPEC extern void execute_3822(char*, char *); +IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_1958(char*, char *); +IKI_DLLESPEC extern void execute_41(char*, char *); +IKI_DLLESPEC extern void execute_1959(char*, char *); +IKI_DLLESPEC extern void execute_89(char*, char *); +IKI_DLLESPEC extern void execute_1983(char*, char *); +IKI_DLLESPEC extern void execute_1984(char*, char *); +IKI_DLLESPEC extern void execute_1985(char*, char *); +IKI_DLLESPEC extern void execute_124(char*, char *); +IKI_DLLESPEC extern void execute_2035(char*, char *); +IKI_DLLESPEC extern void execute_2036(char*, char *); +IKI_DLLESPEC extern void execute_2037(char*, char *); +IKI_DLLESPEC extern void execute_2038(char*, char *); +IKI_DLLESPEC extern void execute_2039(char*, char *); +IKI_DLLESPEC extern void execute_2040(char*, char *); +IKI_DLLESPEC extern void execute_2041(char*, char *); +IKI_DLLESPEC extern void execute_2042(char*, char *); +IKI_DLLESPEC extern void execute_2034(char*, char *); +IKI_DLLESPEC extern void execute_126(char*, char *); +IKI_DLLESPEC extern void execute_127(char*, char *); +IKI_DLLESPEC extern void execute_128(char*, char *); +IKI_DLLESPEC extern void execute_129(char*, char *); +IKI_DLLESPEC extern void execute_130(char*, char *); +IKI_DLLESPEC extern void execute_131(char*, char *); +IKI_DLLESPEC extern void execute_132(char*, char *); +IKI_DLLESPEC extern void execute_133(char*, char *); +IKI_DLLESPEC extern void execute_134(char*, char *); +IKI_DLLESPEC extern void execute_135(char*, char *); +IKI_DLLESPEC extern void execute_136(char*, char *); +IKI_DLLESPEC extern void execute_137(char*, char *); +IKI_DLLESPEC extern void execute_138(char*, char *); +IKI_DLLESPEC extern void execute_139(char*, char *); +IKI_DLLESPEC extern void execute_140(char*, char *); +IKI_DLLESPEC extern void execute_141(char*, char *); +IKI_DLLESPEC extern void execute_142(char*, char *); +IKI_DLLESPEC extern void execute_143(char*, char *); +IKI_DLLESPEC extern void execute_144(char*, char *); +IKI_DLLESPEC extern void execute_145(char*, char *); +IKI_DLLESPEC extern void execute_146(char*, char *); +IKI_DLLESPEC extern void execute_147(char*, char *); +IKI_DLLESPEC extern void execute_148(char*, char *); +IKI_DLLESPEC extern void execute_149(char*, char *); +IKI_DLLESPEC extern void execute_150(char*, char *); +IKI_DLLESPEC extern void execute_151(char*, char *); +IKI_DLLESPEC extern void execute_152(char*, char *); +IKI_DLLESPEC extern void execute_153(char*, char *); +IKI_DLLESPEC extern void execute_156(char*, char *); +IKI_DLLESPEC extern void execute_157(char*, char *); +IKI_DLLESPEC extern void execute_158(char*, char *); +IKI_DLLESPEC extern void execute_159(char*, char *); +IKI_DLLESPEC extern void execute_160(char*, char *); +IKI_DLLESPEC extern void execute_161(char*, char *); +IKI_DLLESPEC extern void execute_162(char*, char *); +IKI_DLLESPEC extern void execute_163(char*, char *); +IKI_DLLESPEC extern void execute_164(char*, char *); +IKI_DLLESPEC extern void execute_165(char*, char *); +IKI_DLLESPEC extern void execute_166(char*, char *); +IKI_DLLESPEC extern void execute_167(char*, char *); +IKI_DLLESPEC extern void execute_168(char*, char *); +IKI_DLLESPEC extern void execute_169(char*, char *); +IKI_DLLESPEC extern void execute_170(char*, char *); +IKI_DLLESPEC extern void execute_171(char*, char *); +IKI_DLLESPEC extern void execute_2043(char*, char *); +IKI_DLLESPEC extern void execute_2044(char*, char *); +IKI_DLLESPEC extern void execute_2045(char*, char *); +IKI_DLLESPEC extern void execute_2046(char*, char *); +IKI_DLLESPEC extern void execute_2047(char*, char *); +IKI_DLLESPEC extern void execute_2048(char*, char *); +IKI_DLLESPEC extern void execute_2049(char*, char *); +IKI_DLLESPEC extern void execute_2050(char*, char *); +IKI_DLLESPEC extern void execute_2051(char*, char *); +IKI_DLLESPEC extern void execute_2052(char*, char *); +IKI_DLLESPEC extern void execute_2053(char*, char *); +IKI_DLLESPEC extern void execute_2054(char*, char *); +IKI_DLLESPEC extern void execute_2055(char*, char *); +IKI_DLLESPEC extern void execute_2056(char*, char *); +IKI_DLLESPEC extern void execute_2057(char*, char *); +IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void vlog_simple_process_execute_1_fast_no_reg_no_agg(char*, char*, char*); +IKI_DLLESPEC extern void execute_2098(char*, char *); +IKI_DLLESPEC extern void execute_2103(char*, char *); +IKI_DLLESPEC extern void execute_2118(char*, char *); +IKI_DLLESPEC extern void execute_2120(char*, char *); +IKI_DLLESPEC extern void execute_2122(char*, char *); +IKI_DLLESPEC extern void execute_2134(char*, char *); +IKI_DLLESPEC extern void execute_2135(char*, char *); +IKI_DLLESPEC extern void execute_2136(char*, char *); +IKI_DLLESPEC extern void execute_2138(char*, char *); +IKI_DLLESPEC extern void execute_2139(char*, char *); +IKI_DLLESPEC extern void execute_2140(char*, char *); +IKI_DLLESPEC extern void execute_2141(char*, char *); +IKI_DLLESPEC extern void execute_2142(char*, char *); +IKI_DLLESPEC extern void execute_2143(char*, char *); +IKI_DLLESPEC extern void execute_2144(char*, char *); +IKI_DLLESPEC extern void execute_2145(char*, char *); +IKI_DLLESPEC extern void execute_2147(char*, char *); +IKI_DLLESPEC extern void execute_2148(char*, char *); +IKI_DLLESPEC extern void execute_2149(char*, char *); +IKI_DLLESPEC extern void execute_2150(char*, char *); +IKI_DLLESPEC extern void execute_2151(char*, char *); +IKI_DLLESPEC extern void execute_2152(char*, char *); +IKI_DLLESPEC extern void execute_2153(char*, char *); +IKI_DLLESPEC extern void execute_2154(char*, char *); +IKI_DLLESPEC extern void execute_2155(char*, char *); +IKI_DLLESPEC extern void execute_2156(char*, char *); +IKI_DLLESPEC extern void execute_2157(char*, char *); +IKI_DLLESPEC extern void execute_2162(char*, char *); +IKI_DLLESPEC extern void execute_2163(char*, char *); +IKI_DLLESPEC extern void execute_2164(char*, char *); +IKI_DLLESPEC extern void execute_2165(char*, char *); +IKI_DLLESPEC extern void execute_2166(char*, char *); +IKI_DLLESPEC extern void execute_2167(char*, char *); +IKI_DLLESPEC extern void execute_2168(char*, char *); +IKI_DLLESPEC extern void execute_2169(char*, char *); +IKI_DLLESPEC extern void execute_2170(char*, char *); +IKI_DLLESPEC extern void execute_2171(char*, char *); +IKI_DLLESPEC extern void execute_2172(char*, char *); +IKI_DLLESPEC extern void execute_2173(char*, char *); +IKI_DLLESPEC extern void execute_2174(char*, char *); +IKI_DLLESPEC extern void execute_2175(char*, char *); +IKI_DLLESPEC extern void execute_2176(char*, char *); +IKI_DLLESPEC extern void execute_2177(char*, char *); +IKI_DLLESPEC extern void execute_2178(char*, char *); +IKI_DLLESPEC extern void execute_2179(char*, char *); +IKI_DLLESPEC extern void execute_2180(char*, char *); +IKI_DLLESPEC extern void execute_2181(char*, char *); +IKI_DLLESPEC extern void execute_2182(char*, char *); +IKI_DLLESPEC extern void execute_2183(char*, char *); +IKI_DLLESPEC extern void execute_2184(char*, char *); +IKI_DLLESPEC extern void execute_174(char*, char *); +IKI_DLLESPEC extern void execute_2186(char*, char *); +IKI_DLLESPEC extern void execute_2187(char*, char *); +IKI_DLLESPEC extern void execute_2188(char*, char *); +IKI_DLLESPEC extern void execute_2189(char*, char *); +IKI_DLLESPEC extern void execute_2185(char*, char *); +IKI_DLLESPEC extern void execute_177(char*, char *); +IKI_DLLESPEC extern void execute_178(char*, char *); +IKI_DLLESPEC extern void execute_181(char*, char *); +IKI_DLLESPEC extern void execute_182(char*, char *); +IKI_DLLESPEC extern void execute_288(char*, char *); +IKI_DLLESPEC extern void execute_324(char*, char *); +IKI_DLLESPEC extern void execute_573(char*, char *); +IKI_DLLESPEC extern void execute_574(char*, char *); +IKI_DLLESPEC extern void execute_575(char*, char *); +IKI_DLLESPEC extern void execute_2330(char*, char *); +IKI_DLLESPEC extern void execute_2331(char*, char *); +IKI_DLLESPEC extern void execute_2332(char*, char *); +IKI_DLLESPEC extern void execute_2333(char*, char *); +IKI_DLLESPEC extern void execute_2342(char*, char *); +IKI_DLLESPEC extern void execute_2343(char*, char *); +IKI_DLLESPEC extern void execute_2344(char*, char *); +IKI_DLLESPEC extern void execute_2347(char*, char *); +IKI_DLLESPEC extern void execute_2348(char*, char *); +IKI_DLLESPEC extern void execute_2349(char*, char *); +IKI_DLLESPEC extern void execute_2350(char*, char *); +IKI_DLLESPEC extern void execute_656(char*, char *); +IKI_DLLESPEC extern void execute_657(char*, char *); +IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_34(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_35(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_36(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *); +IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_46(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_49(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_62(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_73(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_183(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_193(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_194(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_195(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_196(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_197(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_201(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_202(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_203(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_204(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_205(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_206(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_207(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_208(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_215(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_232(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_237(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_265(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_266(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_267(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_268(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_269(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_270(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_271(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_272(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_273(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_274(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_275(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_276(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_277(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_278(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_279(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_280(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_281(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_282(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_283(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_284(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_285(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_295(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_298(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_302(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_303(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_306(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_309(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_311(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_313(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_315(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_320(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_323(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_329(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_334(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_350(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_357(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_358(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_359(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_360(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_366(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_994(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1000(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1006(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1020(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1026(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1032(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1038(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1051(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1057(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1063(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1078(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1084(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1090(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1096(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1110(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1159(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1165(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1171(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1177(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1183(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1189(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1195(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1201(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1207(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1213(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1219(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1225(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1231(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1237(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1243(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1249(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1255(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1261(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1267(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1273(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1279(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1285(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1291(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1297(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1303(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1309(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1315(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1321(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1327(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1333(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1339(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1345(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1351(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1357(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1363(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1369(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1375(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1381(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1387(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1393(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1399(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1405(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1411(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1417(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1423(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1429(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1435(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1441(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1447(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1453(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1459(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1465(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1471(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1477(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1483(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1489(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1495(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1501(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1507(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1513(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1519(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1525(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1531(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1537(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1543(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1549(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1555(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1561(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1567(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1573(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1579(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1585(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1591(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1597(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1603(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1609(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1615(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1621(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1627(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1633(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1639(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1645(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1651(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1657(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1663(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1669(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1675(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1681(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1687(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1693(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1699(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1705(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1711(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1717(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1723(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1729(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1735(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1741(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1747(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1753(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1759(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1765(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1771(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1777(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1783(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1789(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1795(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1801(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1807(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1813(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1819(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1825(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1831(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1837(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1843(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1849(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1855(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1861(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1867(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1873(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1879(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1885(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1891(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1897(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1903(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1909(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1915(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1921(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1927(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1933(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1939(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1945(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1951(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1957(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1963(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1969(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1975(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1981(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1987(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1993(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_1999(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2005(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2011(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2017(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2023(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2029(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2035(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2041(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2047(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2053(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2059(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2065(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2071(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2077(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2083(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2089(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2095(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2101(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2107(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2113(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2119(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2125(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2131(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2137(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2143(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2149(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2155(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2161(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2167(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2173(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2179(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2185(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2191(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2197(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2203(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2209(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2215(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2221(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2227(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2233(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2239(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2245(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2251(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2257(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2263(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2269(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2275(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2281(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2287(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2293(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2299(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2305(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2311(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2317(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2323(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2329(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2335(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2341(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2347(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2353(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2359(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2365(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2371(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2377(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2383(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2389(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2395(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2401(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2407(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2413(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2419(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2425(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2431(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2437(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2443(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2449(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2455(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2461(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2467(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2473(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2479(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2485(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2491(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2497(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2503(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2509(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2515(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2521(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2527(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2533(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2539(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2545(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2551(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2557(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2563(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2569(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2575(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2581(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2587(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2593(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2599(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2605(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2611(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2617(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2623(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2629(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2635(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2641(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2647(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2653(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2659(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2665(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2671(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2677(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2683(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2689(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2695(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2701(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2707(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2713(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2941(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2947(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2953(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2959(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2965(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2971(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2977(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2983(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2989(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_2995(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3001(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3007(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3013(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3019(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3025(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3031(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3037(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3043(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3049(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3055(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3061(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3067(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3073(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3079(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3085(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3091(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3097(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3103(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3109(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3115(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3121(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3127(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3133(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3139(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3145(char*, char*, unsigned, unsigned, unsigned); +IKI_DLLESPEC extern void transaction_3151(char*, char*, unsigned, unsigned, unsigned); +funcp funcTab[581] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_3821, (funcp)execute_3822, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_1958, (funcp)execute_41, (funcp)execute_1959, (funcp)execute_89, (funcp)execute_1983, (funcp)execute_1984, (funcp)execute_1985, (funcp)execute_124, (funcp)execute_2035, (funcp)execute_2036, (funcp)execute_2037, (funcp)execute_2038, (funcp)execute_2039, (funcp)execute_2040, (funcp)execute_2041, (funcp)execute_2042, (funcp)execute_2034, (funcp)execute_126, (funcp)execute_127, (funcp)execute_128, (funcp)execute_129, (funcp)execute_130, (funcp)execute_131, (funcp)execute_132, (funcp)execute_133, (funcp)execute_134, (funcp)execute_135, (funcp)execute_136, (funcp)execute_137, (funcp)execute_138, (funcp)execute_139, (funcp)execute_140, (funcp)execute_141, (funcp)execute_142, (funcp)execute_143, (funcp)execute_144, (funcp)execute_145, (funcp)execute_146, (funcp)execute_147, (funcp)execute_148, (funcp)execute_149, (funcp)execute_150, (funcp)execute_151, (funcp)execute_152, (funcp)execute_153, (funcp)execute_156, (funcp)execute_157, (funcp)execute_158, (funcp)execute_159, (funcp)execute_160, (funcp)execute_161, (funcp)execute_162, (funcp)execute_163, (funcp)execute_164, (funcp)execute_165, (funcp)execute_166, (funcp)execute_167, (funcp)execute_168, (funcp)execute_169, (funcp)execute_170, (funcp)execute_171, (funcp)execute_2043, (funcp)execute_2044, (funcp)execute_2045, (funcp)execute_2046, (funcp)execute_2047, (funcp)execute_2048, (funcp)execute_2049, (funcp)execute_2050, (funcp)execute_2051, (funcp)execute_2052, (funcp)execute_2053, (funcp)execute_2054, (funcp)execute_2055, (funcp)execute_2056, (funcp)execute_2057, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)vlog_simple_process_execute_1_fast_no_reg_no_agg, (funcp)execute_2098, (funcp)execute_2103, (funcp)execute_2118, (funcp)execute_2120, (funcp)execute_2122, (funcp)execute_2134, (funcp)execute_2135, (funcp)execute_2136, (funcp)execute_2138, (funcp)execute_2139, (funcp)execute_2140, (funcp)execute_2141, (funcp)execute_2142, (funcp)execute_2143, (funcp)execute_2144, (funcp)execute_2145, (funcp)execute_2147, (funcp)execute_2148, (funcp)execute_2149, (funcp)execute_2150, (funcp)execute_2151, (funcp)execute_2152, (funcp)execute_2153, (funcp)execute_2154, (funcp)execute_2155, (funcp)execute_2156, (funcp)execute_2157, (funcp)execute_2162, (funcp)execute_2163, (funcp)execute_2164, (funcp)execute_2165, (funcp)execute_2166, (funcp)execute_2167, (funcp)execute_2168, (funcp)execute_2169, (funcp)execute_2170, (funcp)execute_2171, (funcp)execute_2172, (funcp)execute_2173, (funcp)execute_2174, (funcp)execute_2175, (funcp)execute_2176, (funcp)execute_2177, (funcp)execute_2178, (funcp)execute_2179, (funcp)execute_2180, (funcp)execute_2181, (funcp)execute_2182, (funcp)execute_2183, (funcp)execute_2184, (funcp)execute_174, (funcp)execute_2186, (funcp)execute_2187, (funcp)execute_2188, (funcp)execute_2189, (funcp)execute_2185, (funcp)execute_177, (funcp)execute_178, (funcp)execute_181, (funcp)execute_182, (funcp)execute_288, (funcp)execute_324, (funcp)execute_573, (funcp)execute_574, (funcp)execute_575, (funcp)execute_2330, (funcp)execute_2331, (funcp)execute_2332, (funcp)execute_2333, (funcp)execute_2342, (funcp)execute_2343, (funcp)execute_2344, (funcp)execute_2347, (funcp)execute_2348, (funcp)execute_2349, (funcp)execute_2350, (funcp)execute_656, (funcp)execute_657, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_38, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_46, (funcp)transaction_49, (funcp)transaction_50, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_61, (funcp)transaction_62, (funcp)transaction_73, (funcp)transaction_183, (funcp)transaction_192, (funcp)transaction_193, (funcp)transaction_194, (funcp)transaction_195, (funcp)transaction_196, (funcp)transaction_197, (funcp)transaction_201, (funcp)transaction_202, (funcp)transaction_203, (funcp)transaction_204, (funcp)transaction_205, (funcp)transaction_206, (funcp)transaction_207, (funcp)transaction_208, (funcp)transaction_215, (funcp)transaction_232, (funcp)transaction_237, (funcp)transaction_265, (funcp)transaction_266, (funcp)transaction_267, (funcp)transaction_268, (funcp)transaction_269, (funcp)transaction_270, (funcp)transaction_271, (funcp)transaction_272, (funcp)transaction_273, (funcp)transaction_274, (funcp)transaction_275, (funcp)transaction_276, (funcp)transaction_277, (funcp)transaction_278, (funcp)transaction_279, (funcp)transaction_280, (funcp)transaction_281, (funcp)transaction_282, (funcp)transaction_283, (funcp)transaction_284, (funcp)transaction_285, (funcp)transaction_294, (funcp)transaction_295, (funcp)transaction_298, (funcp)transaction_302, (funcp)transaction_303, (funcp)transaction_306, (funcp)transaction_309, (funcp)transaction_311, (funcp)transaction_313, (funcp)transaction_315, (funcp)transaction_320, (funcp)transaction_323, (funcp)transaction_329, (funcp)transaction_334, (funcp)transaction_350, (funcp)transaction_357, (funcp)transaction_358, (funcp)transaction_359, (funcp)transaction_360, (funcp)transaction_366, (funcp)transaction_994, (funcp)transaction_1000, (funcp)transaction_1006, (funcp)transaction_1020, (funcp)transaction_1026, (funcp)transaction_1032, (funcp)transaction_1038, (funcp)transaction_1051, (funcp)transaction_1057, (funcp)transaction_1063, (funcp)transaction_1078, (funcp)transaction_1084, (funcp)transaction_1090, (funcp)transaction_1096, (funcp)transaction_1110, (funcp)transaction_1116, (funcp)transaction_1159, (funcp)transaction_1165, (funcp)transaction_1171, (funcp)transaction_1177, (funcp)transaction_1183, (funcp)transaction_1189, (funcp)transaction_1195, (funcp)transaction_1201, (funcp)transaction_1207, (funcp)transaction_1213, (funcp)transaction_1219, (funcp)transaction_1225, (funcp)transaction_1231, (funcp)transaction_1237, (funcp)transaction_1243, (funcp)transaction_1249, (funcp)transaction_1255, (funcp)transaction_1261, (funcp)transaction_1267, (funcp)transaction_1273, (funcp)transaction_1279, (funcp)transaction_1285, (funcp)transaction_1291, (funcp)transaction_1297, (funcp)transaction_1303, (funcp)transaction_1309, (funcp)transaction_1315, (funcp)transaction_1321, (funcp)transaction_1327, (funcp)transaction_1333, (funcp)transaction_1339, (funcp)transaction_1345, (funcp)transaction_1351, (funcp)transaction_1357, (funcp)transaction_1363, (funcp)transaction_1369, (funcp)transaction_1375, (funcp)transaction_1381, (funcp)transaction_1387, (funcp)transaction_1393, (funcp)transaction_1399, (funcp)transaction_1405, (funcp)transaction_1411, (funcp)transaction_1417, (funcp)transaction_1423, (funcp)transaction_1429, (funcp)transaction_1435, (funcp)transaction_1441, (funcp)transaction_1447, (funcp)transaction_1453, (funcp)transaction_1459, (funcp)transaction_1465, (funcp)transaction_1471, (funcp)transaction_1477, (funcp)transaction_1483, (funcp)transaction_1489, (funcp)transaction_1495, (funcp)transaction_1501, (funcp)transaction_1507, (funcp)transaction_1513, (funcp)transaction_1519, (funcp)transaction_1525, (funcp)transaction_1531, (funcp)transaction_1537, (funcp)transaction_1543, (funcp)transaction_1549, (funcp)transaction_1555, (funcp)transaction_1561, (funcp)transaction_1567, (funcp)transaction_1573, (funcp)transaction_1579, (funcp)transaction_1585, (funcp)transaction_1591, (funcp)transaction_1597, (funcp)transaction_1603, (funcp)transaction_1609, (funcp)transaction_1615, (funcp)transaction_1621, (funcp)transaction_1627, (funcp)transaction_1633, (funcp)transaction_1639, (funcp)transaction_1645, (funcp)transaction_1651, (funcp)transaction_1657, (funcp)transaction_1663, (funcp)transaction_1669, (funcp)transaction_1675, (funcp)transaction_1681, (funcp)transaction_1687, (funcp)transaction_1693, (funcp)transaction_1699, (funcp)transaction_1705, (funcp)transaction_1711, (funcp)transaction_1717, (funcp)transaction_1723, (funcp)transaction_1729, (funcp)transaction_1735, (funcp)transaction_1741, (funcp)transaction_1747, (funcp)transaction_1753, (funcp)transaction_1759, (funcp)transaction_1765, (funcp)transaction_1771, (funcp)transaction_1777, (funcp)transaction_1783, (funcp)transaction_1789, (funcp)transaction_1795, (funcp)transaction_1801, (funcp)transaction_1807, (funcp)transaction_1813, (funcp)transaction_1819, (funcp)transaction_1825, (funcp)transaction_1831, (funcp)transaction_1837, (funcp)transaction_1843, (funcp)transaction_1849, (funcp)transaction_1855, (funcp)transaction_1861, (funcp)transaction_1867, (funcp)transaction_1873, (funcp)transaction_1879, (funcp)transaction_1885, (funcp)transaction_1891, (funcp)transaction_1897, (funcp)transaction_1903, (funcp)transaction_1909, (funcp)transaction_1915, (funcp)transaction_1921, (funcp)transaction_1927, (funcp)transaction_1933, (funcp)transaction_1939, (funcp)transaction_1945, (funcp)transaction_1951, (funcp)transaction_1957, (funcp)transaction_1963, (funcp)transaction_1969, (funcp)transaction_1975, (funcp)transaction_1981, (funcp)transaction_1987, (funcp)transaction_1993, (funcp)transaction_1999, (funcp)transaction_2005, (funcp)transaction_2011, (funcp)transaction_2017, (funcp)transaction_2023, (funcp)transaction_2029, (funcp)transaction_2035, (funcp)transaction_2041, (funcp)transaction_2047, (funcp)transaction_2053, (funcp)transaction_2059, (funcp)transaction_2065, (funcp)transaction_2071, (funcp)transaction_2077, (funcp)transaction_2083, (funcp)transaction_2089, (funcp)transaction_2095, (funcp)transaction_2101, (funcp)transaction_2107, (funcp)transaction_2113, (funcp)transaction_2119, (funcp)transaction_2125, (funcp)transaction_2131, (funcp)transaction_2137, (funcp)transaction_2143, (funcp)transaction_2149, (funcp)transaction_2155, (funcp)transaction_2161, (funcp)transaction_2167, (funcp)transaction_2173, (funcp)transaction_2179, (funcp)transaction_2185, (funcp)transaction_2191, (funcp)transaction_2197, (funcp)transaction_2203, (funcp)transaction_2209, (funcp)transaction_2215, (funcp)transaction_2221, (funcp)transaction_2227, (funcp)transaction_2233, (funcp)transaction_2239, (funcp)transaction_2245, (funcp)transaction_2251, (funcp)transaction_2257, (funcp)transaction_2263, (funcp)transaction_2269, (funcp)transaction_2275, (funcp)transaction_2281, (funcp)transaction_2287, (funcp)transaction_2293, (funcp)transaction_2299, (funcp)transaction_2305, (funcp)transaction_2311, (funcp)transaction_2317, (funcp)transaction_2323, (funcp)transaction_2329, (funcp)transaction_2335, (funcp)transaction_2341, (funcp)transaction_2347, (funcp)transaction_2353, (funcp)transaction_2359, (funcp)transaction_2365, (funcp)transaction_2371, (funcp)transaction_2377, (funcp)transaction_2383, (funcp)transaction_2389, (funcp)transaction_2395, (funcp)transaction_2401, (funcp)transaction_2407, (funcp)transaction_2413, (funcp)transaction_2419, (funcp)transaction_2425, (funcp)transaction_2431, (funcp)transaction_2437, (funcp)transaction_2443, (funcp)transaction_2449, (funcp)transaction_2455, (funcp)transaction_2461, (funcp)transaction_2467, (funcp)transaction_2473, (funcp)transaction_2479, (funcp)transaction_2485, (funcp)transaction_2491, (funcp)transaction_2497, (funcp)transaction_2503, (funcp)transaction_2509, (funcp)transaction_2515, (funcp)transaction_2521, (funcp)transaction_2527, (funcp)transaction_2533, (funcp)transaction_2539, (funcp)transaction_2545, (funcp)transaction_2551, (funcp)transaction_2557, (funcp)transaction_2563, (funcp)transaction_2569, (funcp)transaction_2575, (funcp)transaction_2581, (funcp)transaction_2587, (funcp)transaction_2593, (funcp)transaction_2599, (funcp)transaction_2605, (funcp)transaction_2611, (funcp)transaction_2617, (funcp)transaction_2623, (funcp)transaction_2629, (funcp)transaction_2635, (funcp)transaction_2641, (funcp)transaction_2647, (funcp)transaction_2653, (funcp)transaction_2659, (funcp)transaction_2665, (funcp)transaction_2671, (funcp)transaction_2677, (funcp)transaction_2683, (funcp)transaction_2689, (funcp)transaction_2695, (funcp)transaction_2701, (funcp)transaction_2707, (funcp)transaction_2713, (funcp)transaction_2941, (funcp)transaction_2947, (funcp)transaction_2953, (funcp)transaction_2959, (funcp)transaction_2965, (funcp)transaction_2971, (funcp)transaction_2977, (funcp)transaction_2983, (funcp)transaction_2989, (funcp)transaction_2995, (funcp)transaction_3001, (funcp)transaction_3007, (funcp)transaction_3013, (funcp)transaction_3019, (funcp)transaction_3025, (funcp)transaction_3031, (funcp)transaction_3037, (funcp)transaction_3043, (funcp)transaction_3049, (funcp)transaction_3055, (funcp)transaction_3061, (funcp)transaction_3067, (funcp)transaction_3073, (funcp)transaction_3079, (funcp)transaction_3085, (funcp)transaction_3091, (funcp)transaction_3097, (funcp)transaction_3103, (funcp)transaction_3109, (funcp)transaction_3115, (funcp)transaction_3121, (funcp)transaction_3127, (funcp)transaction_3133, (funcp)transaction_3139, (funcp)transaction_3145, (funcp)transaction_3151}; +const int NumRelocateId= 581; + +void relocate(char *dp) +{ + iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc", (void **)funcTab, 581); + iki_vhdl_file_variable_register(dp + 701048); + iki_vhdl_file_variable_register(dp + 701104); + + + /*Populate the transaction function pointer field in the whole net structure */ +} + +void sensitize(char *dp) +{ + iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); +} + + // Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net + +void wrapper_func_0(char *dp) + +{ + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706376, dp + 710584, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706432, dp + 711536, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706488, dp + 711088, 0, 15, 0, 15, 16, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707760, dp + 711312, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707816, dp + 710864, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707872, dp + 710752, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707928, dp + 710976, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707984, dp + 711424, 0, 0, 0, 0, 1, 1); + + iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 708040, dp + 711200, 0, 0, 0, 0, 1, 1); + +} + +void simulate(char *dp) +{ + iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc"); + wrapper_func_0(dp); + + iki_execute_processes(); + + // Schedule resolution functions for the multiply driven Verilog nets that have strength + // Schedule transaction functions for the singly driven Verilog nets that have strength + +} +#include "iki_bridge.h" +void relocate(char *); + +void sensitize(char *); + +void simulate(char *); + +extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*); +extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ; +extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ; + +int main(int argc, char **argv) +{ + iki_heap_initialize("ms", "isimmm", 0, 2147483648) ; + iki_set_xsimdir_location_if_remapped(argc, argv) ; + iki_set_sv_type_file_path_name("xsim.dir/tb_firUnit_behav/xsim.svtype"); + iki_set_crvs_dump_file_path_name("xsim.dir/tb_firUnit_behav/xsim.crvsdump"); + void* design_handle = iki_create_design("xsim.dir/tb_firUnit_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv); + iki_set_rc_trial_count(100); + (void) design_handle; + return iki_simulate_design(); +} diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o new file mode 100644 index 0000000000000000000000000000000000000000..a9f97708d37957c5b7332b318e1a8328f23eb48b GIT binary patch literal 46472 zcmb<-^>JfjWMqH=Mg}_u1P><4z`(H)!FB*M9T<cd1Q|Z_%l!)W=={CYm5G7Dqgxat z<<V;jqF#UqkKWxCo46QY><ut>^kI-rkLEWVD5{%}aDXL1Mtbya-*KIb!J~J-$8j!( zEXFKG$DJS}9e038Fmo4(1vPtf!EKP@-3>%2Za$*m(YtxWO|XU?5Dfy3JNX$H7@($u zm?)-CxCK_c;S6D?Kuo`I1FYc%5gK|oCqNV{oF&$D2Z-Avh|tixnE?_q6Npd@ak~P< zvnPnsAOML=NR%PPeCK^|%z$GH%z~&34F<(}=WmbBub?<=0EaR(5qR|4B4V`j9n=IA zQ6v=zlS6~E7y^(}hetOr$RJpP>;^jv#hm6N3j0$S7$7MVVUkDl5sv8C!weP0nYnr? znML{~N%3i!MWK0_CGknA8Hr{3V40%Socv^v4PYK_b;V^Rl?AB`41~-}E-EWdDa|b) zq%Jo#mm#w_GdDMvA-5Q0KPcOQ=utcx0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs zFd71*Aut*OqaiRF0(e8f-PzenK_fJ&G_Ryo!O&RGSkFM$tQ5>OsxvatGceJF3WJSd zVPIeoU|?WiWnf@%_X}lUVqiD`5@QC-GBAihX~wD`2F3~jMrj^)jtPtm3=#|s3^GtT zD-i9<C(z7P!pFe?(PIG;V_;yA1koOR0s(v+3^5E03;`fM0|Ucm(BL#!PcWZ^6Q4ph zpN12kK|Dwy$=rB80Y{L<AUAD*ny&$(!R9A{q!>&X7#Plg#26SDR)T0(K7lqSM?Q&W zW*0sMCVxH+M?M25J_|=aheAGu2tEl%sG%UWSTM->EDRt2W07KD#xnBG1y%?OWiSIy zfX1g87}(%^7y}aSYz*u$0VoX)PX<;7u>YW9AW9BIFtEbI7tCc~U{D4#SQ#q7G=lJA zU|<kJawj+r85qFkg3<#*C4^<iz`!8L0E%4*Uqc8&yFt?rgohx5afp{g?S<I~@ecz7 zXb=>ey^T=yAoD<`gVPNI1H%Ly=7ZuG<S#ZRkZOp3K&-1c)c?dG&d&%5A3dl!;4}kD z+l-L>0x}aBYv2&~#UY-9L%bJ<_);9=+i{4W!y*2N5fqPzR0YcGAb)(oq5c;RaTX@* z;lPJOTmpx<5)N@49O7m;#2s*md*cuf!y%rCLp%qEcsUO7CLH3uIK*e*5MPW#d>sz) zojAmg;1EBLL;MyF@uxV%Kj9Gnk3-y;8GHJ1z#;C9Lp%b9crp(00vzJCIK;bfh)=^I zz8Hu21{~shafqM7A$}c)_!AuBA90BP!y(Sif<0cuafmD95ZA{cZjD3S9fx=@4)J&# z;@LRF%W;S|;}Gx1AwC<2_;MWLn{kNm$02?ihxm0I;*W8NzsDi|8;3YMEB1I7#vv|` zLtGn&xH%4SXB^`GIK-oIh^ONaFUBEWk3+m0hxl|H;)`*Jug4+28;AID9O9R8h~LK{ z{u+n)cO2r(Y}n(SABVU!4si_};-)ynop6Zz;}DO*A)bjtybOnUGY;_yIK=1T5MPBu zd^-;DBRIq_;t;=wL!6%-dwe<I5ckC)9)&|Z9fx=c4)I1D;(a*8XX6lGfkS*N4)H@c z#Lwdpzk@^kB@Xd#IK-Jbu!p|@4slr=;#xSw&2fmk;1CbQAs&ZAJR6621rG669O9F3 zh|k9%z6OW*P8{OLaEM>VA^re|_*)#}zi^1Nb7GHQ5gg)*IK=gEh+E?j_rM_@ibFgJ zhj=~?@fsZBojAm&;SgVpLwo}c@x3_2PvH>1hC}=@4)G5-#Q)+D=i<U1zv4K=m2rsc z;}EyTA?}VtJQ#;~JPz?}9OC6T#G7%5PrxBQ7l-&N9OBz?h#$crei4WGJsje%aftuG zA<oK;J${97h|A#+*TNz0jzc^Qhj=Ou@e&;3%{ast;1FMrLwp|&@v}I@@8A%BjYIqw z4slK%?BOqgLtGt)xET&{R~+IYIK-22h!^1yZ^R)!0f+c}9OCP6i0{QAeg=p5Z5-mS zaESlHA<o5%Jzk`6h-={xx56Rrg+n|Fhj<na@hTkRT{y&N;SgViLwpww@l!a&Z{ZMs zg+u%o4sk9%9N~{cTnmS|6%KJP9O6+p#ItaSSK$!v!XZ8jhxjTS;=6E&pTZ%23y1hC z9OA!lh;#Ad2!9;nS~$e5aEN>15Rbwko`pla3Ws<X4)Iwy#8=@E--Sc`6b|uQIK*G! z5dVckoJ#;l_~Q`Q!Xa*jL);68coYutEF9uhIK;bfh|j_yz6yu<ZXDugaERZ<A^r}B z_<tPY0)p7XUlE765e{)@9O5B3#8YvIm*Eg^$00rghxkey;=6E&pT;462Z#7u9OD0Q zi1Q0!4`&4&;)XcHop6W;;}B25Azq3@ybXu=bR6O<aER~3A$|&n_-!2GZ*YkJ#Uaio zj6Iy?afln>5O>5O9)v?Y8HacY4)InT;?r=5FUKLi1BduY9OAcdh`+`m{s)IRuL$;V zmct>gk3-x6hj<_k@gyAL#W=)UaEMREA-)WU_;wuPCvb@0#3B9)hxl(C;yj|*!&w%G zxE>C1dmQ2cIK&fih!^1yZ^j`$1&8=j9OBz>h#$uxeglX2OB~|AaENn@VGm~+9OAk- z#O-j1`{NK#z#(3UL%a!x_+%X7OK^y9#UXwShxm0I;xBNB|HL8AC5}CurE!Ss;1IXP zA?}AmJRXO50S@s-9O9F3h%d$=z6FQ)Q5@peaEL$0A^ro0IHv^maF)U$u8l+728XyW z4)Hh~;`unl8*qqE#38;2hxle3;zw|ZU&SH*42Sr49O4|3*uz;8hqx9FacdmnJ~+f< zafs*P5U<A}J^_dLLLB0oaEKqqA$|pi_){F>-*AYtOJNUZ2^`{@IK-`Rh<oD@kHI0H zi$lB)hj>2@@dY@<H{uXKghTu?4)G^A#J}PYXOqSr&f+-4HE@Vq;t==3As&rGJO_t( zEe`QM9OCnFh;P6leh`QFB^=_9afpAxA<im;J)FgGh^ylex4<Fpi9<XJhj=y)@fsZB zy*R|@;SgVsL;L^^@ryXbAK?)Hj6<A77JE30;t*HEA#RRC+yjSrBo6T`9OBhD#Cvdv z&&46W4u|-D9O4&nh(E+3{t1UTvmEwt7QrE|ibLEChqyZq@dzB^nK;C&aEN!~5TAoX zd@T;~eK^F=;}Cy<L;NESaVB}};Vg_pTm^@?DGqTr9OB_P#4~V+SK<)w!XZ8zhxi&C z;(Kw3pTi-3ABXq{9O8@$*uz-}hqy8haT6Tkt~kWQaEPbl5U;=?-ibqe77p>%IK=nh z5I>7U{2mVR_c+8E6tRc1AP#XQ9OA|}#9eTRhvE=V!y#UdL%air_)Hw)t8j?##vy(N zhxlC_;_q;X|HmONpoBgA6>*3g;ShJmAs&K5JQas{84mGw9O5%@h_A#Uz6*!=X&mBr zaEQOfA^s1CIKMLXa8|$}ZiqwN35R$v4)GKm;-xsm+i-|a$05D~hxkq$;-_$k-^L;S z28Z}x9O8T`*uz;KhqwU_aYr2DK{&*dafp}T5O2jHJ`IQXavb72aEPD8A$|*o_-h>E ze{hKNs$vgkIUM5pIK&-rh=UJGi#OCuX3+C-HUJTZAi@Yl7=s8C5Mjy?@9yL8<meOc z@8%Zl8WJDk=;Y%X&yZG{mmHFq#E_Yt84o_nEwLmO%qh!A$%#+P%t?(eODxJvOv*`( zFG@|%EG|hc0?QYt<`rj_WLBjzloury6r>i#gN%wd085tT<fq3MCugLll;)(ymlP%D z6(=T_Waj6^7iXsDCFaDZB^H;&gRLk_%qdNcFUibJjW=K@&de>%fw=&#zn~~TIkmVr zwKzVp1fsGkwJ0BKQEEkMa%o9wJX{GwZenI0SSlkmu^>J(FS8^wF$d&KkPnMfOX5N2 z)TLw=#pmQFCxV@nnHFD^nhWwn3RojZQE^#3=(sva02CyaWW?ts=0XAnBn>*GE*^AB z9fpG9%v`6U%#`%h`1I70@RX$RqD)wbB^RY8mZZj~q=Lc#Zc|Zmd`VGeVorQ=era9_ zL>L+hFr|K_xj~>)_7Y1{JyRH9UN(ZWjNvR3ILj2yGJ~_s;VcU{%M#8qG(a#7;Y=eW zCc;J|gq21JJB<()7$IyhLRevpu)-K&t}((~V}!ZJ2y=}Qo-wvSa*(lwkr4wpsU_#< z6_>;pWfa2_LOd*#3?NA@FCUb8<MZ<46Vub-o-?#GMYz)h;UYszQ-q@}5KKc$3xop= zEiDjEG_<rpIMNU~Obn1*Z)9MMhz%nHW2EphFh;n<$iNt3r;&jPBGilwkX&hGV1fua zBLk!WGc-b2V~7+hhDgz3h!ih|ND*U*6f=fMQDcY{H-^Y|A^Fb`$$N%KzB5GfoFS6m z43WHMh~zUvB##-IAO*PzQiz)%1-J>4e@zgfYG{H8R6`R)m>QZQg4ECyDc(&H0cvQ9 zWUncb`KCzbBPAb0q$Fc#hGf1OlKEyx=9?jzZ-(SAGbDeRA=zt&WUm>Lzs!*QWsYR8 zIl^8e1EfGSGC&GNBLk#hG%`R6M<WBIfHX2d3P~daq@Xl1KnhDE1Ejz-GC&GVBLk%1 zG%_$n_}9q56yaeb1Ei2PGB7oVWbfk4+=3i<A&)<AgUbUP8VvCj21W*!h)^{$G(bd; zk)a{7j1eM`jSP(tF=~XIEsPA2@`Vv{#xO$84Mxb>!3a4&7$Ii}Bjg-mgq$Ue43T5g z5IHstks}Z}D;Oc?1ta9lV1%3-j0}+@5IH{>86roZA#wy7B1fPhQeH7KL`wWdhDfR3 z$Pg*{8yO;{e<MSr3}9r4lmm<mk+Oi1AyOVNGDOM*Muy0_z|b5yvdobq*c>TA8k!>| zNJDc(7Bn(6N8~{xLv!RrVUEa!Mury1DZ~OP9~xR9y8x*&F)~E1Oi)~7f$RVaWCvIv zI{>MgGBQN2jF8-n>|{eEccC~7$y8&cip&%#yiAcCXo?(K#z+;3kug$DVq}a|l^7W# z)g?y8NQJ$TF>*m=jGVNMkyEoVa&csgTpSrA6-Q=BY0(T;mVg_h;HGM7S!!NMa$-(S zQetv816Ul|NHsP=<(i^$%}}`(4B&<=*Z=|sn;4*IH8Dix8liHHQMss&GC_5e396$^ zEK&5DqS|YUYOg7(y{4%4nxfikifXSZs=cP>sP<Z*a#3AnhUzLaR9Bgyy2>2YRfZO5 zd`nd2hL-5^sNOKNMD>TEC8|dZEm3`9WPs{ABLh_Xj0{liGcrK6&&UAPJ|hEE`-}|G z?L!SZBSSR(#%T5%qv<z8Q*VZ5pBcJ(H2cg@<I2b!HL{G%(Za(V%{^%GWn_*P9_DE7 zGe>iuIhy;-(cEW_<~|EF_gSF1&jQVTXz9nu0?mCEXzsH_lSfNGMwY1VGe%1v#%Sro z7%l#d4N(1KY>4W9V?)&RWsH^{jEzwJZ)}97-U!Wnj4}>QKUz*UHbwKFDVlj`nb{aE zy;-7#pP_*Ps)dFIXdz-~faWDb10&QlVrYPt2@FBKC~!FpEyxW)4N^1#)O2NNV1^n{ zh6ZRU&CmcXX&4$<qB|YE@Gvw)Q(<U`=5$bZ0mWgUb`PomS`IS=wLVZ)prv6$LkrYM zF~lh4(2Frc^m5102rZ!*8X2M)YGj0(H4Kf6QPZlS5n5qnXk>yG$VO-tl%Ww?y<%vD zRvj1`p_N#MMrcKhp%Ge{U}%Jvi4Bb`(OrsG%p0QTQ$zHOX^5Wl42_M@3^g`FOJkt+ z4ob`!8>5A(F<NzQXpB}z8ycf!NJC@vglmjeWE&czm9d7#XoaGou?4zI(W^~kOEj07 zpjBjsCTJy<p@|`yp(bc$k)a7%VPt4xjFzNK(3(buCMM{HqBT<tP0>Lld+r(9i@u zN132iGKMDTIm!e*N133N`-Y}y4Ff|{w3^(|6g@|oqUR`6^c-c1o}*0BbCfB1jxt5h zQKslQ$`n0EnWE<?P#Yg5otmQOC{y$tWs07oOwn_c8CuO}XognR8JeNhWrk+xIm!$@ zN0}L;6)0xtIm!$@N137LC{ULG#kXeYIm!$@N137LC^PgNWrm)k%+Pa`8G4Q~N6%5_ z=sC(9Jx7_N=O}aZ9A%E4qs-BBlsS5iGDpu*=IA-f96d*wqvt4d^c-c5o}<jsbCfxH zj<P_{Q5NVq$^tz{S)k`A3-laifu5r*&~uapdXBO{&rufWIm!Y(M_HifC=2vD$^tz{ zS)k`A3-laifu5r*(Q}j~dXBP0&rz1>Im!|}M_HohC`<GlWr?1nEYWk6C3+oYiJqe@ z(Q}j~dXBP0&rz1>Im!|}M_HofC?f;39A#vHmZOXe&~lWK0a}hSGC<2wMxcRw<l+K6 z7LO)?T1SBgJyBJl<tQTqv>at*fR>|-4A63vkpWtcGBQBRQAP%6Im*ZoEk_v{qU9(f zL$n-aWQdldj119olo4p60L62l5r0$xv>XK<cSKczmZOXe(Q*`c&<|A$T8=U@M9Wd& z(JxdjXgSIVqjQhZdpAPMQAQa3ZzHrEWn_ewql}Eua+Hw~T8=U@Ld#J`Mrb+8$OtV* z85yDFC?g}Z9A#vLmZOY}&~lWK5n7HiGD6EyMn>p4${0OIq4!UXjL~xxdJojd7(GWB zqt#JH#%Ohv5opj4B`S^4>L?>)^c-c3o}-M>bCfZ9jxt8iQO4*w${0OInV{z=6Z9Nq zf}W#H&~uatdX6$dtD}re(CR276Z9Nqf>uWvnV{z=6Z9Nqf>uWvnV{z=6Z9Nqf>uWv znV{z=6SO+Y$P}%PGBQQaQKo2hl#wZVjxt5hQKslQ$`n0EnWE<?Q}i5Vik_oP(Q}k3 zdX54OaigR{Q}i5Vik_oP(Q}k3dX6$f&rxRRb(9%;jxs~9qs-8Alo@)CGDFW%W@xQG zBQx|IWrm)k%+Pa`8G4Q~L(frW=sC&^Jx7_L=O}aZ9A%E4qs-BBlqI_7EYUq@iS9Yj z#6C(?TB3W-65Vr_=$->D0zfqb-E)>`o-;N;^PI5(n&*rS&^%{sfL2@>8=w^z#s+A` zg)wMB0kXr4LDRly0;s)tW6<O)stUB?!q@<<xG*+AD=v%;(25IV1GM78*Z{4#Fg8Fd zE{s9bttjp=L@O?g4bh4VV?(s!!WgvD0o4q&;=&j-*@~(Ht++4-&3}Rp^F}(;T#JE$ zft!JW;m>~v5QoZxjx`6J@edP+o#hWY51a={J?xx$kT~cnPMCVodHNuu(8XOrf(#6x zdjuGe%?F(~50V0@2c3BjGp7Y4$iTn=5*I|W7j{i8y7(g;>OtqVgQU>aJAe-A$6|gi z4)J+7#82Z8|ARwZ5EQ^z!a)ow4sxe3l7Hop#6joa!@@@cNgQ-108HEqDh{GRX2HY* zK>|>FLAMRS#6jns!@@@l$=(F0dXO2Qv;k9}4iyJcpxX&x;yEAzXn2C|TY!ldL&ZT9 z=yZ9Qcm+rRsvdOKKTI5SK03@jQb_KZ1yv79x1cKqVCokliSr?;Ux6gfi6p)rDh{GR zw-&(6*#Z)Px<?vG{UIcAP+owkKZ7JLi=-apb`S<dzdVQm#UQ&tOpv$&hylf*I0Z35 z;));!6obML!~}^eff!H>(+d)Z+~EL`faOP!xC%rFOd5bm2Jnpzs!%qFg5ARbQm+OQ zfMUqq&k*(MP%#kY0U{V6;xM~FLa=)~K;~$I1fVzqN`u5faR%iwBtRJ;acw9YL}h>o zsQEfjHi#+!5m0emC>un<?yUfsqX!az;sz)U64!^aK~x8bfSLmf6Oa(-z6cNvQf~-i zK=BMH4H7qkvO&}W5CJvE7|I4wD?kKP999N`gkblMfXo43QVbGgU|`q*r9t9mP&SA< z03x8~m_ykh>I8^@io@a#By<5pK*cSgY!Gz=L_o!1cWQuy9)Jj_xHXgwqQDn8L&a@C z0#N(`N`u@9zPlO9{{f{z;-EV~pj=1-ftq6v7i3`I04aou!_pW?S^!Dh5hMV`5=i1s zP%#jtfF$k=5`bdRJsTh<NZJL&fMNrX0MuSs9R!lHKoSStRRR(NVOYHYl6D6PK(Pl% z0BQ~_FMy;1ki<Pf0#F=*Bo3?NKvD@v;@%(uD9%6<_koImr~)K$UyuM4S0IV|LB&8+ z1CqEuNC1jEki=nm79<6_vj;?jqys?=D4qclfciHGDh8q!Ac@27UIB@(KoSoD2|)1% zB=JzF7>L?|BpwD5fZ_v4;^9y+5Oo4c99Fh~#4jL;M}h>P_y&@A6jTgEJwOtV1_?m% z3nX#SeMC@U2GIQ{AT~%k7Q}$!A0Po}_{2fQz!bDz07=J#1t0_mk~pjk1jz^>i6?>t zpcr&73Wy0ZCke!WVg)4i;0wy3;;{ArNImG<bEq(b0g`&qJ>@WQ3ncM0m>>fK1L(dK z5Eo=lI*0+q9!Tn8Wi?1D07*O(Bml(`Na9&gF%Si7SAfjV1_?lM29o+5s2GSUKoZXd z2|zKd{Qxp24=M(t8j#fIg9M<s14$fKr+}m;Ac+@(1fUpn?+S<sGQSAKfZ_#6>OprC zL4_G$?G2Fn5||(Z1H%R+^`%JSJCMZ7ki-uliG%J$f=Qh~5{K1&Ah`=j;*}r)D87Lt zUIi5cQ4f&Bt3d)#`~pe51}X-kJ|KzLf&`%W2a<RlR18dk8u!qAQ4bb?5FAM2usRwf zBY-3hy3+|H2Er0Z;!Pj{C{{ocZ-$D2C=DcWSl<C8Zh$1-3KD=~3ncM2s2GR>-7^EC zLGjoQVnDG6l6qKM0wfiHB;E-UfMU@7Gax3&oGuUpiW893cSFTMR0fiG4@dxtLHE*t zm>_d{K@2FaKvLfa6$4QXNaFn<0VwW35{I>UKvENs#3zCTpm+w7_#~(ph+2RoJ{cqc z#Ve4+r$EI(6zF~%5DjwwR1gD-cOa>s1{DKQ2av?4g9M=X1d=$cZ3dFMfFwQ>Bml)X zki=&}#X!^pB=OlG0VsZfBt8c!2BJP7iO&TIK=BVG@p(`&Fa_!`LDT<yumFVMKoW<w zAwe<%Na71Y0#Gc0B)$kL2BH*@#214EpjZP*d<j$xL>VB7F9iueu?3R&GN>4cazGMa z4ibQ34<vC|TNfl1fFusP3k@V5fh4{PBml(;NaCxZVjwC5Nqh}R0E!Ec#MeT_KvV^i z_&SgP6gMD=uZN0(s177?SeqOqJ^@J_Hnso~pMfO42_yi;3y{P&L&ZSU3MBC@AOR@e zfF!;ZDh8r<Ac=1S2|zLUl0|6x*$x#0Q74ep!}<;&@e4@eJ3#_ad;>{*7gP*HJwOuQ z4HAIj7f9lJpkg5E1Csb&kN_0_KoZ{v6$4YC!3C)M_k#r>1P78htd9ed5kL|@2oiu| z2_*4DP%#jtfFynxBml)4Na9DJVj#)@N&F~C0E#V;#E(J6K$HWL_;HW`6nh|v!}?+% zsQ@JLlOO>ojzAJW1r-BP2}t6nK>|>mfh2wgDh8qoki^e|1faMAN&FmC3`8{`iJu1v zKye3>IIPbIlA3@dei0-9#WRq^FG0mX)B+^&%OC+LUV$Wj1u6!jHXw<E?pg$if$$C_ z@oOLfC_aEBejO?XqD~-*!}_)$@e4@eH$ehWd;>}R7E}yGJwOt_4HAIj7f9lFpkg5E z1Csb%kN_0_KoY+P6$4YCK{06hzYi9G5FAM2us%3QMgU3tAxHp<C6L5nW1Ao;1tjsu zAOR=_-LnK@g6i2PAO;j0AgO-}6$4QgNaD{x0#NLLB>o&K2BJKW#9?CvAn^bs@s}V0 zD2_l9e+3l-Q3*)muR#J(oPi|%1}X-k3XsI#f&`$r0!jQGR18ElAc?;R2|#fNk~nNk z10)5y-w8y6!tEo70mU<r)PI7Cfv5#Y;-5hRP`m<3{0meJL~TG4{|XX-;vGoh-=Jb3 z>Hw1XcaQ)SpFk3ajlF=RE+C16?koj~f$$9^@n0YTD1Lw>{u?R=qFx}0{{aa=@dqUF zzfds{^#e)#A4mX(L4&-|^#30w#=yY9fg}zdjD*SwAc-@AA^|Ecfh5j^B(8uY&Wt3k zfh5j?ByNBt&Wa>%fh5j`Bo4YS3*-cl5IcwfaTpjFJdo7G#<D<C0Z8JUNa`by#JP~f z6OhEYk;F5Q#Ced!3y{Qlk;E&I#QBiK8<50da~~jgb|8tv#@s;S6OhCOk<6KaBrb#` zz5q#F7)cy-PZ!8MkdO$70C7P5UnKRSNa8z?#Kn-r4<Lz)BZ;3t5{Hcqf~>fJBrb`h z{sxk`6q5J@BynjZ@fS$qGDzYdki=z?#D5@(%OQ!wu0jS0$%6=xBSGmOIspI@f{kH< zq(JwBfoPDpB8UKS7#J8Nkkl(7i7Oz9D<g?(Ac?CWi5nn^t0IY8Ac?CXi8~;Pt0Ref zAc@1qT0u??KoZwPQXhdNu7xC?fF!PsB%XmJu7f0AfF!PqBo4Zl3}in@NDoASI1CI7 z4M^(sk;FTY#9?E?AT1M+#0`<u&p;A4LK0tqByNl(z5+?y1W9}YlDH|7_zom-GbHf? zNaE&5;wO;AVPn@I_g_E~w?tBZ14-NpNgQ+^8b}{V$Qnd|I1CI7FObyRAc=oK61PPX z|A8cKha}DbnrwrX|Mp1Y97y7@F?NvE0!ZSHNa`h!#GR1D6_CW8k;FBS#9ffY4UoiL zk;E;K#NCj@9gxJ`k;Fmwuz}nQ5`xVIfcODO>ODb{AVCHOh6p5aFC_5<Byn#f@eCw! zA0+VtByrH4;ZWllDv-qekkmIIiTfjocOZ$w<}5&FO+XS4L{dKkNjwNid;yYpFp~HR zB=Ha=anSv5AbUVUp&$ap0nL9QsSiUEKY%12jwF5pNgOt}0y63Xl6WMN`Wr~%QApws zki?^r#9ttZ#~_J=?vVr80}_e_5g-l&1H%s_^>Ikz3|tTeAfb2=0pT)mAc@1~fIw0L zNaBe|>LrlGlaRy}ki?Ub#5It_Q;@_Bki=7w#4V7-(~!g+ki^rG#66J2VRKa=_Xi+} zXCkSOKoZYF5>G%9&qfl@KoZYE5-&g!hpo*3*;|1mo`<Bq0ZBX`NxTC|95$y0G6!_u z9f$@gEd&uD4g&+j3?%hMNa72S#EX%{S0IU(Ac=245-&v(-+?4vh9rIfNxU3M`~;FX zZ0--_)C)-Bl}PGuAc<EYi9bLRuSODofh1moB>n+OycS6ubk82hevnWdhyZaw7__Je zTK?A~iE|){!{#VK$_0?b8<EsYAc;32i7Oz9HzSE_Ac?mii5nn^w<3vKAc?mji8~;P zw<C#rAc@1~QbFzyKoajnQXhdN-i0KdfF$0HB%XmJ-h(7wfF$0FBwm3e-iIXKfF$0J zB;J7}4x94@xqkwZ_(UZ2Gmyk5A&G<T8wBYC2~7qOAPxfq!wMwzQ;@_rAc;>!65oL& zJ`G9y0FwB0B=HkS;;^}CkkuEE#AhO@zkwt^3rYL|lK5;S@fS$qbCAS8Ac@aK690iD z4qF=rat{M&ksLJr&qq?vfg}!_LkFoBKoVbwq#krHB1j%2v<O6iI1CI73P|b~BZ+Gu zi7!DCH$W0!iX?7<B)$wu+yO~^Ig+>sk~nOxALOI}B=MC<>LZZES0RZfAc?O=63;*q zUxOrGfF!;aNxT9{d>xW_1Csc9B=HU;aoCy!ko!URH-c!8(v2Vj!~vE6Na{Bsi7!AB z-;5-_0!e%elK2KB@vTVWJCMY;A&DPA65oy_ega7xwsr#K)C)-BJCW4iKoZ}DB>n(N zd^eK#3ncM9Na7!m#P=eJ|3DJoha}DbS_}$J|ND`|IgrF*Ydk>i7eEp}h@@TuN&FC! zxB`;+VI*-4B=I9i;s!|KN0G!Wki?H6i8~;PA4d}RKoW<oMFF`#07?8LlKKcF@l#0R z2}t6wwWA<&GLXd2AgM1v5<iP1UV$Wj4oSQLN&GyLcn6X=Y|RYF-U&$J7m?J@KoY-% zB)$Mi{4$dG3MBC>Na7oi#IGWW??4j2h9rIfN&GsJ_z5I&*xDYD`!67g-$YV>14;ZA zlK2B8@!Lq^FObCVAc=oK62FTi{sT$;9+Ef%Xb~zj{oh9t=RgvNtw930UjRw`A(DCt zB=JW`;tELOkCDVRki?%Li5nn^KSdI^KoWn3B<_GD{v1i%14$gVRtn_)03`93Na`by z#9twaCm@NxMiS3J5`TjvUVtS27D>DUN&Fp>cmtC7dnEA=Byrf9E|B{tAc=oOQa=Mp z{1cM+0wnRzNa8Dy#J?bkZ$J|NiX^@RN&Fj<_yHvG??~b&ki=nY&p__KfF%ACN&O8Z z@n1;d50J!vBZ<F25{Io_2buo?N&GL8`X5N*|B%EPK#TaH>Hj~HI0uqAcoP7~aL|4P zBymRQnj(;t1d=!tlDGnrI5U#C29h`nlDGkqI4hF41(G-$lDGqsI6IQK2a-5!Eg#68 z0Z8JUNa`by#JP~f6OhEYk;F5Q#Ced!3y{Qlk;E&I#QBiK8<52Lk;FTY#9?a=LGGV` zBo5n~01}^pBrb$x&H^NHVI=VtNa7+$;v0~}MUljJAc@2FK7i~!fFv%Cr2YhwIBabs zNc{yQaY-cgH;}}oki;J#iAy7izd#a~K@$IfBrc02{sT!Iwnqcx9tP-weo)evM^ev$ zBo14{2~sbBB(8|0UIIy62}xW5Nn9C8Tmwm51xefhNn904+yY5l4N2SqNn9OC+yhA* zw$>En{s1I#O(gXZNa9*Z;t5FN+DPIVNa8w3;sr?Jx=7*`NaA`(;tfdR`bgp(NaC<H zu^{(PKoU1ZQa=Mp+z3g00g|{elK2WFaT6r*4M^gqNa8z?#LbYz4<Lz~BZ;3t5{Iqb z1-btMlDH+3`Wr~%R!HIxki@N##9ttZ+aQU5KoYk_690iDZigh!0NShtE&uJ2#5s_} zVQY**?g!mJ45C5##Suxp1d@6uByj~Kac3lP4J2_FByj^IaaSa93nXzjByk5Mad#wf z4<vEeT4<2F1CYc$k<>>ZiNp3}fz&4;iF+fd&p;CQK@u-O68A+CuRs#_LlSR568A?E z??4iVt=R^-X9AKqY>ya79CWWThz7+=5Ry3ykkkhwiLXEs4?z;&fFvG@B)$Vl9JU7y zWZwZK@o*&dCy>NpYs*3EFCd9WBB{TDBp!t%{s2il8cF;Gl6VY~_y;8MSS0ZuNaArw z;tZh8Y0&f^k0j24Bo12x4|2Z%l6WGLdI==)BqVXrebFF&pm<3}76%DJ_xB+QGr;!p zfW$x;wigJtM*}1V!mzy@u(gXIF%X8ejbLlWKw=;aFLU*ZD|1T{lNj`hONt<L28>md znv<wkl3G#1pqG+ZlE|Q!R9wuUSCkLpfRr2RS)g(aVO$11uwJmjqSPD)z2yAd+|;}h z2EDxel2ko+zfj%c643dk5Po`Isa`=*enDzcNhMTkYDRoo5$JGxXt+>Hf%e^j!W_En z1#TQ{FVl5Upuk1p+o0egpgo14C6h4qFbM(Z;(yq>a8R=XWDj(Dl>xR75VS`RBo1A6 z4AagajxGR|0jY&D!4zmu9mq^{<;3a-?TrJOiM$sMWH4-<KJr>>kT}RL5Dn4;qCx%! zvC;K!hB^p3%>Ysg#vrvI28;&nWdgC$f|&ufUK|v*AoVbe&Ij%30qKWY2UErXTMw=Q z&2KOXP<+GKAR4q631lY7A0R#q7eF^%R6sZJf#MJ3cMt}tfyEzaj}6Fv<h_R=c@EH~ zTLuOOQ2c=SFbq-)V#8?AUKSV|Bo4zSQ2j7Ij7C=r+KT~NvVtCd7Et}@{)M?8W*%rQ zKFCaT{Si?8$ZNVldeHTQ_7GqTzZcL&+ORz>pzs3?t-$od!VjC>AbTP}o0AzB7!shH zA3@C$(9|rtevlY?+=KM*fNq8hMBW?=Ex$qXFbpd0vFT5ME+$7_yARR>axaL6VE`Ll BHK70i literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..297b9e992f1375e4e2d92ad2b3dd04198712feae GIT binary patch literal 36976 zcma#Z%*o8FQ1A@S%vEr4a#t`gFk_g)CYSN$|Ns9x7#J8<urM%KL@+SS;9y`lVZy-R zV1bD*STZmu_%bjQghFsc7z2YtI0J)11O&@4Fff2HBO?O?2&*z+f-Xh|27h4&h5!zT zoGb$a0|;9{xC~4T5WOJ%3=E85(f|Md{|7O^atsU%Fg{2OgjqoZ6oc5zP&P=tBFH=j z1_lrf6=vXMU|;}Q&&I&Oz{|kE0HQ%^)u3uY>Og!!1_lNl1_rR3LKqkrK<2t3sR5}2 zxdntl>Op>BVPIhJ$D_uQfq?<!#t1xWY@lvR#G}TZfq{XYfq@|l>OPQLKp5l}5C-|n z7V6J3JZfAS7{KAtgh!1x0|NsW0|P@J9yNLl3=G^13=FgIr~!o!4+8_kYCLK{;RAB} z9z1HS7#JA%7#JAN;!$JGz`(%Iz`$@1j~WpM1_l8J28Q?0xCMnDC~XKcFff4J0b+yV z9h44a86oixQUk)EFb1UskeVb01_mK$yo1z&<Utsu282Oj2Qm+2t~DbA11>c#3=9k) zH@V<ZlgYrqAj-hN;D<*IC{2hlFfc^mQIpNUz#z`Rz>tJTO%4MCg9HNuLk=D_xeN>p zk_-$CWq8!&F)%PlF)%PR;8By$z`!64&D)?b1BDeR3>OHm1O+jO3CE!P1By401Ss!< z;u)0xRG@JU%IhEuG6$pyl&=khR&s*U6-YgdHeg_20HsNgIUunMLMu5zY%OS>0F_H1 zGe8(54>Aj87f2sS93%#!K^Ue+L3kx6$UUGq2Z`fT1Ip`!)PV9jx*Aa42bl}93uG@S zuM<)O%Ik#Gfbu#aHK4psNDa2|L3cB@@IhAt%Ik#e0_Al=YC!o4rsgjwei<R<9w_V{ zh^^!V<xyQ|IR~o4bQux#%x(q-22gqgm0h5`3!_2ifiTDnP+Wl6Aak(!3#JAXUm!K0 zybe+i(+6Uss{!S8kT^aypuA2<4JfY@QUl8Cgw%lYIw3WnyiQ0BD6hlRfcyr+p!}i_ zt?xi}C`b&X22{?2@;gJxUMEoA1c`&xg7Pk?b%0APs62+LHH4P)ptJ?j0}_XuE4;uF zR91k*K;{||aDypGA+($^Ml%;=Hr!m^1&;1WYE1~38wXVjN&_G>KxRRO!0jDS+XEa9 zlViI<^$kc4q!v^j;ZvIiQV4D5fXYmqY6Vw0g3=O5Imld4I>)JYa%?v!4q<9RVU161 z1xO*(4WN94t`?LZz<z65;@F6!7L;ev)q=`zuv)2Qj@?LVLG>NFT6ma6SU62XQVXj0 zaH^ed=d=(>EvWv(skU0jX$_KEP(27rkDzn}(gUL5ZqQJ7+JdAOR39R%1*rpJu;1KQ zFo4=WAmyOA0F_4|^FVwM2IUJ74OTljw)+T(0}WqLorO>B86>rG&@>4Z0;e+&8)oiR z5C>|mJOQ<jkkl$+Q49B*z)H>!NNSbwsZG>#`iG<zRKKJ94Q?)nu@ete5)@{jz6X>G zHUSi7aJ6^LoTQ+VAhn?O0hEiWw$I#26DkQ(tAWM6AWh&fbFgp%weLWDkXlWAY6Vtu zdLx+&YI8ujAnmAeA+VA&8Y&4gSDS#jp!OQb5Rh6>{{^ZQB!ppZ9aIve7F4f8xtMAv z$9DHZB|&OoWi?nKwA}_`gTrBRZ1)@_wXm`pBoD%%xB$^GwX2cTg34+r7o-H$y^~|R zcR?jVZUB|PP%c)r=b(}xwXpIRtPqQPA0ep)mGMw6SUI|Tzd<EI=9=M)i^;LwTuhK& zEJ!V=^dJ3vN&@;`_Mhr_(sZV@E4pt=rOEl3Sq?cCUIIV829@)KDtsEkBbtBIr* z)JH>B3#$`B<}=KV?KS}wTA=n30|Ns{9mpJzJ3#>f3I}-IRI=B}21zZb4#lU|1xYQa zd;rx&FuOtF0yp>nWyc^SwXpI5mm5-%)Pl+fWOG4b2r?I}<o{*IGB5+u?t_&N=;nfz zl<alt0W%<Ky`XW7t`;0-C3~IbA*ltmpRt7lOzmnUwLS#Q-G!vqmw?)nNNW8EsJ()u z7B*Ia%WwCQ)CLeR7nXKF=@C|6pqmQ{8&F#gmUcjD38gbwdH|^<l+NBl-3w9+N@pPZ zaJk_hl3HBp46LMNuM-!j@__aaK<NydxiGa7NNNeCGc_c&uzCpHy)bhPkkqQ<hzpon zD<rkBIt!P%?nr83brvqQu}ErRWi=@OB9{-aw4RHk7S^uDrM3#H7Sy-GRu_V#!RETO zIJSZ~3=9k)wb<%HkTh8B(gltaKpd!AY;_?>8m#uhLPuEo1(}PjZ3I#aig$+D3mvC{ zI8bx3)tew`u(=Ej42wY=s9J3GCP*5r)^360RuBiO7M3PKVGF{b@)slxc7w(O$HO2F zR4ptnK<YpkrWR~20|Ub~B(<=70a6FTFtuQF-CUfWAgRSx$AY9`=6(lppl*QW3y_&0 zjAE|3ixVR>QGvo2TOA8B3T7^>z5uBOl@FkD9h9~~ZUp6RkXjI%VRCG@0Ft@p&^{SV zEvUW&sRf%mIksCFNi8hDf!qqhDDHjc>|}tX7SyK)+XqqzZ9l-xWnf@%LQ)GWqo7K` zZ5WVRu>RxDPJT#gL1PzSaj0UL8^G>mU|@(xQVT0fph{8A-Qnz%iKG@Z9)!<aSosSI zUszd<)!aGGP8CSzg2tloncIq_)&VUpKz4z`0qnQQvE8t`8zc@Yqmbhm)LsIq1;ryM zj@yyUbwV>2RL6tV!pxnEq!w0AqWcYOu9>sbIwZBAF&TV*JA$MZG?s=_t&+3TZ6vjz zablcmd7Yg;A*lt88Q@g=)5(bw>`6%3=K-w)(ESEha@)yC0nC7?^~9%khm(^zl3G}M z2dlX&oSgiS)WX_3Sk+E(a!N%~3v2ISRomp`RD+}z*51LYHqFUtGLl+Ydk3ppdncz= zNNQp29jt1#otzFLsfD$7u&R}Ca=L+}HV|L<GC4WDM^YPvPwhuXCpJ(69$Kab<5PRb z(Mc9bEoiO+XWF^o=wyPVHWZ(^haH`~k<^CaQ@hpCDG5n!I6k$D9i1wX)MA?hgXiO7 zN2du$Y9sNP8}I0}97$~yKDDlnPWzD5M&na!<>+)3No@>1wHl62Z;;f+;!`W)=)?@_ z{6OOvH1>xx-aa@uNg}C@$7k+U2Pb_bwF&st?sIT*MN*4xt`Qy=iyWMyk<^05A91?3 z(7~w?No_JdH>5c@wIiub!Kc>C!D%j%+EjdM-B&PdMp6qJ1I6iHV+W^`NNUsZnXBsH zbRS7=20pb?4o+W@)PlzHak_!c!HFB%Fb36ypmA87YQNb#DI%!_jqT%9``q5i97!!` zj31}ki}p^wNNPc2{W#U`uy;yEQVSaM$EkL?y;CKUTF|&LPPM)EPW?z~3-E<+nZ46e zB(;V3)P~qQ?M6~tgio!5z0*Y`wZ-_<>exFyM^amYPp!1Q(_bXDrTElx**gh?1}~uH z18DvpXPE7@bJ9doTaM4%PCF-iB(<P%d7S1J*g1tFsjb9kuD_jAHj>&Zd}=N1oEnkT zR^wCq*Vbt|lG+-4YVX)OtwmB>i%;zyTc^WFYU}W+?Y4EgiKMn3pV~><PVbS_HsDiR zt?k4L>c~Lj7&PyJGcK~VourY}g4Sx_RGVVsWQe4;8J`<GZJgYZ)VAPLYi#2bi=?&{ zpISZ}r(z_vZTQqavv%r4QrnJC?R;ye`ABLz@To1ZcG`-hwiBOPH*2TUNNT(AskN|n zdWfVJGzWz<E*Pwxz9Xsa!DsGkD<@u1XBC<rdhw~9VdbQZq!zR;2d5j_teh;7)b`^u zx5~=NA4%;5d}>pzoKlh0PQ<4+)XJ$EN$n(jYNf24CL*bwj8E+wOQ+>XYNy~+d&<&j zFOu4+_|(p@bh?bB7BqK=GkohTon9iTosQ33B}=FONNQ)`Q!8ZYBnoN_K-0rad}<$9 zIB6rP1+Brt>E81ePL4=wXX7(>qlHsAlG-`=)XubU%0*H;7oXZ93#Vozwe#?)^{{Z7 ziKKQuKDA;NPV14>F2JXj*}~~4l3LK5FU~MKXYO<xN$nzh=58=|`iP`<F+R0j=1%OO zfevUKFTtla!`w+0N$pa6YHiJ(43N|=!>9IxnUgb;+U5Avo-lI?LsGi}pW0qCr%WWZ zEAgo<FmtLwQo9PDT4OV(ek8S^wS+k1?SQG%LL{}Ixq6&x7n(Y4MpC;LpBtJ@osJ@@ zU58I?nyJ%uB(>}DsSPr9dWocV13tB1O`LussojWA?L!kMUeF*JG@Wh2r?%9@Nghe< zW_)ThO`Hso)PmMG;0)go6DMaRwOjF-D`VmmillZMKDD8HozjuiZpWu~j<HiEl3LKZ zXPoZMF?Q-kQo9oxcA#-XkRH&w8+iYCa%}f(B(=NnxxvlYX%~`O(AqMPd05AoWQ?8e zA*lte3BjqhNzaK1<S3{+_u_Lys-BY?lG=Uv)SBx#c_FFYk5BD)U8g)GwFmI2y{hXp z2}$iid}<HsI&DKzdkCM}MqQ_yNNNw`QyZk~^an{TXdMmC@O9C3k_Qb?L&NtdK67Ps zot%)=9>b@WQ`adKN$qibYCq{Xbs(udfluvo9jDbuYER-*dq>CV9Fp2o3=9mQA!%4z z2T38P^}8SeXgHk4XYMu~r=Lh_L2Hk2hVL{TCsEL%GpM;|@tGT^<79}W_8dO7tU6A< zNNUgHQ~N;MDHBQU1+;kvkQ8z_)Pn?|?!Abn7PKY~S?x?DwU^M;g4V<#tKEX6_A;7U z(3&`8wdax4UO`g}S|^UI_7##^(Aq9g)WG5xw0;g*Ei1?aP`_P6GZ(ae4q2@NlG^KN zYC-Ggkkwivsl9=w7PNj2S#2ni+M8%<LF?y`)#f9qy@jS0w5}XkZ6}i2+h}S*YweKL zE=E#&2Td(#tsS!3y+~^BqNxSVCnKx9j->V;np)6&9J1PvNNVq+sRhlABCF*FB_?RR zJwQ_nnwLaYtBR!dAp-*gX#N(K9zb)%$ZB<v)PmOf;mpT<>Q0VGY9FJy0W_zLY_12A z+9zmk0J#TQEvSx!7S|vq7=z|ZLFpKmI7mNOA(Q~A2bFEO#6e*XRSKp+>Oo;lEpc4# zq?R}+oq-$<GY{l`eBlFA2hsz=F!j)GDo6;7LE@lp4X$v*WzMMh|NrEKKefUQl;6M+ z2qi%A2+CKu%*UlZz9heZp(H6jEwd;zFSCRpu_!qsGagAGJtrxL0V*4B2xCFjLB$zz zGV@a7<4w(A409;M%mBtPX7J3*EXhpFF*A%efU_;*4Pk6^(|98o+r%v1n87c#Bq%jK zvA8%hJ<rrE-V{~9Jl+gdz#`rpRlqXd0!6^cAl{N8IX|zYC_e|})p$c>9@Inm1*t`e zC7ETZAQcQKym&)YKFntpP==v_DVzlh2txx{NEjL*)LFvR8N!0c&=9oF2NadT&hg1P z`N`P~!OrnTsl}-!AVy|hL1{^FVs1fBDjGK|F()$xB$k$$Q<7Sgnu1V;DgsgH9vsBr z9umyp9`4Ez5ai>+;2z`}9O573%1{trS`c57Tfh+D;}h@W@9gc)5D?_=?iBCt<K)BO z?Cj(14`I4F2RlKTE-=~?BIO<&6rY(_0<r;2gKPjZV77ocULlU|@gXq15T3I)!~_V> z1!^9I=NpVH7Ze<V#C3KI2nh{xMG^^e4R%FV5$xgVhRhEQaB&Pl(Gcnv;)-Huu&a+D zl8M2tK1QfqV^ppQ5;rv1H3;N6WCLJg$fm%=kd1<gLCp*Dca9JDbP4fb2!XRe0SOfZ zGlN4MgF+ZQp-ILQnqoZTkrNCG50VW0<53d_1G)f2S+H|_K~a8kYH@L9Ub;(u9ysyk z<R_*CXJn>96J}<KV@gU<YH=|r5oYEk7ol)7OM**tK{8-n2&R8&NkM4|gD1NA{_$Xi zVB`JcGxHFpgLnwTK|F}rP#vYY3=kI7WdC?Klnep&P*8kwX;D#XUP*9CVo54Pa8P_+ zY6X-NW0RbpS6pIXr@#PZ8rmr^xcj+)$|Xn$$9p=3y1{}Q%!zjjb#rF`1u?>LC_Jz# zumXf%KnmbIWCftWf=C9J<{~5tV3{8#1PUamM?JwI2H_w}L!t;G3udE8mgX`*r4aUj zOB;wPAB+SM?+^01KW2QPCJ>0SVCVS6l$4;<;?kUw_{?}i1B3Xycmq%}KovH`E^LHd z*ciL833g#q?80W)h0U=GTVNNq#42ov-Is>geQAi@mxkDVX^7pIhS+^+h~1Zl*nMe; z-Is>geQAW<mqyrqX@uREM%aC6gw>ZuSRG=F)g8uIonegC6~<T{VT{!c##jT|7;88i zV-03wtf6d-)o&(P{bqvIZzfp%W`fmkCRqJug4J&(Sp8;#)o&(P{bqvIZ>CuNW{TBs zrda)Eiq&tXSp8;-)o-R){bq{QZ>CuNW{TBsW?21ZhShIoSp8;()o*54{bq*MZ)RBi zW`@;oW?21ZhShK8Sp8;>)o<ol{br8UZ{}G2W{%Zw=2-n^j@57GSp8;>)o&J9{bqsH zZx&enW`Wgj7Fhjefz@voSp8;!)o&J9{bqsHZ<bj7W{K5rmRS8}iPdkGSp8;+)o+$q z{bq^PZ<bj7W{Jgbd7y>`YNG-qWQZwbgehc<DP)2vWQs0ij@F9Fi#Nxx&m6-#a}3+e zF)XvhFvk+Z97_yyEHTZ&aI>Wux-TrvF+?mdL@YrfxurQJ{-7>#UWySzOmGldzaiQ< zHa;^RoN5%XNXHkYrbinZg4BT18xd*@iBMxigc@VqYD{77!DAO(4IaDTYH-^H4j%ko zhbzJD8n_bNj)5z|?G|&Gw+(Ox4O|Isx4@O)b_-mIu>z=rRg{{T0_zikQV<e9+5pW> z$a3h(23gJsk3M)%K>dlV4=jh2T#G>+&7jou%;J*NqG*FyNXVdwg3~Z=8ACi$hB(bL zG{P-qj9bbCx0ESvDV#F69f8{oxSfF81!g#1V2)G90;h~+EI3&em*#>?oZ`~lXk&O* zG*DnDh&PDO%ws5sHv~}(`WgATsm1y^MkaZg$@wMv1&JjY`sJC$8Tus!x@8$DIl8&2 zsrsR=x_ODYsUR`X$VgGDZfZ$sN@ikSiGFcWvVKNNjy_^YRIe-}g+afdC_hWTBB?Yp zC#6{5($dmW&mi8@FC^Z;#K1J(z{12pKQphmBrzwaSU)i*C%zyju_P_OD7RQYEVC>z zB_FCqKP9mwQ6J<EutmisDe*=I1{V6oC5d?{iA5>&u&X4sq9ik)hBjrUrl!KYl@gzm zpPrc<Z)j*{0<toPMj?sIPTi#ZluG(ooL8EgT9la_Ut9u?M%w!n$wFjrQY!~Q2m3*R zN4;!?G$0Q#7?Q7&^D|P4OA^!44E0MA3-VJcOZ6j)Gjk)7^Me!fQj+p3z}Y3WqC~%< zI5W2_wJ0+!GZ~zZ;tLX!vlG)(i}iCeD^gS9a}x8?<I8eNDhpB}7EmiVP$mcT$|w&K zu+JS!Q!?`dit>~7iZgTdi!*cM4fT^!GZM?d9@PiU#(?Yst1U{&gp?o7KE?W`2IeNF zW(E)eP=Ms*r-K8jG%vF_Gq+gZ-Oq(gouDyJGPQyROv%&=8gV33t50Z%F_~Ijf&)w} zTn)+8?GqYeM7~xN^0k_gsns_$!p(vLz2;=<b#rlcB~z=jV^B~e1wmy>roCa#&U$6w zz1g6-Ru~4&*?}-<&m#zf)|!E^9wP$-2rpt}U;trhCI$u&2Aw|w!c5Ez3?LlN%)kJ` z8<-gwKv<B4fdPcGSQr>U_!<iX0|*PSGBAL!H!A}J2)D5^Fn};<Un>azVP#+dVJkKU z1`sY~V_*Q`4QvbyApDw*fdPco*%=r>IGLS+0fgtVGcbVgZFU9*5EkQLU;tr||3LnN z(I7TxeFcn9Dh<*LS~CRG2U?E<T9X1=*8p1c0~4n=4LZ*dbOr$EyhhL-c+kFm5F515 z-j0ER0kp^4lYxN&w09b`&l$9L7_@g6v?mv|e-^YS6|^T5wAT}~FB7yc60|1}wAT-` zhYz$j547hFw3iOFM{XG-1H&;^$a$ck^Cdv%Mu5&y0?q$|&SU_cX9PM!0d)Ql%x$2v z5<%_)xd-G%(EfWz(Afk~_ks47gZ7Mr+y~lU4ca#i+7AtKD=6Mz7<r9H0d##%1(Zf! z_XAUpja~p+s0KP$7)qn-L+8WHSOHqJ#=yX^14^T-1EmF+T4Z_$XaO4o1H%C*jjj*b zPssWXfEKecFfd$z(&+j?=@D5yjNJfQ{Kde)&;g~<^+C6L!<55m0qCAk2`G*3ALtfh zxOy1l0#yACD2=WUDhyK&r#ql~4ktiqbbU}^xOy1F1G<kb07|3lg9^ix!)XraekTDa zjjj(W3|9|hoPbJPfYRvt(AOiu^mRZbCO~O)eV{c<Fty0E160BTN~7z8F0Dt_0%re! zss|q&4L!>XECD5;%eA3A1hoNb;0`E_ZXf!39GK1wsDTAg8eJb~Z4pc@GOYkLPy<S% z>w_)@Mb-jlcN9TV`~)bCt`E8t5v&%Oa43f8^MKOm`k=)JvKBD=2UI;n2}D1-K4|d) zR*Ot*fU4gCrP1|4iw|TiVD=4Ycszj8==z|=2UsmKF##ITGoUoOK4|fQtOd;WfCh8` zlt$MFs_VcC(Ftfkb3kcyeW10n=vqMh9Z>ZLpftKZP#p?VhmJE!A>m&DrP1|)*3hEs z0r3^eAo?_*G`c=e{S8uwj&DHKKY-Hc`k=)sx;BOh<q-R3KxuS+pmqX=CI$uu59lE_ z0Z<xUANu-ExEYlY`#7L9x<1g_P`Fwo#tx|Z15g@WA80Kul5#k+pbBDN1(ZhD2U?d4 zSB%8asD|h>fYRvt(AV|Bv_62Ue*vY@^?}w3!qg(uGio6AEr8PK`aowDA*+Y618O1q zBA_(7KG2y=Ftx}uM;%0;0F*}82Ri2mSv`#X;sCTlfeIk&1F=Ep4nd_*s1F46fzC-o z(E#QAAfOL)wi#3@Ds>RQJ3;51p=yBe(A#I|?HtgVXAqUBBzpS{T_5NyY*ZZ(9(wx> zT_32e3Q>tlqPNe`^?};As5&4#^!6FLK2Vz&q7s!vZ=a#-1GS@3bwGF-&;q0YN~7xo zonr@4iAokg3y=yZjjj*WW=GWl;YC0TkOU}=t`F4Chp0p)9iRn>2b4zF2kIN3>VWVx zpaqBllt$MF>Q6vaqLKp80z?8zqw52myN0R*!utVL58hmWbk71r7IOYRjEzjAr)y~S z30DH@i@`Za4D@u3t`F2lLQ)QAqNh)EeV~37Trm;@J-wjo1N9M+l*5_m=>=UMs9y<J zjKn}sFX;L}eLp1Sa3*?sLDvWBFTxcgG0@Wsx;{`J6G=IoiJo52^?~}OaK%Ur^z?$R z&k0E_G7~+&qw8}<R*b}c0IlF&KxuS+pfll+l*5@PpcUK&D2=WUbUqwhF%n|~w1T?; zrJ>C<xC+oX0-S@yXn<C59Z(uwAE<ASq#Vw)fL3r0P#RqyXgmO}7>OaE2dR%Fpfs{R zP+u1`)`6rP&inwi=LeKV)(27q8Xp1C=y(ORLfrtR(e;7OnM2nD;&&K9+&KYCBkKdX z6ExNVQit9jPk>g$8BiKoA4olDd;~<J;}y`uRW?9rWPKp}Kw~N(J&@K4hy}qC&<b1u zN+at7sQ`_`fM|4_VGQwK0hC782eJ<~c7r}{Z~<!14JeJQ4`c`EygCq#j$i0Q?E3(v zk@bP>1D#z5(gSKcfoK@+fHpKHKxt%sAT^+|Fc6K7HB2G)89-@deIWZl<7Xf}=;IU) z&<2VJlt$JEQV%-c4n(8l69y3bE<kByeIWZlV|5@s==IwVs67nO1`e`5kb2O$cOV)a zdze7%3xLwd`at%9#)3h5(8q5!K<(K9rIGc4)Pv5$1JUUC12n(>fYQkNK=y&gAwhaT zY!D5@8PEn;0hC782T}t%Cl5rUV+CjfOan@z>jRychpq?2=P-waivW~H)(3JMXsi^Z z4x$Rgg5Voadmcb(WPKnNpz&1@jgC3YASMYwX=HsM`#|UFf%Kq{YfXSQh-N@(WPKp@ zps`#KjgCE_4Wa-jjjj)L-X6LhFdsCS3%a)pN~7xooxKNEheqsxsy_gw(e;7Go6)p@ zxdqUMR0Whq*9SV253CT4(113i44^c+KG6AmXj;JB2T=7dpftKZ&{=(8g=oYKXaj5k zlt$MFI=2r^3z!=KZGc5UX>@&{GyK2`(FhJ`155x)qw52W-J@v%a}Pk(pMcWn`at9T zV1;Nz1+?MT0Hx9Of#wL%w1Bw=(1x1@lt$MFnpXfTL?d26)qjA}==wl&5olV#+y&4E z-wG&=t`9VS0al1cL_iyS2~ZkcA85`4O$(SS0B!I|KxuS+pfdu&3ekuYQ1us}G`c>} zd4XtJz}yCCL$L!&qw52m9SBy4Mp!@_iVjd3T_5NiK{PF3?gyy)A5a=yALvX$utGFq z1+;;=0ZOCm1D!93rUlGRfHp8QpftKZ&{>0Eg=mBXw1KGrrP1|)&K*S40_I+Ts=ooH z(e;7OAOtH!BRZfB(+N--T_5N?LNqO4t^>4T>H($E^?}YN1S>=%en8cOC-9*6`5`L- zol}U+hp{(68?-y1G`fADGYesAk?9O*gSG%lqw52mUx=(8##VqfXf>cTx<1fZhA_3r z^bM%`2T&SaALv{|Wc4ui1ZYEe29!qE2Rh>rrWTp@fHs5!pftKZ(0PZ*>fvl?Lzn|f zqw52meF#^J#Ml8<e*j9O>jRyGh@>3OEPytkE1)#GKG2znaK%Ur4QK<}07|3l1D%hE zq#VwC09F42N~7xoos|ezjKr7$ZFnz$(&+j?=O!X4hcg494etmjjjj)Lh9X=s5`zQU z@D_m5==wnCDIzI{GY>%3pMcWn`aowZ!WAPiDxeMa1}KfL4|L8Vl5#lH0NP-;fYRvt zKxZz(6(ccTK-GVM(&+j?=Px2Dhcg#I8~Q7tG`c>}S&VSSNQ?+*Lq7pZqw52m%ZQ{L z&J=()^d+D)x<1eujc~<Cj1y4x7oaq{KG1oMNXp^N251MN14^Uo1D)LnSB%84fOZfZ zpftKZ&^eAs%HhlpQ1w5cG`c>}nT~M9NQ@QGj>QHjjjj)Lz9W)yI5Pp-vB-eZ==wls zJ;D_uF(jZJ3k4{Rt`BtXBa(7B^8!@;4JeJS4|E13Trm=(1KJ^(0Hx9OfzE?OQVwT2 zKszKJP#Rqy=xj*1VkE{7sCouy#{^v;=$uF-<#6T(sQMjH8eJdg%t*LmBt{0bBU1pS z(e;7Ok3>=qXDUEDG8#}CT_5NyNw{Jp#to?Y2T&SaALv|3B;|1C1ZW3n29!qE2RdUC zt{92o0qp<<KxuS+pz|h?lp~nX4iE>FM%T9pp%R6)1FHT2lt$MFI)@TP1C&z$?Ko9H zX>@&{Gby1;Q78>)$H@Rnqw52mPl=)d%6R}){{l**>jRxt2~~<h&46~e7C>oqeV}tI zQ8Yj~0niRt1e8YC2Rg$NsuYFdfOfb9pftKZ(0P_98lap5Q1vIEG`c>}*_KeHC{zWs zqt*bW(e;7OxkS+b<rqLaY8Fr$T_5PoOQ=#5>IGE&2PloM4|M(|iUufW0kng+0!pLn z1D%BlRf<AIKs$H|P#Rqy=v+(`4N#5%w1X!BrP1|)&d7u+MWIeW)n9<p==wnCWuj<+ zavGo=!wx8ot`Br}CR8a3WdZFNIzVZ3eV}tRQ8Yj~AE4@gKxuS+pffe0N>Qj4&<^DW zD2=WUbiO8v1}G;1+M&#V(&+j?XKg~2qEHgh4y6K=M%M>AcN0Yelyd>9{sxpr*9SU- z6RH%2>VS4cCqQX*eW3F=Q8Yj~4$zLM2b4zF2RfS*suYF#0aecc?U17D1D(@}q5;a; z09C&ON~7xoo!JRhib7>TJFo>%8eJdg{7w`NP>uq$1FHe0(e;7O@`Nfyp>9CcKY-Hc z`atJ;qG*6}CO|vBGoUoOKF}GTP^Bo82ejiG0Hx9OfzJCx(E#H>JH8xH8eJdg>`$0d zWO@fw{Q)SAt`Bq$D6)DOy8zl@u7J|$`ao;6VQP_S4QPki07|3l1Dy|wtRBXG09F42 zN~7xoofQgGi%idecC;5jX>@&{b3>8U!`K1Pj&=l;M%M>ALlmYKndX3Yv<09vx<1f( zqR8rD>;q8sC!jRCKG4~sFtx~Z1+;_S0Hx9OfzBC4Ru5wvKs)FbP#Rqy=*&@=T4eeK zRQ(4ijjj)L{wT6~7<&P<W4{7Qqw52mMG8}kOh-UF_6blLT_5ONQe^cowg9waF9D^| z^?}YPg{eiRPe9dQfYRvtK<AYrtB0{0paTLOP#Rqy=<HIMT4dS+Iw0TxrP1|)&M`$+ z4`Y9Ts{a9{(e;7OG=-@}rdL1*5;j0-bbX-nO_9~Z*a^^qgbXN+t`Bt9DNHRgEdd=! zP=M0t`atKNBCCh76`%tN8c-TtALtBJm|A3713Hjk0Hx9OfzCrkRu5wvKnD^mpftKZ z(AlUkwaByubRfY2N~7xoos){J9>)FvRsRD@qw52mnF>>jOs{|rKx}~0==wnCry{F| zu@j&J5E)P!T_5NyRhU|2Is-ZYQ2?dU^?}Y+MOF`E7eEIfDxfsFKF}GfFtx~Z1#|$S z0ZOCm1D&^utRBX0fDS-(KxuS+ptDzDYLV#<=m5k7D2=WUbPg-BdKh~GbO2%olt$MF zI+GQq7MY#_9e`K>rP1|0MOKW&UH~0{SOKNc^*uvUi_Dw>9Y|OJrP1|0M^=o)4uB3M zL_leDeJ_yIA~QLl0|^398eQK@WW`AA15ouRpftL^S4e7+nHA6hhz2N)uJ1LnVkEW! zbO6EvN~7z0gQOOj`2wo`1C&PB_ZC?(5_<u(gT4Yvqw9Nzq!yVO0quw;KxuS+?~xTF zu?3(VE(s`&uI~eqT4d%4Xoutilt$P05m_-3y8+tp?ts$h`aU74MP^z+8<-AI8eQLK zWW`AA56}kK4=9bU?+cPzWabKJLt_J!M%VWhSuqkj0a~GEKxuS+-;mTIGbNxEhXRyF z*Y_P+F%tU%v|zmfrP1~MKvIj$?0^;^6QDG@zMsg7k=PE<jN$>M(e?d8Qj5&|0ZT~G zgn_Q_H?m?R_6BHx?SRtg`u-rPMP_C|B`Tmay1u{2ijmkE9H7-I3=9QO8eQK%B(=y) h1x|=Q4JeJS??19)B=!xc`WH|dT^|F)SR@?~CID^^8+!l% literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..27ce65fd36b319fa07e3dac72478c40d7c93cc55 GIT binary patch literal 84391 zcmZQT10^+*U=a=|{opi&4;CCHMnhmU1V%$(Gz6#~0(1WV{|~}2gQZ~%C@rAQz`)J` z!C(IW{|^-&^pwY0Nd0k?3*yuY#<z0~J3?QI9#1d3{$^IMO5TJ7#Wk%0+}zA;JUbE& zG%$kc4n}7l34?@0#qL%x*l>s|J27#RCY#Ywk>H3334??KP6cHX6&#ybxW#)a|L^>K z+w%L_>f-m`pS?e``}NKb&uX6EdH?U-&wt-*o}YbT@P2i^uZZma_p|?N$q2gqm>XA6 z^l|Cu$&-bnQ*X-^C@IJN4vn|_cxS;!{`+g)AD%vDd?dd7-^Y2{y(il(e{4FE(mC1u zdDSn^L)X}4Vhvs#3c71wy}mB{$EJ@wg`b|^+q>(h<DBazZHr$g9?ACl{QvB+im#K- z#h(0sIDfs>|M%B8n{92)W%K^ZueGbs{u{D7=U*4!nIrkDq@R7yDi8QsR5i18y|AnJ zw~0#b%Rd;u-xcs;(Tk|pXXB#xlwW+Cd~dB;S?$gE>WPaL_Px12*(t`d-1NOhLB7o0 z9akEYOD>*V#Qi&C;^N>Rn+mlGGiBdTuXLX}>-dWkJ~fd^n~ns(uiER{>n6EayUKm_ z$NP3KcHfWQT9jXVdVAX$m37r$`}}8w?ew<$7`XP^in!YQYT}Q@K1UhsieO%IOmA<O zaCRl5)tB1ccR#&ani-|tzDO$B^xucy!ov5XXW1DVCf#*8@>)XMeeb<^)tKyO0$#~$ zjxoPVTH=17E;4o3X}#rozv_4*%+_lz*jkja?pQ?c?jZ3OA3rZ+-EPDGK3(^@xo`9L z%gZ`-&maE1;_07dnIGydnQVMoSz(`Nxj$2CkG+|1PNm#E`KWXGv)JC3`wB<TYyW<G z+UMEJ4*o8^d}P}5hqZT#>Mom9?9ZBY<CD#v_-%9UoABP#pQX0VhX1|0?sNXx%jC{3 zw3JFVmHS|~EPDOTjkn(4_Xx>;7~qq<=Gg1KexGF0D(}@xnJr9>$$ol4RVvvuE?@TE zl;?9_=R|Jkz8o@J=8AUM$2GT&Y~AP1Esb6#W2)`FH%YYm%J29o`^>LQtn<@3ot615 z%Jw|t>o?Kgg8bGeo4m66+EX$i_-SZ-=SiXG_q8Kq&KvbyZtr{eV$qMNo_eD%ho-4N zFqVy<HbbZId(|UbuVYURn}QQree<Vxzj?cN+<KaP&Ht^={EK=_?Ki<P{1<*(7sg$d zI4AKW_KMaqjrWVb_nz4u)UeBi9~8-<_y649_-L<{?k`_Wi$&MpD@6Tf<_mvtT4=JW zT|#_T_g1?HJqP!_$+{Q&R(*DqxM%*Os%xF!RF7WV%oDxT|K973`o|Z}=MnZ)T2)}L z8&oW@wo2nsZQ8Tiyl1|%D~;3ct(j?_{_OAFGr!wse)l(iAD?z_|JgnNXH@<-{d~{x zFZ=dOLG_jEC%FG#Ui=Vj=Y!uX*Zr%RR(YKLj={5^#=IcEeq1y8Q2voc9ggmYUd*am z?2&rW^>4oET1mc=KUbt(gYq>UVi$1LUE}Nu5sNK~zxMTn)k&*Pt_}Vj57Xx^@JPL= z`r9+Mf6e4IlUFeOZjpd0+%*5n2vw-DV)D9wvD?n<-X|LN<%YV?q4QRb6HhHVE%9aY zn)kmJM_zoq(~#5twX~~Nw_fD^QrmkCRu`4?Yg6Wia4ASs?O`mP^xoR$i`cYX30lyw z{8YPq-Es4ceqS2dYhF0Muk8P_@AInf4<A<bRt4n8^v5sw?orwASi9c)-itf=Q+w_O zEbH2|=}+z6Mb<&`yH>n7^pBPQ<IyR6^|~#S#UuU{u225-cKeGD)2}2hl>7Im?S;eL zApReZ<BmU>S-JQ?LbspR^Fx#5c;0k(t^D^hRdLRq1JI=NK2-d!V4t4WE(5jAha!Al zesEQsv&Z2vC|%tXm3<c6-da^L#Y*Re!Nza%s{@wU{Pq?Xjg>He$(3w)RX$Gi&`EBS zeL9Abk78f)|M!*^d-d?C)QbhPE_l1YFH^47n^X5B{%HSS?(cG6Tu)!$cO&kGJljT* z=Iw{i+|GRVR_n>e{gLKi?T;_9pJ<o)nA(|o&-=XZ*VWfou>UHut$Wq0G}U$b*9*Jf z7k}-NGq244ex*F1w$@ip<{8-i>*_8||L*Z#dG%TAXJW^N0^cj|=Kh_Pa>Q_tZQ8v! z<NWzEx8FZwo$yT7<TKyQ%J#E+l+*478o!@0v;58(>%?bsO+NdHetL2Iix#->-hFAi zzxXSG>r%(Ryfsd{7is){&dl<AXLct%i#7SIH?wm3**)56_d<=|&zf0&_ss6ZXLn6L z=b`Ff&Q;ox-JAUD+m@N;$<NNtsw_^smuvjKZ)W-RGrQBD-8KI#H=~mO?4C;F_Zw$^ zKXRrz>cnFC%d0=6cAgY^?{&W}Y=v`7w#?=2^JiD;pV>1#?cS5yfvp#hzu128%<YV4 zxkjJOW>-d^*|Rq7o|$p}?wRm_yx4zpCb;M-Uz-13?~39&)4rG1)o1qXO}l4joWFl& z`GGUm8P8;mKJ(44bU(9aaoRmY<M%semLED}o%w98){~3<FGFGa=R@`1PCv6}bJ{&i z<M(@JmLEK`JL6fb(PzEcmEmXhtWLXUYW#lJ%<{u$c4t1ji>lvW6dHz^#`(w2%r^b} z?986qY4>D}->;ile*Vnv{AacXpXFv%eoniWZ2Z1+=JzcV-0hczgCq3b)O~-~1hntC zX0a^a-u!dij7t5pdpvD34mZ|GpV`x!c2CVXfAh@kN6*~OdX{VadE4wt>oa@irrlfT zB=>iQZkN8d&$JyM=KlEm)=BnJ)Z-cZHXlE8JNwyN<Imq_SF)ek)0}os(fIv_ndL{$ zSZ6(xHU8W;yHfqkp2=zVR)P$OYlRpP`{MR;ZfHbG8oysNv;5o{>-=Z22A}n2RZc&% z=XBaVQRDZkW|p5lvpetEU4zegvnsc9RenjX5(TH`L*)zeDG3F=8u4G>HakJQ@b}J{ z>cnSzO+KrcyNJ5UFTT%aoWFSH_LFCB=RC_b{Jd>e<?S<j-lpB-GtOT=bNlKE?)GWv zk3ji%-M0&^`}XdJgy#D<hM&L9s{DOs&)>9rjK=R5%q%}~#yaPjtl{UrS(V4n?0KAa zkJI@5l9}bJP-3HY7es&le8bP@W>r2vv*&Z#JyzrQi)NOeJhMCJS*+pbb+an3pV{*| z?H;f3`(-oBK`ubVrfn!RMK3?QN76X|+L_tLpYvu{mY><PJMEsW@%w!<zaKbLo$>7K z?8^SLd(_kJT}DL2kN=DhIWK<R&4Xyn`u51Uiykj;e(a!dFhb?V&kg1W73^3xF)^`d z>}FySROn!E{2>sbQo|9jp{b>a(OTeuySI#mVx*IYOf7Tar%vXW8pjxcPlk^KW_l=0 z<na0X@@M6L<J-oucVjn)?p-ylDsFH1`hQ>R*T;Vk`F`e&+^rioER1e9-?(w-hJ}vg z?z=m7=H}elA!x02PyfTsipm@R3$y>s2%Y!fud(61{lbs`svF)*TfcahP4koY*N#-I z_vk5iPug=`<=Ad*!+Rf(RD4%IR;^%oPg>~lUQ5G!x2ql%N<KZ~JpG7T=ih*g`Lo_` zYX2OxJnah`->0jIOTJx^zTUn6`<dfLau00hOT2GAQt{ub=X+App8smcc0b*g>b_?F z+{e`xhWFlfethTCQ*L5-?{4SEcb+}vN{08EJ3ro=A@N?f^W(jF67Lrtsd(?z^ZnkD z3V!utza5hHfT)b5J^m`keupIOIj?r?w^Py{ef49%vy%3#S3mYUENPFr@MGJl67PT8 zevD|}9OVRZW$f$vwNtlbb5BZ3eo?`C+|a6ROIfqC`YshS&o__OKKGq;>(HOQVT&Gc z)Yi4J>3<WI`EyZqqi2%%{ou{9-yZIfKC<z9^ammF+!vF2msTFt`*%xo(!xK*AM9+; z&lZ@)U>(<4AHMB+?=R8Yr>#B|mEWH<+u_Nd;3q%#p14u8qtb5ua|Hp*<)3RzihTIY zpI6$jH=eMZ`~2t$L(4mJp6|8fa5?wVM!7wuuz22ib~gVr5el0pWX-%%xvfMzxoF<> z?!>LrJ3h}jRr>GGJ%g@|6?W_U5{@qVTynrd=v#VvMD6_3|DWkikZQPF`tnohZa0DB z&z_}SIc{>+s_gT#+D3zC>GN1Oe0=n9`l0l*hwROaHIJ2_xfN}E)BId_`T6r<Nps%w zY}{L&GRJ@ax<3~8g_COTpRhPDqiuigd%MJT_hUa#eEjtI4yR#VLCT!v?=|hu@wZCY z8yTHT{yt6qxw7K1{@$MA$NN4#{!`KMakBE`nmndLJE^2UUrt!8zZd`S;~$@nkHyN5 z|J?2T^teXn$d98F3*)RJ>*mCB8P=ty%n{#qs{gZ3$H$Ko3+L4?_+#;P%>!xW$2D&b zetP^Tt>fcu<;Qm_YkwBjsUP`qcVgkbmmxn3=kXeT(y7Z9%U|=rPPo3rGiTBb{?=_q zPGL<~uAg0OULd1fwZXEfnKM>sw#v6t)!%1S)+OD3A_!5Ly)S#;Ub(EfKO#3(&wH|i zZyra;n_s@g^BxHGezrc5`?fpp)%DF+o>jgwv1{MCPr}8~>ikC6Of8$8;S!VFx&lpC zwI1cKZd&ngi{YA!v%+&G-S}!(YVzicw2JS}(!2*NUd=u=Uw%=Yz5KK4(--%gJC(R~ zdgtdWKR+Bf!@9HFMXvj^%;AQlklt4{7T1M)ex*o&)1U5ZGmRa;FPT|LT`>#z`^#b7 z+I-E7Ha`2hXvt^&b1zjM>pS`IxlQ~jM};E4=ankpG_>;P&VP!<1zEE%SN>aicA>!R z&pItZ+Pu#zH(p)Lv-tC$QpqV%krwCYhV>iuocqk48jzLx&?Z0stH$9MHm4J=oI6w* zmshTN=#|a!J^7pTrd+A|UUU9MM7<FYDAdZ<mT$ei$K>g?osEl4*M2+d`*+i4o0N_F zMeg?B{~F}6^+UltYu&#~XUuudy>vp>!-qCk&;Am;X{6GfIWb*KNN}>>#OHo4F*{>y z<_ABVsU2?kxyr6y(pX_~|K~F<idnZbKfU?wW2+_DImc#xx^Ut;=3|v{QihD(zH1$~ z-m6`vF812LZJ~G4<Rj;zrdKvEdHK%!_JfRo+YVo^Ki}<r`TFj|uXD7%*ZY6%EBen? zVYj?!ezES7_OBL2|Bbd)shxkGAHF;7ZRxzo&Jv$@Hp_$N%POY6{Z?~t`qY!JPR@Ov zb$-v@;3tvy`;T4sS@rnbtiYhxcjdn>IreLE;)_W)R5o7!nm1Y0zAoH0uyyO)=j*v1 zKP#E@JbUlr4ck831WA}Le{6F%F6wq=R_(DWnMkhq=PU0&p1RBOe2`_=^v^cI27%8l zr~YQI>kdnbvYr3jTgBq>6PxuuEziHXRM^=xJ%3d7{kZMMh~q}!aQ?RIUhbwx&ku*J zYd-&zQFqPTpE^CaWMrT3G`=1@?UBvtB(w9!D*q{!Y&_?BuKM}qIq7y{ca5#jU%vb9 zrp=t^KXY_;sh7=*hVcB%#O?}PpI=|PJN<LPyqg{_K5uN!yZ?AzR5&l$LT6oh{Wam9 zQk}yWK&9IH*LT&lSN6?+ULl+N{O1KLHIJ5;Hs{q2#jy8$j$yCU@_GKVo#E6k!I@d} zHd>r3*81KX{L}9K`QvhPO#9|PD*XRg>a(HPt<OKNZ%oLRd2h44Xy5xahVsb^ZRbxv z*0i>8&*wjXIx5Ak@3w#2dDLneBwVxiu71vDrVq|0Z@d!U%P#x3@^9YTN8l1|uc-5? z){f6L+m`MZnf!Uqsp6Br{@(a__3`n)Zz^_dRkS#-e%<VJMZ$ASx!hWgbCdU%?%H>= zP1*YVLygz+mag;8ud&x{PXAEzj>j$Xzr%COXTMG^3eLW$ocQ0<|J53M$u_-CU!mRC z_RgAI*}Oz^`#D~j;~yMf&A;{jTHSj_k!#<qeg`MsnbY^Vq&hSAdF5J@qGQJ^U;Wqk zSR<afE^_v{&mY(Lg!#X-(O+M7_SS2g^(m>Fs*0ZP+<eP4d;RA>#bIiz)ywCFhk;XF z{pnLFU!R=&d@Jkvn!V?YwI}wS4E~t1QFG<}wXrkK-v0jI#;%re30p(y$|pRZ{xey> zj(c>Y|9|?*{rV^FuenteB$!+AxC`vuN6KzDoy#~vv!1i{U3+({J@0{m95{1Y6q_{f zIs13ltp^!460ym*ejQxM50dz%`I+y3+1IpnPUqOJo;=yGu=a(UoMb=Puup3bJ&@pk zTC10kA;0Tj`$uq6@816J{O*s_G^?1^Px!vQ$Sf{%@L&&ExyP%SEW&J-Q@-;A-I$el zgH7LUF(^OkZF-dV=XI$oXV;w*%J0Bt_*>rJdTus1_wz3K`!~!=8|)z^+Sg0jRRw=! zzE>vQe((U|go^CvzQ$+#gY)J}Ta?bdx}>Ydqw3R!?N>ba_Ej&ky7ffR99)zypYwiu zo5hX}9$WpBhkFB3kv#siHgT?p#=Cu6c>N~)-sczdpteHu<g~syd-~bzR4h+4?z#Ox zKGb`~TD3>Zg+T#QcJ*ZE2I=>X@9i1$qjzs;&-sw3199FS&VzTSZ#&3Y6#YBscpqOu z0k|a-yGQ(0O2WSH`~Ac!_|JU*_xalWAMH64tXM8eANQU9PH_7B*~jO19-Mkatut0d z{GBpeoWFy}w)0ok@9n<S<2=1Y<&Ewi_G^|Mzt6`Xzx^sr;D0fr^Y06}=D*k&V=ozQ z)P8^K_@{N>g+KlbTc>-!+(h|toMm30pn1_fZkaXUzzkMCHhbA_{oLl@N^?ym`APFk z-k9Fs{k-G3`Ziy=kM5v$%-(0apWS}F@LK15)!olM_tu$LKHL@fddUr|i`T6enfIkL ze*L>D<I+Jx_4lpM^O~<UH~!yeum2@k=GNZ4%f-*CSKXf-aiV<h{g;JJ!TCInU=!CR zzqY#edZGJVpRa$5F8uC`pZv$BE4O&x!~T29_otel?4IyMJp9Y7e4|SqpC&Ed$A98^ zQ}evr?R)aG=i5ttlseuk2zLDI+Pa6Iw>Iwi{{QpVm7n5%#1sVV<Ngs-Advsc@2W-o z#JIV4a|=GWfUJxy-S=VVvnM~UpJ)Ah;#+nwdk?scw)g43bffRz|IL%VHFdlEgFdt4 z@{lx<JvU{)|GKYlTW?-mmRAUltG(CC*XFNpk5aFj_GX^?zj@a01(z4@d)OQI+1E|V zGcIf2^Us9>uTvftA6)o7`}e<{4;X*etX;SInZB*I={j$Vh4M!}Us}KS>%U3La_TF& z_gu`LJ7J>RB=PlIEBh^YW%gXm-gnYN`K0&R?=^OONppSvTJE@>k>TBYujtxOr+dr) z?Ot{A<MqutZ*MtW<aspz@pn(|J?wYcpFVi2o|dtH)5)4U+RtCUi8#ue^|45%bg}m? z>$0a&@j5NPFIep?G&&>tZJ~6H1T<T8Z~nOMd%jn|6F0d+a1_^``nm4wTlX#Tvmc+= zfaHl7_29kpuKfDu_2&ArJjqs29<5&c{_gjf^Zq%rZ5}~P`c#{K<85u-1*@?81~UJ2 z569X)TE<(qx<Wt3|J8f*Syx>5PKuv%vHD5%s{5zc@3DL#IBC}b(Y@C=B{NkjKW1Or z<35Lt@7@d3`zcE%K6$#}>F;{qcS(snoQN(A?+TGnk>mF-*Yt@-ao%KqvS`8VNz*2^ zO=_FO=Oq;-73CFWm8k)SFP1G_x@74O9aq^YCR0qdm~1Hty6kmQL*qs9?=4&O=Pmi0 zU;W?m_k*|RzE$l`w=I5H`0d=inSbwp-u?XC%*xH>+FFaI7H`{N`ubSW?2UKo1mnVQ z*>9FzFPpqrOisO~XT|Tf+aE3N9NV?=PMXg4<GYOS9NMS(#Psip+6eP$w|ky`yK#Tx z{=<(I<<uW)Sjww^w3+dd`+0B0oEH-6rlB|go(V7Zo8@5{zo>7zTiNter%s7I|Gw^b z=;Qi(tNwhq2`jI;7BV+8C@`_FeyZ&qE|a*_RJAwTR<!+1tiHzG(Vlk4yzA-5*RSFi z*8G{Dd;D$t=N~`6h)?!6RoS;>N`JMN-F(vtJNz`~e|cH;Ia5^i-D~6hYuk^kUHbU4 ziP!%dZJ+xm&VC!Yts|{cW?P!={XNIdx9CdW-IHcq+nVQh_Dz+a_x>4^bCw9_%DO); z{9*Qb=bu>b?JM2%Z2q+_+kN5l_V4QMImb%Bc<b$-ZENAtH8DQ-Fniv1BjJgh>EGLT zyS|m*%M^X-	FQC%yUS@n|!%P<hl$PAjWLs{Cf@w(<X8h~Aj=>ErnT&t>d^U&W2< z${Zi%X&XDbyKi~JKYMeWUd^5TPd?vd?yR2r$#ec%^Z9J&>%TibT6pQB=4_iwJ334f zPrH?`wV4!bynN4`X`dgqPIG_ursRe1xB0Up&X?A$c>4aoX}-S2|J*sf)?IbR$99Gr ze!747$AQIW8-z+qTu%SnVEKCIj~V@&<kNFJKWi`Nw4M9XG34)^)e<%LnkV-~wyVB) z(J}3BV)3=pt^M(?{^?Z$q4wXk*ycY@D0b46zrJw!f8+UV=hxdY&DQrmzC7oX$YZ6~ zYRMo+eXjl1@Z?B%`_mes=iwJ0Z=bf`dsaN_^t8un=WCvuzYFd8d^ntA*%sb4F0zj| ztULRL|2`-@oC;$u{W+EGhp;LC;TriVp9=LBFMs;!r(n(x35TXefr~|ZHd?-ZDrEof z>8GE6nC$jz^~!Hg-TTv|;@@xS8zE6MRXgTyI;nhCVB2AfbM=;qZd>Mr-<<O`=5vno zvx!$Go)1#Ly)jPfZtJ~xo~WzU|2n@!xYo{lpUKj>=j^lvi<P53B@|yP{<u=~_|miW z>!+PRekUnAEp?i;eBb1nX^%VJM(8KR9KG+q=(AG9uj#&#{eOM?Q*{0c%{#m)+GX`e zi=4AnZ}O{Dl3z~0asTGOj^my-euv}|<`hW3{%En#-Dp}}=kv)q^+z7N9JkQ?^2_w9 z-@mVc*&aE?jWP0~r_^UoIlo+2rAR_`(_x+b-p{7eo8k`CgkJj1eemn2pIh3G@P#ci zvx{^8S<`dG&+Dg!`HAP3C53itJbhf8aEsIG)gPZ#M=R<UXxpus9c1^e_e%-e1Q)xz ziW}R5>|V}(^7$oa=iVuwJZGL%4pBMgpYQQz@f810X+LXzIVIUItznv1k;~)RGyh+L zv4f`kd$HSv2W!}z9w{uXkx_GN`pLsKThZ(14>e0J(az7e13YAwP3f;*U1N7xv9Kk` zE;BBIW8QtYrn4GLYl61l?BjlBEG2YY<LTrlt@9J?k`JcM39@V4`GZ@u^XI_|k2wpb z^q23hu@hD-oD^i&x6^`OwDY5}q|kDWr;m4k`svepB-88Xjy)SwlRc9D_^{8G^!mBv z?Af&e6Hlb8shkTsrC!=zW4BqcurJ8Yv-q=Nh|00^F&=Z4Pw_8UUSs!Jv9K}7PA>n> zZHY+|_S^^4CI;D^i{19P>8DQH5mm3BKgv%(-z*|DUGwSVS@r8$x{mzJwNzOba7w+l zzs62mabuL`e3Ac#nm_(*mdd%N@pST@>t!(p^S4ge5vMu-f!)s<GlxfROKWUCpMM-M zafW<O(~+}YKP|qWe!iJiXuH<a$Da>!AACMPH(_(Eru=tCP#~5$Jn~ywbBX`d^Ud5s z<=Rgt8}T1+={oW!fnVia=qdG|6Kd>!D-`YzvSXCj5w7`X$zaAl_0vbWZL;ELo(rhR z1)e(ou(`hJrwr?C{wbe6s^9M8epbvQWFK<sc&&@weBlW{HU`<f)co|bhN*MD*3-#V zA$If6D|{?oS~IC;L*$2_&$&1JjMAK+u<PxP1iSkVkIKD%R`j2I{@GUL-;yc)$#Qo5 zqMhIG`#C+*Tw3$z_|wmpyq){CpFaN7E!}k9zP`b?H^}bgO;GU7X*{yo>*tbr6V5-E zQ87F9hatpOsbpQxr%BI)x24{V$TOaH`{(S0w7)gM3EsQ*6<w;?sTQ)rcz)fx(4MdE z(#^h8gX|7Y{!+p=$;Hn1;IpKqH8ypIXOB&Av76rDTfDSp&XhktG8xUnHJ(1USDo+g zy<umNru_AqN`nxUbNOozJd;{lbIJeo^J3i_G0Ug;XHBfJTYGR>Z;;)|^e3M)xy{~A z`NTPI>iOliH%bCd9XF2e;}h-t>FB=U<&r7=pXb!r%{{oRJIL;z(a)M)O}^$!YwkRM z`uQcFneCKMp8FN%`}=Qrxpazu*3ufgy$6@|2ictr{q*w->+CwOpDb5D{rti{o6+lM zh5o7M#sWEPnol1WBuZEJYJL1wVsL{e@YHeh?%OS0E_Tib(`E$Oy|emR)7E^JV`<H$ z7zwWrf6Rn(+%%s~{`B<t`HT&ci>LTc;x6axo4+x^*iUo*0iAoIT0)<jKPDJEYRbP) z{aK^dbXH|)jm+j9Q7b%p=ATS3cGZ;sFZ#1)S<_jQr8O~nJ{icl;!(>&rHSW#Hq2Z) z#s5|4&ziL6vocF-CZ&Bm5in80zW89;ydb-S*`I!%VV}+F^|K<T;_9&pM}9<dm~~J2 zR2Vm1-oE=_T4#{mKj}|Dby#Podi~syR(L01qQ!n)_SvFdKbPE}az0)4Mu_&)$&U_Q zYWm!KHDR-x=KLqopB|^{-dGcUO1&c5Za#0q=0HvP^E&@zL0<7q*c_=T|6KNG&9;WK zVM}Xj^ycVW*xz6?yFK+&A^++A`LYR{gEi-W+5PF~8>ZQJy?!n^(=QFl5I5d{GQ_?b zyWa=WKpCQ5!lj_DfFXxZ>*-_J>GJmN2cC5V+40ryP)+*thqvU-oe$I5U~QtOck466 zQ)Spc{JpULx?6P4lN4q1w3iE)E_s^KHtE=&7>&$~ofnFhOkXTH*<^~zlrI;GKyn_= z`n|m-GMO2fFBU#H(70IF>{in5Z8M%Gt?u1+FaEhO^TD_J)u(?qR@dGAY;*r{-2YmO zee)9y4GlN$cvRr(os*MORR8{4>7U-)8x3pCy}$IlD9wJ^_l4)Zef^cQvqNvxEdAdw zV@<UAow8$p=0&C)yLj!4YqYUF&)>%LZU1bUuk&6rf6il*{9xX@69+CnsNH{T&&z*X zpZ&?OF4#WP{Cs}dUC#MgH)2G;7S@^M&$_;x&1~x;yF}gn{<1l*Tx<8t|4}QIa9QJ3 z^7YTZO%5)LyrQ1*;Cp7H@9Gu(zm50%OXu8jtzDy5H$QvBPQ6!;{~vmPnQ^vXp#1Wg z_m^{<-Cbmt$i3g6Kc~vIc2E4z+FuF6;=DQwQ|_*4kDIw;%FRTmWAh({U;Gid<<I?( z=DU0EtIO%@D!mf^bL_n_lNskCyFBat{z^HMTx!?c|5-aJakA2@$Nvw$pUgFT;vzdg z_Wk}+IhR~(583~$HA*m!x^n#WlkX>6e7CLW|0}-#yu^(j(XX8Uo_&{OpZ#%>T^|4b z^DH-fM80nP_wf5nmf0s4+12UqKQD5_NAzo9QXbp8--oyUKU&D%{a)&-^~c&IzMLZ0 z+Cvd_^D{Th)O+>#=;z;O8qWFz%2!+LKd*D+N#`#X!Mgc3H_TLi^*HK}-Omj(wO=Kx ze)xT+`D{&~y#2)c>5MsIUB4{8fBLP{bT%n)zCq{x<qT%tF134p|EQgIC~fA7{<(+V z2XoARy2#E^YyWw!8*4<q7QTP}U9-t|<BI;N$KG$|GE-e-_fMm4{?!eU%C8<T`TToL z>shP7`3BAR(`|FiI(}8~fB2o#a#k%+-g@%=bmN?D9luy2|JX$*ZuY%${Oq&uH~D7E zF0#AU{KszThMStN9@`&zznR-?w`=X3!asJ^37f;OsBigM`|V)b{uTY^^X@O_F=KbB zebfH4mhaHB2`l_RaO^*yctb|;YoYqb-!iSfJ6H67)!6UPn$y?$>&N7uwS9-5wXEp> zEV18THOH^(S4;or-*cMIW(LaZx8HxxlXI@?*A4#<zvncctqh#6F#Y~>rX0PlUpxFi z{f=omn;IyeZMENDG-qAMuOG%gYF8x|hh8~e*>xY13LpB^&9}O7rTbTf|L5N(2bKk1 zIezZ(_sk~W%`5y3X5U}VYPNQf-8<tycDWle#lLR+^s{!|!DqWx^#9k{@6VoN<yt#u z=O4S+4KKyMaz6j~`^$l4;a84V_uXI4Z&rBXq^xeF`KyKDYU}%NCLU}4Yp>$_Z$9fE zyN??>JASd8|NOhB!MABe|LzI*)dkHw7uj9o{$qD?gQwuvkMU2wd$ykS2$Wyma9^F* z?Byc6hu(keBsWOvzIv?t5SI3gU}-PzAuR1F!qQ&cQ)t@zS5!A&bHhxnSC4o6v9sK8 zQuHfl{NwL4*=E07WEUs6|2)r)Ga_F%#y|f)lXbRD;QR$M?x&mOJnQ(iBmcwiCx_G4 zt>_Ou^xl}??6Yg_pR0fDxDz%9TsdAj`F^@!j#&4vmh2z3TnU?9t{kuKzMrm{Gp+O2 zjn_YFxe_<WTv3lW|9&%*nYL@~o$WtsyApzRUM1%~`5wtSTPRTezbq`Z<vjWx$vwMt zk=?_zy7^x>+*Ek=c<<@=n+45gyVSl}`^Ro};%3(?>K}g8Zab7Vdqw~5-uvm+Ib|Kc zBHn-eJ>^i^@)iC6?e?G7yYWWsYoXWA+HVKa*01pY!MOjt-HkWmUq6<8`aR{~vxXJ@ ze--zicf27Z^p(@@(RWLp*~*LT-pSR?U%Ww6{Od-$XWv&goHY!Tuh-vyzVOBzfv<&j z55KQ$IqMlX|3h%y{NN3iy00Ej{rG!M<JrhS`QOHM^NTlF>c0|}dGLKF!|d)wcJH45 zv74Sy9C_uq)sgqXth2Qj+5JnbgBE-H9(-Tf=(~1>e?`mv<$Pve7unsL{>P4YL#D{r zji-Os&Puqf^GZ16!|yEzmW5t9{<rJ?a$d8yi|noy!V10mv(Q5CIm>>3@tj?*wTHaw z=F8pq()Fw2{)gXR4yx&0ntxFJw8|&Rr0h?BXFN@-x&Gw8<<a-T{Ife&_<zvdf1cxp zO2@Aq%YW7iB~Dg(m0WxL{bZimB7yS%tLx^6+~^VcT6pf`?<EJ-Vy~$GX}qt_Y_|Bl z(ENJF2iKnPb8^6{r?;`$-7=v9a|=I9bZ%PIBzQEoXU0L%UX3H}DYY!dSq2kX7OP~a zIJ!SlUhu$u|2zMi@k+}7CbF`!vZ@LmTeY{i>{Z#W<-6aPl;xeb<=tGmdfDz>?<}8( zeJ?39e!TJauDO2h@i*UITbPrwZU5KLUE2?<{9U&(U!l&Ssp?*}T7KE?yP><|FW#8D z^tR)?MZc`)Mz`n5m;CJao3!@ioOyH3Wbb{!!df_oKmTxe_l&3`hV}{C-{N-1-;}8A zdKNx?{y&Ynx;{>GfAv%6Urhh;(^Bt_&14Px!|{)wr#tVc%=7%A`MSSCzSih<wv6G{ z8~V%jzrU$VO@5;J>U8Sy$F)yR{*rR{(fyWu_vd#_vDJ~u4?1cetakO$eRO1YMYL^z zdf8N;>zuzA9A>R;wp!??!*qc)>BCB4g-1FCiS{2qF7R6++|6)}b5v|-g+as=zgKnj z_dYDCQ@&8bJU{j8`{&=K-<>G0|GQ1){{PVF^Y3YY{QP-$!DshHe{%Lq+1uB1nb)hH zI{zT#&!0cKcm7P)u(w`+{QUX-ht9rr`FZnJ&;0rSTV@w7`t#*}&;0r49{Q!b#_d}B z{@<E^%-<JVFxB1syRuI1qVF50{qw87g#Gy=Bap|Ze(L;(l0SdGw9HoS{B*fmy{_(^ za9)`3)AZjyfBx7g+_9OWVc%T-`1$k1htDRu{JdGIUsu=1W!|rP>io5vKYmum?1=Q9 zGXEd@$IqMf?#OsgnSXKTkDrxZJ1pmE*dOM9{Cv6oog9@@{_mas{ISu#V>4gF{<iqz z=g(UTJ|`{u!(-PqfBy8A*^@gzZ7$ZVtBVuMi}Ri`f35$c=g+4UY@VrM|M&FA&!5{0 zK9?=})3ff#`SY0%pZP5M!(-n&e|}@Z=ek9IzN|ZT{(R)aXEBTZ<kZXA+dpre&E5IQ zc!!w1y?E<vZ;-*Zb#-=Pd3x%n&R-M%__^41$4{NWx?hKX{M@N^CkEu=@Q<I1V|V;C z3ao20fAl<E<IWwADf91{fBgJ;Yr*GOkipXS_U5g#-wQuYFEFmFs}snpixH~3lYjU6 zf6Le3f0@qS)N%i1c>KSAB~3G`o=Shp=9|6z&xxDW3i<c$E5DeyW_HDDKBkf&?`0?C z8}~(S7n0l2cwFjlO9gw<+IGSBH6I$de|H{cuPBK9RmG@xg|qaL_TleJmre@q-*oey z((89KFFpBu?uq^#--2TTrTwY$wWeF&KAqZlc4gt$ub<BeKjU2~{XcX<rx?HQKVQjw zvG<YhWhZaXx|>+xeU^Kj^^3ZI?7U6ys@~k$x&6wf?KjI!SJd^L3z}P=eeJrVb4rGN z^kkV|Sy{J*Z@Pq^$S$3>(%K{4_j<3DbMDki`;1BL-#?1}?Ae-Rbk>|_MRc_5)7)A0 z4@=o!`fSV-dVlJG=o^cpn}5_PF5VpST=vHC*-v+K#h00SUvzx!Er0KNRYUv&{TAj| ze3v3GmoEMn5S@Cjf7X+Q*JCaH!{gPi#vE?g#eDtvq1WqLXC7P{xaYZ&H=kMQ+k5rO z^N*FT@l9ZM+*thL%v>+ATRRT22?o?_<d-k4W6r&>;nW}h8D|#O)>g?~?eVmDUNGzW z`BjC!Yk!@-VlQ7m>8kzZIl?nOe`xsGZnuBNoK-h}vR|2RRlo51?uZ}Be}CWk|K_RD zmtVgpf6=Tp-5MJCedey_Yqgh4&s+c3`n*k8bYIlx_d+vw>DDgYz$kt;@$(e9h)v9& zR&CvV==yKwYP*BCcYT|1$MBPRZ27yBSuBC#&pMqSK0mX2ZH?)#|38?P?=n-|6MeZq z<naxs_0_8<ImG+shL%iPX}v<;H1_+R&+CoytuKnje}A{WS+pSY*RRGsS-G|!rmy%P zee=f3XMK&{mn)vnTjM5B+9)r>wf}6*-iDJMyl)Q&*X;WAXQ|lJXK%{+mRELPKRL-p zoxk?o<rklyX;s{2lUg&~w9-#?{W7y_bNipj=^d#SUtnvKk|20_$FEz*jn6NB`*xnK zN_o!b-5>wi_iw!$er<VaarQRHEBjfl$KPJ|Mx^+7dG@^%tFO(I*w=NMOJ~b`v%i%} z3-W%y4l7%=Gx<rp%iS_Zl|6PkJUeA>D*T9Q+IOHbI*I#O?lbGpl?omCGwT<BzshP5 z&ezPp^_#+vD&CW4cAL&u*KxemAN~2yrnRNp|Nb)z-tV#OSK9T7()xe??OFSO-Q`)o zU!Sqc&Az+#Ww+w|^ndI#drowlf;I#&FfddwzMb<r?~NHx%Vlmq?l11YJ?j2X&+^St zlQQ1db0YYMMY<S=%PpnFe_i^wWUJ@rufM+k{rdgk=5_n7b5|~YI_E=uJpVr@^}5>X z-`#h-g?}ouKi|o-=S9OF3H4ov`wRa!H)rqe`z^h5`m+=Nzim@3maMv!_~dTd(?a9r zrFZJ*sQIW*{LgnU^Uj-Pfpul?Wy-H`#c994wB!8_z3Ut6_N;pRFZZl~{r%Uil`gi* z`hV14pKLPUyiNQ4qIm`j*Rlot%ACBp=mTf@Yp&*5TSV{G2c3KMRkHh2TH>-fqQR2p zuN_P81jYojmVHc{Qn<Nb{o}ebcBu=$=fx^Y@NN#!kFlG+U-GANhSmoq<4<m<V;>&> zl^L68WE=hP?C0#*mml3q8h>9YYWh<iGk;~=jia~ibKc8rFMV1Nwah<3Zt>HEAOB>I zv~25{aed9%NXu_6yGvUgeQ$B)zdogMW%4_&{J4TW@s^F2g{uzS-DPypygalbI^1aM zuJs?bt>f`3y>$D;#KPU|U5_sor_axby{R<klgPbmQ#F??pDTLr+EmAvBF~PToOp59 zp&JKOv-)25{SL`_(mU<(!{61>_xZba1-wdG$n@#2LeoV9(RXXuwad%&>y1A}hsUir zpd8i4ZvW-N_n9+&&WBa#f6-o}&9XRA>rSM{8g1Si*((m+-gPPY%tfyEtxQmvwc5M~ zG(#Td#(G|mT6gI7`S<1w%L7`!2Y*$u^L`L|H~#0(zv2g-=KipZ7KvZ1TkySh!T*`t z-!q#sEWhAX8yzlmxGVZWUhK+-y8gh5=y0iq;1!3g-)67X?o2$YTd;jyh{OKl8hhZD zNKO&jx5?T_?!LLtoweGjw{HCvdF{nqz@>X-`**Bb=3euC?UfW!$bDz6w&;cThFtkz z^`P)%TiUYzO<&JHnIi%RQG@Act^I!a(uG$}I<Y=^{*c4%aLA6$av}4XH?G^G=K1jL z^M_KbbDc!*aUYEc2TMZTeS6oW1*S2r-<^K0g@hX1+2~<&<ox^PC-Zi+T79ftz?R=4 zw&S;*zyr~!*6r_^MGwfeXzaQCD>>FO!LoP<DE^r(zJlB<w54q|IR1IB%)T)3<Bz)Z zM|rU~1?Cik;@)yu`d-m{EBEb<w`A6ur(LjpT}RIMU7CB=YF9tWIu26dxYF%s@s$%V z{&HProW8<xo%OrmjT6nI`r6+=f0$P<_ip9uWyjBoUs<v?X8IcD*MDn1&r3OT_w4LU z9_g5O5#>R(_RorEzi;pV^)hP3{tQd;KR4ztu}YloT$cM}|KfeM_BG{RUhIbbE4Wn} z_Xn%T=`*gpFzdUWNx@EM|A-%Z<nPRv|Elp!@K>Y7k86|P?&7w)dTr15lZ~hO7pV8& ztY2Pxb$jim-|h#0_x%^n`Fn5v<WqrXlDEk4-~W~Sw{KU$+z(d`a|(VkUX!i&lfG<} zn<%%i+JoUg_sa*#-_-xr354Fr=l**3_L|d-3jbxTW_;VYwDA7M{@DH1KPuLiW#0K{ zUh}8CMxL{q?U~@Nc9ReLC-7D6J@P~>>iS8r3)v$b{_K7B@6QT%!~P4lM-Fc1^V5r9 zsJQUYWzXLKb}Ja4e((=b*LglY<G|Ct(&NA1pME*f8kCC_e#DjUdFK+l!|%r{39}t{ z7B=hLxL4nAxiVsg`wO|E1Katc*cYpDm42G>xBQp&)=PhX-f+n=6WTGME4T4`@c#5_ zpSe0F(_iljUM)QD#?@t0bSGafT4W*jPyAA0+`pe|-`#ppblgt$rB~*i@)un9pRc&5 z&uKVIXvy81(x8w|pVPQM`2ICfhpa8E?}9J)fy$a1$FSFb`U<1NK`At_{(|6~w*1&c zr+e!Sc&~VEQU7|#=&<5$Gf-ZyIKW)P$XgdP|K9orul=DF`d_mDSau|s__SJccYY@- zvsW+tb4?Unh+Lb>_CRFShi&UrGI+xu?hUAW&2=zi23I*euYuEUoW}mrR*((5OP4ZQ zv})~HtIZj8IJ824%KcqV22#ro-Q9JGVU8212xD3MP;1ZM|IFa>;P=WZq3>BAqpr)> zNn6z1XzKo7e}G%C!sYaZ2fufUs%=R<vL`L~+^LP~cmCSQfD7|Fxjdm)p5?9P+}~F{ zt~>XwWqB2-Bwcjq?#tyLwyk^9pe+|v5gpF9ROy+2qVnT1ev#vgKcBO^xa(8rqB@6% zxv>{3<GzM~RcOz9^%GQ-o<S{2+xw!!XMoDc&wqXu2lSgS;=Z#to^g*8D2IxGv+!E& z=1YvnULIC{{PEY!9sjTF`Xq1LB*OFwKG^$qZg$^eH=Y*tn+lv0<afKD2>kYMy4Ngg zy>7KH3E`Q_Co~z|%$KU&{r4llds~{F-IllWx1@S+w0?5`*z5Bp53cw3$4{!bQ9Das zxn9EN$_w6#TeW9|qc+<AGJLOp!!oMuUOms<in&`$pUq|L2k)5KAaAy5uB3E!a-#XI z8kwRG|K{=aRQ{iL<Z#}$`M=w{&N4=ueg0#--tfQX_QU@~@0{MVxx(Z5smk5!WOgmL zWvFwpaTY9klFr9-T~y#wRMYO)T@8B<-!64!hzYUY6B{n(#VW6>C${~1bnEWdT)x#Y zvzO@3?!2q`+bW~TagO4;FT(H6zU~ydzmG5Kp~dd*2k&+naa;Dj|M0C!l~H)N?7i}} z+V_Q||NZlt^LmovM(+=0wt_RtD~?C8-tL$z^X|spn}_~gH&lvC&)p!MyN%QGna#6b zcWzW3x4mC;|9j?yHzi<iR?l_XbS{gf{Q6X$f=%}yzAZhaa%JvA*?a4>xtGdL32qnb zzWsdRp}VE2T_0XQ;LE>0H9W2B%EQ=4w_l60{&1fD;N32zg7+WFVg(t*uY#mogATfP zce}0+H*zWHoN>Bv`f-EW8@*<)oxgo|_$R0FXpyk`{a}XP-%kH*V;&tWv%XVooA6$F z*Seaj6^E_w=ciU4PpZgrtPyaSTRGk0W9bFP%jXiCeowib_`mCq&c{hdolU~e-M;SF z{w|ZhL4NUBuL;SuGnfzGXHWI2ng8J3u0xOW*5=2Cvm9`p+L6CLoXgowWykJy1`Fh^ zKwe^cu;|vqw_i^=t$eWf=+UI=xi5A(U4D1BzZm5FP^Eo)<1~3BCxVP=sC!XTv3ngy zLw8pD_t@*&|Nhn$Zc`8{oOJlvhq;ONQ<jPF>m1J8QmOG|N4<o8&YSO=|Nj0{Kgjp~ zP5k}!u1_*`58iz(!dTh9{kkT^(p{SZw0>k1ZroA(Pv=f-c*d=L>o>LX6-pd56-|g{ zlmDG)e#=H=)BgB#Epd{mahw0<n7)2jFu%z9jeG8?ubTVCFSo~@5jIm;f8_L)+lT*& ze*RX)dhbf~55Ypl?g`<Kj(pE%^vvLVw@%xB=JwZJ3_Df`eSEtsNWw_z;N8+z#=jR{ z!lLN?o(W%TZ!=900V!5_9q<|^k$-(EB<_x0uQuCg-?J{Je%HDSTVlhvT~Opx-m#1+ z=k$YQ`!`DS3}rrf)%>>Nn9wrqf!))x8~Y#qOSj}t{W#&q)X(3lo?fth%lILAOC;x} z*IsI0PHB9e^)`KVWO@7U*IsO<H$h2dX3H+)J%_F57u3|JvOhbb*tGlgDVF<ITMpkY zT^jWGDc}3u*QQ2fCrW>v@T=M{{Ey!55Bsuhcb@%P^sx4N<@5f=4fk^<eqU&^bVugL zIm|P{x7<{EGWE6kb9Xk=o9Y$T_G*&zE$4ig{@J;J;o$9`iXXy4IqNO$SzfT@KW{$} z9jf~0=^udw!h7b*Gv$X)1*vQB`%qTLu_rY2Q2aT5Myr9<RGVJE_vB}@?I-&`$;f=I z3ARc3_-R3_#Dn)T_y5%!Bo(NXD)}vw3vT+md47J=-Hgk}e|{`K=+q|_@k+t;z4kn7 zne!fY+b=GE_BS=6<9cLtd-=Q<bLUm-7dl;iul?xBx;_8>98cQu_f+^STcY>IbvCbK zpM>U~_f>nR*t`8(vAVW^bE)Rh(CpKGH(29k8sGe?t^7N$$ox;G>zpZH%}w8HU%UVF z&zX787jyWEDyiIZ<F;4gH{|&wef8k&nD}Q6`!4TVb;i1zWA$0FLJ6<nuGwPeEgLEo z<r73VeSREMFSzIZtn0yve0t~jQx;rnQvW1zO=10$ud$EctovM6r=U?Db>!pCQpU20 z{4<zRo*#eu-1a$pQ_*r)8Ebnx#YXl|5-$|?2gX_3Ke#hj?Bjz!1$A#)PJaIXevYhq z_4}KaZ9iQ9Ht{`~T4h%Ho9Em4e|I)?SDHPmy<Yk!_W9e-NCqAMudsfuyme)xa|iqL z_Rq|Q8?XIaV-Z=serD~A>n^sRe`T>1NP2(x_G^{FAAMI)qB!VC*Ti%D!C(DJ!S{27 zS>WTy*DrPnb4sv23}ex+`Tx9>=Wye`j7brqQ$0An&sf!)eV%*ef!y)^Kfiwd^7;>7 z-v3|U{@h+)zi-aJ2d@ij>}_j*+}``=t?9Y-pFey!DE_AOyWRJv)pZ~D)xXJ}S9$04 z_kH$TpBdhleD|~Ud;ZzI*Xy@_zo%Y%=l8AeJFnmT-2HyHT^oyB<M-WVvGV>kcHb&1 zzMgO0`_AfK^v%!R>+XLo{<iM@Zo6*__k7-QzOc4N>1?uWf8P23i`TtQetfU;&hv$K zuO6Q}{^UpS{K?h-&!+#&d7o{+zijh!ar^VX{`V_3x<9yke|^K})qV%!zAAobEU)Fr zujcx;iocO>&uiz3+rb;cYdK0D#L6GKJ+Eo+E9V8w?|%v0`zG=xRGvw;;(GgsZOaqZ z{}Om{V7Fb%c3al!tL+Z#_r5Cbd8>J2wH=Gqhjsi9bNvs-eO0_LYV>G;4Dl3FzqFtK z@RkE>Rag*3Lt=I?sAvdbvH$VEetoV6`?`XiON;|OBoj}%RVV%0Gjmh*?%4moihq>; zIrTgL{`~)cemsBsf!+RJUDc1tpX=|Qz90Yiht>1=zyIsX-)G-{{_mNs{m*^%Z?okp z@4UAE{rleQceU^KzWI6b_rJaCE1wjuzyJH+m08DY(sw*pzZd^&#`~}H-|qeHDgS-n zx7v55-~K%Ly$+nK|E`L?ew=xJf34iSzr~5`clUh{`}Swa>+rvG_P+YPuD@18U+%l* zx_<v9m1`bfeEZY<)h@fTJKe`?<z6ke)3a0CUjNl{=PzsdpPTot|NG}w+Ij!Fd0+p_ zJ2Ukk*!_PW)4B7r8mh0hAAsa_kvs6Dz5D?@uUoTzhZiH7JK)8L-$8gWQpWWTUW^16 zz>AT&O^9M-)M&ew?V|w#s%VB-3Ssyw>soPOF+ZZLxOUNNHn#}t!~04V4}aU|n;v7` z$<cYvWko92^Cg+FnSaWbeX%%a|1a*(k3UQM+b`?Sulw}p-~G$S*U$O?{kcV){=9pC zU&j6WA~k(|ae2ja?r*!Q=l}j>yZ_U^{a=s2|0@4?-*>y0kMCXIcYg1=dGSB@#eXx6 z2bCee;AP0v?|-B1)AxU^y?)$oia9v{8(*@y_VLBHKlb4KFWvv!=8AjmogXt~?7v#> z{F+|(^!vAc-@acrtFC+ce)GRtQ<V=KdwzcxEvUP~0?PDu;0k^;(}P+(qeazdQ3Y)i z<1DIP&wulncZK_z>1l{nDs#`~$+(KNsuvp6H@5t*Z*fuhqbkXvV5YIHTqi>5sp<r! zjfw1g9K+TKeqf0EB%t$&BXZLhD<PxrCFd1Q7tfpby82j;&73~1?W>=MRQ}psns@z1 zr_IbS#|vdFb_=|XsbBf~_n(wcDVJj_yDAG3`pV`_We(@~75RIAwC1`6`(5AvcDZpP z_3obNV^fRw=|5{P-e5mrz5B|OF6Z{Y`~7TB&HNhuH~f3@e>YFy+IZmhKaT>n@ZXEu zUFE(Q3U1o(x^J8Pj5`xgd`+moA+LNor6}rk%A4%8xW~Vf{yviU#{O#N_wbgG4%U0= zrSUJGyC>Ba*#7u=@vsvAHF>3!`UP?~<y*EiMx2;FU*2gR*PgfhjoYoZOwj-B+z|I5 zx1M9q)rGA!H|3eWFy;Stez2BL_sQvh0t>_|Zp$;}mu4u&e`{yhd*Jpzfr{16+b!dN z#0m8O_^!I!=DbVMPLZT7rLuFxH_n~>ck`dW+Mn}`SIteo)>)a|bNk}6yO$~|{Pbr3 z_}P5@`(c|E>1ULati^vP<lfVje0yh4`_GBpuRYJV+q`fNN|v9OQy)+_?cdxjuQ@lb zJbuQ?Fn_Lbx<US=8U0Tl{kmJM$bV#-$nSOc|NY~<=9)HlN8NV5OXtp3&ye4_qwagn z3FeKe$M(J6{@Q$SNq#@}di#I-#N7t|75mP<mNxBi|Fiqvw$rD*m3OY$&8PRb>7@9N zeG&B*J%1akQtAbQ6vZlj|GzC}u|C@a9P0a_q0aqtlRe7|)_dRD4@ApGotXYt(Lw*i zHhY$PuP!+4d&AFI)wuny;)k$yu}`V>92dALiQrMIs26~{t-k!u_CLcbds;d!`j2h4 z__?{#fBqKjIq`GaU%Q=$_w(_6U|aEgw&a`o@7Jc+*h=Te-+%M>?%(A9A@B1g+x7G2 z{Mn~(J~{Ps*L^;#|I$vsJ^S{}+W+2r^Uj~9&wjP;@msFGe}9>8>ihhgyXL<8yRSbw z>v_yA{X6%c_M2DN+xz){|JP?$Q$JmQ-n+kdf2VBzIs4z=+bh?dKRkQ(-u-)jFR!jI zx4--5@7@2OQ@(#sC=W}R{P0{lNNRodzv>Ov|I{mgPrf~W{-1w8-yFJr!p?r0t^9KP zw`bpSKbzNX_&;X<hd(EZBDVMawmo;+PHDa3C#!Fh^Ud^QX7IdMKiT|P>0VSu#Y=X- zRzI0{=NrCQoYUifHudAZ_2E|kH=O_K*njTpVf(dm>o+F;Z!^rfbH4ZGd`{bFxBodW zZW{f1?sR_IMSJ<GZ9V^5FKkZzqTe=8cX7SummJBzrx&Kb{<1OR_>cWURol+|Sr3-c z1<AZ#n11@jeGXgcuZ5bWXKMcB>!!+YoZE1H^Vg}SGa$}6`L%>i(%{hzDY>_|4*tBj zeg7N%IOqDpy_@WpwJ*z^k?vD(7ngqe%zojjZ9Q`H5>CI62f3+#twr?m{^#d!{Cy;S zHfQEfd2WzHD_-0*s(RCN)2deI%f|2h`FA#@KHDz=a`H-$ll|N0=`MaA$6&blc^o5x z*m!yUgY$ctlG5tli3%835l2}6?fO%+zTw2r$0nsmuH1Dr{N1sq?ZoRf-8H#4pBug? zD2REjIOqJj>GS*VJ~<no{B`-nuQg#;q78DSkM*BDpDw8Mv;XB!9j7I7@yf*$)VID- z{v@TeUYUP|u+6lm-S%gm?0Ecm?u^B`ceS6^oPBop*2gEOD}J0k%REo{Q~UIabq^!n z^sg1S;}+Z*ckD}zm{J;uDElS)FJteVnf0>g4_9QbY<@jG>6>6B@5Jky|1D^@$h#-~ z!LvN)?XR%+>r?wbZ~S^Y%lI|-tZi#&#jm@r{pVo1O475xpEolnxt%}H*CA<ZZ>^B_ z<<Faj85hw7|5xtiDehVOJa_f<%O{xoQ-5>XR2Q6i<tALPcCX#_^1p93PMlr&$G_rC z-si+0KVRMX_wnsb?eMh1jrN&eDtdXp3MJo&us{CgeG4c7nS+wa)eD<Tf34t4e*b*$ zh0UqY>g_>-?4Yz`&jX6rKu`kA2JzQ}qHw=p)iyu=e<zz;=M+JFxRL!<W?aO#Jqy|% z-?HUCmwx^7*9q~T<UfQa#+(;~4_L%z_r!*Z?lZnzxg{Z5Ak#G=PxHbwJI(denra<1 zJ(lur*)l_cccJFdOJNQtdz6j4#6B<{v6oWztpC~3f5zTK%KJ*dA{MD+fu>~w&8)oJ zx*}FM#NN02ZC(D>_W8Ws)$iw4FWVHpDSiIl>)XFaJ->b5^7*`(md~eob;|B4{$8~E zWk{~y>JX#1i{1O5J#4(l8vNwp?W5-(9Y1`-W^zc6;9;|@Zwu4@TipD&p{PfDYigTH z+v6p9pSfb1=Wy|Eys@oCrDRJV|2pQ^cg^LR=cNY7#bwV-I(azw^a|d%6DJOrbM&dE z)Md<2Wx20eF-@Rm`h!TP4|ki=IS+p4n5W8OANN6rt-k!{CmTC;j(Msn|Abl23pLpX ze$Y|;!4Fmt_(6wrpL+QG$t>q5J=k6RaWd=qqz}1ocm9~na$acC|C3GWhCif!{a^4P z(&?GKD_9@rJXMzZRSzP0;_9Bf%<Tf%7|eR!X-^63OxyL#uA6NOe9d{I|1(QpZPeD+ zvW7C(wV6vc{%xMK{G!?O#JS&pHb`7)(_i`d!+{q~g_S=9pTCx>n72Bx{mJ2C)2wFk zPbtPHcF3`8?wwV;;%2{uh4|%FYt0R1+FP$4{kHh@jg0M*?O%nr%N_n2J?V6G{94v^ z;rITWz2JQBkMb7<>$&aEw@RCSK7TttZg-%te_Zy}E6jyCi__$@z9q+tX}Fv26+B!x zRjN4jnfJEKWv9QSfA0MFCd$o@hq?8oqij%`@%xJF5pwS<D-uc${;;VrKG$CPIejkw z=MTK|`BO7LKYPBHC+qO?KPL`<j$_+;@cM(v-;GxA?sK!-Gu=_v$o_q5K>LGA{bnnc z^VO19*!1l`{dl;S=aqoX`_usSAK&i$tkiG5_2B701AX~>rx%!2to-}|q_OEaOc6x) z!S7F=fehf>XDtq*6@Pw+V=Lv5+aI0Gd2qg3g;?|Y!aX%EA9NHy&)2J%Ch$iR6yNi~ z@xLAv8>t63w>(%5j;3iK{$*BBq(^_yVT(_$JuhhEed5n^E>P-l2F2%0knZzBHr^cn zY(N^*xj|CyAgP^TDPf!LgV!VO|7=Qs_~(*niqTfXrkieO?XJ9ep3eX4$v;+keK&~$ zgTBY6S})iBxl@wzuFGrnrl;@OD<i5e?^y*3%AC{hR`=zL+jBo&Tf4@}?)7(xj*C3X z{&Tu>ue@<S`>&_;z`C9d7fMg;p7+}GWUyS^dPk0V*$q}K_DQlfeI@(;nf*+*zrEsS z`>emMpL9HXH0PN*nr=HOp9qQB^bdC~R6f0U@_0eqL>sxev9j}*K2Ke}>hb0;Hh)gO z`r-Cv&CWBo)b@Yb2u@P-H}dh$4fk3fyTj@DL$m(k<+`4G`oGRQX>7gI?&`Y5hmG|) zo=1AbZ~NIL(!aHVZS~cd#S>;#3Y`Y$)B3i1QdaNcZ<(v#)tA)|&S%&YBHM4ci^1+s z|Er2MqTG*lUsu)3eXl%jSg}NMo(oT9#a^~2ru^qk9b}v23;%6B={Wm`?D?bHZsY~? zs$H`;Ffh20FCFAroKT>U``z1p|EF&MTPx$)_`a<E_{d>)-5Ki(@sj;@Th`uhnaX0Z z|D~JtNA<|B%RlDoKURNtu<qFNC1(3dyX`yk?=Cx=d(v%9|4)xHquE<5!-6K(XxGg= zwME!|kCBorfBvOoZjX-kocwWQ_Lj*X893MU|L#6GL+>f`yMvAUrs(hRz1_3@@Gr}I zmwL8!=6_#xzi<0H$1S-vPxK#N`tf4g!=C&*&9zT%_q;OCm6rd>|E+NE*%wQC&!(8% z^89x#_g0#XL0jF~^&jp}|G+=pK}GRX`p>O(J#H_He~52;`%5+M$n%PV`CEKzoY%eC z|IB}dqjcSCxi`Uo8ZEc<-dEB87+SZ;RC4~4{$`%zs&&iH+*e=J-xllKrzY;qnslf| z|E13_RqmS}9S0b0_eXL4YCK%G&@A`S!Mu1Y^^{o&2G_pLF5DK+=3LdoDtXhAy~X+1 zq`&DpKJ(8t|MmNDXMcn{n-cTH#uE-H3Pu8w9Xu}7<lZ~aQ{z6*^3JBcHTv@ej}*J5 zdml7j**1CZ)7w6}_6@gp)xNf^C>Nf`ex%wa=*~6k?OvA+_lUDw<W{hKY%2}FS9G>C z`}+FJd|P|JKYmeI^GxvZwKehPNeZ8%G#-CA@TN6r)90+sVOiPv+2Yxg%`3_eq%7W> z9Frct{pJf1W9itru_xWi)74{S^cdGHeg9DE;<L%qg;w#he(X`4V&Z$|NNY(}l7wO9 ze8c%qUDlsEXtz(ZT2)xBI@M|B+#~#NHk2-vHk-@i6X3%=DYAFv-PaTDP6~Rc%OWfw zJb6~9d(zo^Qbn6<AFukM71=Mdz3y?-XZwwbU$0)U_ANZO?$*{lPu9w6Pf4uU#{d4# z7PVEE-5GWtPs{ZaQS!(r`m-_O{Ev@sWp6U?TDrS?-K8JrwtakjL+Y|=n8)Xh(z4eI z<{f$#q#5yEd&!dLnp?`>yxE=odfM&xn*SH<DLB~V`ZPOiU5w@WJvFztZF;$Hcg^)@ zyUp)^y?J)~S>u@B?=v4+HWvTN<qWLkkTGUZa9{p*ZOu$Z_O!$bj^z^1|1@*_s!6$Z zCtt?6V*LXt%`+DnF3Hc4QFoc6UHHmix$4b~Z$B-0XT@E=v3a8Oil@8GKBreyC$0RF z?<vK4TytHcx%a_HyC|f@vNk#4yWUqtmqUKXy3IrPUW?m4`Cib=qMHXwe}`%Qsy+S1 ze5Ieg(2tMdUJOU>>n&aPyL|)8>SOz_9eY1LYCpeK&aH%yt3N9G)xXtG7pdVZ3HP^} zl^pu`@!V4#|9Lfk{IT@<cscX7_uRReMna$OoxbN1QOvJ!_RHqQ>nFQDa-P@kdGY<# zX=%o~XN9GmgKl}t#{T+N@?OY1{@0%x@zW7cehWu07xv!WIn8hN=d0x>gZI?D{QP-u z$RwwI)k}P)O!CvY?YpHUYHEIa-NM_`ykqNLe*PS*<f(YSHt55--6csk^A;_=ysBpR zmG>%M{rY8}m!3Z_{$9y_pT4)}{nhu5xV#OK{8?7|VBf53Z=Z6@_qpFY|8ZZ*thfKC zUwOG?lWiDJHXrl!Uw;y<W`9^5`FZ+xweKf=IG-DS_`6O-rhD;`wRT6nrW`4#UCvv7 z=IYw{>gVETEb$gPVtC_}%}2`yv!A#A*ysx@e43-e`RIo9TN}gw6GUdj-CTI}XXT^s z(I<*m`7|WwZTUP!VCBPN*4+8q-@SSM>+_G}_Z26+H}RZu-6H9@)7>?XH{aUV^X<>o zN5}qMOkD71_mhm)edRf~w=w@ZR++Z#h4lUCq?~6uHETM=B!B!*ejr)9RcmTNtvmm^ z_rI!3XYF~sqs5|)@6P^<W%nM$nS0003+EE3)SG7WQ8H@P#SJF@XY5s2Wq<7PlU_IR zc^IS8c~K+Br`0VMyE04D=Iw}I%v(L*xyJ6jfWoI3-O8|i`#ToT4oiP_GFxkr%&Ljc zm$Nk6<WI5r`duwCM_AX`|4#4)u^OBB`L|g*Y|K69{rWx2VxMPe+Ps&g-c4`TOng4K z$#Gt}$GjiwG*-GDHu`+zcV*^b(@%Hw9G+D6t8~0yk(D~ng8vfV)f2_-3=^N%PEyz> zy?ScpBO8y~<x^Mt*PVNKKQ&)e!S1<@2IsQZ1)qhM$mvqA%yW>a_-gq*UVFdx|MJfN z<^QK$sqibD^3T8I$d<QD*R8Yr`rx_B=V|j!6<_<pczt~V`@O^UePIP(7{6P#-hTY> z1#_Om!+kFwzF>a$nQQyu!x!1_)N*Y<1)>)m`dVf2t3f(w$Km(8etXLo#0Tt1x0R_q zP#gGg-*b?Tx>o5gAd^&EZ$AL>Pji{y2kF#nef<q2-f-w`{qd)*b`Ru2b{q%kv|Uor zUt#g9;dj@e+>am?p{>!cKsvLz%#VU}UKf4$p#JXPrRVME&7abqSZMQqhwE`;zBh`R zC%z|6eIKMB|Er<e<>4}rA=M!d`Q!?}%iI0gZ}D9|ZpwZy`EUQPm;F!Oe5LGm`UeS@ z*ljC<?dL{m`A(1gU~@X|sJ=zUw3|EKd^qhs+~Ldpe_K!f!^1r<K7U;GrMX``cb~+4 zvqUDj?JG{NdnaXG^Wdk#t<Cv6YYt35di?$p`I<d<RO}@FN4yRVUmM?OD7`z@PTWI& zo^#ddXY7g#Om1G%+-Ru6`s+}!&z6IS_2z#_{rGd&m*(@rPftqyFtnR1(<^rK)a&W- zjRD)&?9SuOvYF1#Jb%N~*XxffZ(VBo`kKD`-n`PF_^n2cb^DLUwzoDNEDlS*_4kln z@1eSrZ#sW|eC}=j=)BzBAIfE%RtJicwp8CQDP@SC#>eMrU$Wtg#r1<9T9Qg19M=zG zui)$7_Lw)E{X^=@2fQ!a`OIXVTm5+1dGytR{`e()ALc0Mp0<ybJurP~^M4Qfk`0e7 zt{)T@?!MY6zu!alfo0GwU3Q4<_NDSxJN{c-KNvh2CTq=<Astr^w)ft|x)%xM4SaX* z2N(vuwMvOuJYV?x+?X|de}1^Wdcd<)CHvpIR}A}fWFN3GTK!0E;EP!nx<P8T;$x|+ z2R|?05g1jwAMD!nRbL~%&RWg<V^fL3`c8AJAHfZL3#M1;q{J+?s(5(?>ZiR~6Q{`) zfGvLFZQR4x$MV8q|9iLARXZh()&<J$vDz9T`{QHx701Z6Csfz(uxilvX15Jv4}O|j z_aV7UaQ_^K<umH$2V4^VW(+deq9kgg)rZI8E1NU3BA@*~dX=#z(#qoCs|Sx5WPO4@ z%b!j=vBRzO!?l;tKsy)IZTvVD9Ha@0t{&XQAj`2uk6ZHk3O=vBP$kP&hrYV|9_-^e zDWxA?GRU5A@7M+Q#*3L@&|t~g>J|L&4Mb5=>4$;_K9->Atv%Tx?30&^uV%Kdn`mX> zzV^}*aYzhkhOyi8TsUZ9`hR0i8%R~~dCf5Px<5*#1?!^byc3TB$KvHReDk<2Fy7;j z?*|+8R1)f>v=y#azvh60wxI3mL2X8>1HZq&-Z-JK_f^8PHPDbXzN#equNkZ;V4GFO z^vULbW;pFK^8Fhfxom$_Iw%}AB$PozD>6%w@83OeXwAqgy&%1S`ERYVR>;}2U^6e? zftvYzrKshG^I&Bb*Izx@#31|N@8PczV6$@Spl037n)uEBF*q#FY_i&+>A;@#YgxY8 zs^-<_^&y@#a9?{)etsD^)}KYlzOgx1y5U<B)Q;k*eDnUU1-ske{;P!Rh3lGo#YG`u zIAeLqhVPn?=vsL7;P(Dv*_>TVASr|~>GC(5mtbpSQc6E8ZYn*nCpt9zx6PsdGe6Gn zzp)|hEANT7-;S=kcR+jJ^QW1o=U<5Yx-UBBYt%1wDf@ppGX+1!OWgf&LpS+HU4mV3 zQy=gC!cw>S^VQe6pZM(1{mJE!b5Mrtr<mhEb3AHaoT#>tIrHB0g75tK=09sUAFX_B zIfXl9AA9}NPdxG0KJGaocmDCy>D%w@FZp<-<_6Et`oR2-%xl(q+3V82Uahv#-?it) z<D32dd$)e7*cYICh}nOK)#CH#i*;nqi?7_1E?3r{{_D@4llg+jKdWlpzh|p={zIzl zdG9wLYvK-ke4`b~x_V>e`yEo3&7Q3LUhyvS-8<ja>(AHKyjnZ;xbeQjv%dY%kC}V% z`EyILzUN1MqF(vt?>KH;TX*lt_KwGq^^$LA&EIWvczUT#{S~?M*V$yw|It~M!Fg*< zdCY|;rA@DF=gr^0Y3K3J{}~SInyu6pf)DH5<t`C<D$4%hY3~BJ4~$#3zSt=GR{L5N z*Vn)Y9z9%ATpKSg&fV~eac$QXFM$@9)yLcowX{0;b($Pqo4eRvGjE*Qz94XQ!!@Z7 zq9O)A4m{}o^1JN4{k>}Ijk}9y?94oW#&Wmu?*B9Y)>Rvqmv5SVcS%sB@7s;nZYkc~ zIAh-b3v<60EDyI{7IZc*>-n_5ne6_$<~QxGuDty4%`s`Q+DGlV$3jnR`FuQ&^?gpq z$(IL3-?glCURC^Dx!~a0bdx;syp&tLzw&x--o01JZj@iScFpGVe`IfL*cDx+JO9_^ zg!1F%W!LB2)tvl$!_)TZai3prj@=h`CR6iGnI-R}$ER48+2miEYwFtVJ8{1Khq3w3 zs2?jUZg4jL{j;LUCn(4yXUTq>_Zc_+f4;NYKYv}<x94{+ov*5SlVAAlvqE{j;n%8& zcj?U<7tU?FaQ>yd#?QDL8owoHmMz{V_IJ)b$CJ^YC#>0gzUfzGM|RwPe*d$J=9e$M zeXdljY<JA@lc|3<{IyA(k!%0@<Hr3*Z=SDs^ZBb@jl;Y26I(Xxeko?W?YJv%!rvaB z-GTAlb$TiHen~~9&u9HwtoZfw-^ee;a=ZV=h#k(Gep+JZI_Yim`{nX(!(4W8&5hLW zC;#%yEZf#vXV<oqeXlOeRW?&9KR-Kd^;>7f{QjkN>rOA4e|OcwWgBj{O6i>LJ@l>k z{iAnDEj#+RfBkXe{-Za~6W1_ZEk6x2sc)zHn#ph%CEaWMoHDmo?8^D$f%fKU7tibN zKeDlKQzXysShMZ2Wpg+D-Lvq>w)s$#9<F(j{p=Lfq<^Bt`W3O?jE&y9)$0DT{M*Dk z^Z2=mrQae0?D?11oqIj!<lkp&ZReLQKnbipFH&yTU3;8d-@SQ$cjUs|XQus@G<uuE z{kMjxI6p!g=Eaypdp5tD05+_DN!_{gi{{_;-ht%D&!S7W{athX_&>39`}rrb?))#? zIbZQIC<fIHzuT=pQRlYo?uzxU_Vdr|Dcybw98~qbe`BV&+V6J$6cBvltrPFGr*4VA ze;&D<^E<M?|F7=3I=j}Z6<>T{=Il>=CmcTo9#E<Go=I*xXUF~O`Q#PzkAJG0cOtJt zcUP#BZ{l`t(}-!(t#6+*yWRe_Sx)|U^qjvoT~{l9`4-tbFPodYaK3-)yX^V!Ft_Wi z{G2}p?6vt$e`AjRo_Uuq{>>r%CmUqScFVM#sJy!2@1D0h@KEwkzZzGz_s;nVnd#9l zo*U1o{>gRo{E02nU$?$^e(_9n)!a3k&o}+rIpx<*weGrir}FHlAKh^Ksgc{d*KKc~ zKYe}+?xr@;-7lqJ-b*(s&szB=9hC2)zI=W^b9>e*usJ`=ubeN9{PNlF&9`DuD$q-Q zvMcXK-Mo+O?~+#RxL*&Ay7OtGFUq&V992A{`oQH)^CxDSzskONK6z&O$ElF8GF~xX zdh?6)vd{lNcPIX?JW~Pp)%j%mzmvRRu6aD8`t)PC0nd%|AE!bLFuZd9?j8M|cHhk( zuDi7`_{MGS-}_3V|NNdR8+l<ze18G|*NuLK`{jkc|6ZB+{q+X>mL0zpbN09It-qNQ z?x_8D&5xEZ^9}fBXw08p|K!uWcO?q8e7}AR9Q>Q{Gw{X7{zV__<=+0tn{wvK`{-8d zpXWcuPS~kc8yBrN-+aQ>XR=8TWY6!3ogyBYeNT|>p3yVinM$v_*O<Q7V1Cc?Z0$Uq zy(gkR&&z(WcS7ZCy-9H?Yb*7QK786P%{>*QV>Qp7$q}{7{U?2Oy*8`7t?|3kv)maP zd+k0S-}&L!mHB*y*MA@Xzx%}N{6%+nF8==UbBdSN1MLf+p3F_QJS6u%wfK+W3!_Sz z;-1Yv|5jvfsl6W5#bqAWe#I}RD166B`S3r6n`hYR>rSqHVSK;+*KfvSyR7$aJ{|Y0 z_C%g~q>t8w5QUWvL5)k8JXt4ksfc>eO5nl&{Y-0Xx2@WdkkYvSyRuhz-}ldtl)2r0 z{4{j_^RwRXzwU9dwY}|ow(k7jGv)j&_HEnTK74g{=d(Mfe!O49<G**qqk}X5e+e`w zx{*-7_y3-q^ZlgE?$oE8`TzgomtRL&oiDxSmy3J#pVe0@mc?AYz{9c1uy*P0KbM;r z+ke#jIN_7H@3sDpll9-u`2X;0Z8j1Qo{?;OW@DUu6#wnlmfMb}zuaKAPy0}9jE-%h z?V0M!U;i1W*4?r;_WsWwy1(Q0>E*8tk5|n|w!IU_UuwOh=j}&E%QgMKJKtYAP&MQG zqT7d;|179Jz5amdOwoCz)|cMp71sL9fB$)L*pA6%Klq)ij<XwDe)&;*m;Xrr|5TsH zyB9LOE>N&6D~i{8S$*|;<&C)S$y<yP%e{_^zKgm3IH2fF>Klt*nctGu=ai2-9_!P5 zVsYq3pl@GtuPpb;z@#@3PJNeqWwlQlp1ZMemP~Mu?CTSSr9FKA7p#)^H=msB*3-A( z_*b=}JEDt~SIEWQW$dZn7b^i`|DOCfGuhqFGR~k(#<S17M|Szd<jEV8Z7l7~%Y0Pb z!@oa0GVOS)@yU(THzu1|?n{5OLiPB;V}0r;4Nu(II7jBVwDmou<C@3%O5d@v{<kTg zwlO*WyHjJik3rd=2AS#7)_h9Gd5`rSK4EzKMxl<Sn1r>T((%d1`V5~$q`sNq(s#W_ zcJj%M2X6%W_4)V8icemgY<~Ok#?~h<GT(go-+$PC|C{7D5ifb}eVjZ)=GXU{|Fs+I z{v2K`yZ@=`!*477=Bn-fXdo)5uwR^iX4$#|>5AtUX3UX`dFZ#+(oNF(&-ZJ;t6~H; zD_>n#{9H3H#%FK$_FwVuFTKC`^Yw(6yXJb{^XA?2^uVs4E%Dy^MsIDq_gv=LBYI|c z#*{Bgm+#%5Tt2Vyd(yMJWz#_1%_pO?AM9<ZWRFqUdt%FXnNRDCKK$#fRG$LYI(^S; z-aXTe-Ut6(DlT~KV&4D1%l{STb~pYudT^)a@Y{oVYZl*qK5_TW1GSdL{4><<p4d_@ zQ~A9hf4%#UUvs2YjeoXs?SC=PUhD6iWs*}bb^BERceuyQyC<CC^)vrakPW)Z@AZ?v z{dzCEZ&Ic7KJEVX6EfeseCq2t;a&g!Q8}|=<@?Y#v;Ljx_Ni8%Sl-k4J?q)pJJHv~ zXMT%wxpz{q(mKtj@#|mX&+C5nfjo2a$zD4p{lB%r3(B=Ve+!OFxn<qD=PKL1>>1nN z+}^bB#Fp)I_Vl|yS-Sr}*P49M&w9V7eNj4Obt~5Kow#z5u*HR1-GaP%%kP}n@;&L( zzU&8k`zpPEpRiKCe9!yDZ&#PN?`6B@Grg{^Rr8*I{mZntlv}^mKfQbQ?|?zqV~!@a zs^y0H#riK@m+hTC>HBZCd(tzudsI%$e4qNn_R4{3<<IACd4nD9cTb7=z3-XZJC=i- z?Dc70-h;X4_ApNcdCKt~H}4+f8QZh?BjZzURjXF6H~et#%$~2iL1DGGYfrgUMY>V` zzE4V*@2x($`^152?$6V{Px<P)Y;V2V`(n0x(KENNYX=#-@=5LG1J%->zlFx7+^XiS zoXxXmyYc%QcT;L7X6B1lmfutT_qaXs%x(++s)?EJw?4Uh{XjMQ=VzMwMsN4_?XmAa z;XU8EaPf(g|Kt9PmxaDu2MWCJRiF5JPk7It&iUnB+Pzt^OT=e>^HYAmqGJyW@0WWg z_nfr`yRvbQxXY7LdH0XO3(Eb}yyuI94V}0r-1W)dW%nmPH+sM3-KKpV8~V!byS$4O z+_~~VW9dF_3-kOJ;PhivDK1s9dgk{1AWup@iA{Vk_xPTv)+c`{UA{N_<nOk|?|IK+ zFNcDh?enQF=fU3g%HLocTKB}3_x`td6!%Q`wbJWupXz+o_xITD{XDaK&1O)L+&)pg zq4B%jv$sq4oY?Zc?30*O#ryPo7w3Y4<h{<Pc}Wk}Cf>W%2TG;slgndV;{Mi6l-B$E zZ=wCVm;<0nZ|1jqp7&1k?%_Ac_hbjfk9GT=?JiGLC+TNbJ^ETJ5WcIjv>Fuu#V2<w zDCM7DKlA^?+K$TEw>&|i+P&wzM1{Cf{z8zyvOet-n;0(7{`v32#AkEoP5SEEQo5yD zZl|<zQT6iK8Pg(H6omgc-sxWB6kPlH_`kQEX)$ig_LfiHo*ZX#T+pO$!FDkV^Yh`L zxaF_>?egU6d-2MOR_mX?^oojop59KaotXK4?~}itE^%5qXP^B0ps^t@?)y|wDExe4 zd+EUM@MmvVgF?aX)4YrawLO)`>oh?j_FnzHCELB@Gs{1UgMuOZM0IE5cg|;LK{;u6 z!=B5$d;E>^Up@yX2F6e4%s=R*-J5kDln(4X?y0ifTRvlZ<!q2G?I(8MI<UK?vU`g7 z%x`)s@7<W+Up`~K+7pxk?0oKB$-5|Hzhm}y;j>RZ-@b1Y`D@R|U{JvIPbl|iet&;v z`2tWNMSc2r;J|O5&tbV!K`FfZgtdW^{zRtl?Mu%@|L+7@<9;$)W@7mM_$LoV-#zJ9 zpKb`r8v7@GKhv?tg=@|GqG!7QBfyrr-P07T<dk|5dvH&wJSakH=j^$x^r<emc7E!; zeV_tnzQX%G9edbQeHy>Up4^jcKLs2qzdeiW1t-ov(D*L&z|P9IVlUm6?d?AKds5?f z%V%#Ffx=MplbJ-t{PcU1+(2n!cgvo5sfyP#w*O)V`N)6rcK7}t{nc>^_m-Unh3S8{ zdw+TN=tI-N{>k4BnBRM!v96uGM&IadZQGv1ynFs9+-vIvshvLQI}h{w(`RnSgUS)3 zPktsJ_8r<Y_5Ep3UikQ~^~l}3WubzVoljOxn)%16)%xe-Q&(rMzVtl%-egeWBvJWX zqT;$izWr0zWqYMh{$9~ouKRgf?gUU^-aZ*EKJokPy7LuNE2o=!=o`I#d)aXF|9!#} zr+YO1exH5jws)mqqU_syH#8srJ9OZ$|ARYwzQjhRyF|F}HlMh=z520!*ZHXzel&iq zleI8kU#EVfw{**I=c4b%1^>HuO5dNBmvZa2%RObDJ^2Rj9Y78^`$RVL!P@kDtG0tO zT>kTOGyhp%KCrv5^7Z{GaVfWM&)xHs?cUcjv)Atd6%Fsb-bpJLU1oND@c8KL13N2k zRz;?#+}dqj`M&pr_Y#Nq(Pwt&%>ANt`QF|WyN?|BZT9(F5Xg}3J=r{a-W$AsQ3Z;N z+q3t~=H2t&DF3ZAC^&yl*xqctqW|~LosE^dH9$4v)IGIHpPF9O|G&||ofr2>AEe2; zea}zB%D>F6t=5(AtMmF+{wf7UWbw&pfyv@_?`!47@9cRwA7q}q!uykK_j=DnuK+vQ z-uYdj;m)6R8#yh^*H`&olbrcYPc?sOW4ZC?Yx}i8m22dudtwu(&zrzJf4Y6by&6!c zXrKH&p>g}f%G1|Rfg;uSN$kevJ?~Ok3}60I`qD2PU2yR1>uaFsx$ASUiurx}ncLx@ zv{13Vck!}q?-cZvKO8;q_q+18V>hmXb7kE2-tT3%mFGR?5cvD=gQ4DzpO3;p`SV=) z>#F>C=J)DnW-kXNTDwnjNe}Lx+Ot$2oS1%}tnO+29{g<WPjKxa_37WW1GkUtIjaxK zDYjGNj@#I)t*>9OqCowA{%ugb21*-o-s^wIWIp)EV_`o3-=a0fMsIUf-s>>Ge}3ln zhbB<m=su~vao{)W=Wn2b>bGEJxKzddlzXSFK*9W*v+}xh#r~vwrsqMm#$B&_YRvD; zp1lRTF8I^CP3bnr1^*PTomqK$-eho!J+XU!<M-G5<$RY;TRRDqzAY>36CdPWFWNHG z>nkYse~VUj^Xz#$v;2z}$cEi>_oPcySR3TO-3*GY;4jq|RhaYLA-Q(DZRPsY7k}pq zezo&V=YtO!&Ef754VOIL{&v&pPcf%9N3Yy)H1DaRh{kQNmq9LKyN|46YuOek<-GaE z484tJvA$EIdz&`0)ojUm#B8!sz_iJKMd#CB9x>9qTPG=HE!b%0aJj9EW9y|yKfld0 zuDO4FPU^dv)pFu#lTOdIzCZKx-}B{l&+QMto7=l+(>BLdrf)=!tX5mKT3SZFcTwp6 z|KBZdgjkEdzHQ<o`>KBbBE7C-9eZUTFXFi6EWSeelG)+*9o{!IJH%hC?e6dTQya3r zt8nkup!fQ=FV?zOo&VGKD%5aYdB>IC>HJmg3VYxBtnbp?yZp$V!>|3fs>=0w@BNyz zZo%wD6$g*qmY?)C{`wa7We@fwpXY7e?(y{J#up_!e%MsibXs>P?)+eQPh;c9hu!b4 z#B6abYSx~_A8+Iy+kd`9&WC&5;}cu<d(BbLSx`TFbJEkI8<Azk7wYR~>y;hv3f~;V zZ@|X=xMO+K^LVAt=QqucPtnXZ*w$2WurxtK>czJB;P_C(x%XP-zVJC$`Tyy91>*7X zSG6ne&GlK|_43QTmyYi_mXsGyye6Jh;2T(V^=rzy1kP*z)30ckEq0u|TfU`y-^@7w z3j67|(l5Vu%F$vLS+c$NSM2T#?$28<>cwx|(sUwJ*!0BOC)T_jo2M<;NEcu}_oMc7 zT%nBHCy8f1HGa1qJ@Guq*f(=tx>fxO9_AIhwm9d%(eBNkx5v)CTXX$;(Zs@T>9++( zv{z^zu=hExQ^-;B=g3sPY4wd~;<rqR-z1^TY0dhv>37S-j~6wnUWk|MeKaFO?w{K0 zRqNupTa#v7XWq2V`G_4)`SOZ`Y;i2_@AP*q5}Z)wGLJnsVCIA5XTEoLPrE(wW#zJ8 zzMrRB>s`H9YB%-zvFeRGYQ?|ouCIStyYI_EUGJ6r>qK)|vMzdhzm_-Y=#63Ge#CIS zu-Ssm>YU}JgP#vqwf<37n{rLfAp6u>i?2<--$ctn&SH|65tZ*<^lpBj{E>~^R=gh? zR;~VY&}^aLD=XJ)rfd1_bhDV2oSX3cU_c<qEx8*K`IkMP`O5rky2YLorFOw{GtXWA znUL=;VRxc*$2Z4$phHm?v0mCb`<FuB(wXJ=b3<Y`|9ZgqvN?Arzt#7prw_7kFK>I; z9MhgH|Kw-wuLl`VnzQ%$*9si3sg9ZTXVtpM+7BDP9Q^*=ZpQBfsShT(CEuH^!;v*i zJ;5GcJN=hJU*<Ba6^FqZW_&qVT`Yg5)`0n;mHeIBa3r_%Ioeem-@cOnQ3RXJoVlxB z&AQ6&?<N0c<BR64ZJ~y?{JzDJGkrf#oyuZbHkW_tx*zM#v43C3d6<1C-*vkapMQTj zxG|$~OMUY%g}zW3A#T}KyVAZM+<b|B|BT-WPi{?*dA_+J@y^n7?A_UiKRB*Cyp{jc zAG_KDnNJ6|t-Zots59?QLpjL*33Gq6F;4ik_6~co{Fwv0&$0iu6Kdlxl3iCj;raKM z&5tMYZ>wwlrO=mp;NZ=bATMnQ{Pm!}2<)Z3R`NB^{u$IvcwO+e*=E1L{G5iGf@{-P zAbV-{68?YJ7V*!ro1a)EH|yD^XNOJVBRvC>um22q)%E^W%?Ew{7NjtD+2Rmo28!=4 z=cI+sq1V^gS%m(2a8Sgq<5c*y4xj70GwlqQoUY4`iq&eY+V}RS+$;Be^YR~C#n|6J z_W5_szPDN|Kdzk*vOlyjt0D4g=ug2Pdrp4NHC`ew>;KSwS*hVy<;$Nhm`qo_iQ<ZP zr?1s_{dy2JQF5Mr(cc>B>kohJ>v{gYGX7eN*okNU!SY8oLfjMnw0YV2?PsUkRhWH0 zcw(#m&U5LEhj)0-<^NWr#v}j4D(1Js`S+LE*Sp#6_$Z7V%ny`aHZNA2_kT9W3Lnvx z4KJ(AZO@17&$PR&wDVm0D&w=2R`NPIJV=qdeF5vHhmS!<oZa&~Z!su!<WIM|k#mvV zm22_YFE%D;Q=gii4G$B#a--C4%C1Mdt>o`~$v{e8eoov^7UsQbwg@Zq&?#8~G9bL; zD94g}Gkz=lUF<3Ltf#!v^2dW|`t3-;Z+e1#_xr_m9m#X93)rs)r6_(+`Iu?H6W%pT zI$XcY|IJPgDRJbx+TAGC|LQ1nx}kY<a40A@S-os72WJqrs#3che_tp5P`Li^7JFsg zd^-!tpATkLqa+S{wb~DL-F6+P;=*cIJ>9d3tyOAvAAhOfnRVyb%k%h=f<u#IPiQ$P z9ugi%IdrVb4wJ8m`1L?}Cx6(V_lf)lwSN^`9v_{>CV&0sgLmqO5D9*RWMlbpc95kX zE^s!2((i}Qm)Q41e01RY&j<J3w<7s}>k0PT_uXp+jvGCA@FoP5{C2qhdcfChm+{Ni z(j+$ZYADakP4{>CPxo3dFJJrJqPi!qf10g3{PlCL&HnSxZ!c3i@LgwlT}$#+hRv&1 ze^UNXIr;hRs-^O_nHT#5Zl2c4-8v`y+Jb=O%O4l&HQl^=cY5i+DZdu1e|~!h-}UdQ zHv7;2-n3ouL)lh@M^}Gxy!`z3KIPgE{9?5Q4T%w)3p2M}s=xQLRrJEo2d~tT^7aR- zEe>Tm%j`Om#m^O0xPvnH1O6raawlsI_IR#Sa<!7*^L!;zX3cT5+mWmI)lp_O+aIvv z^Go^XovGa*wahl6*GfL-`)nk|d*psTxHcOUjvF>8CW69ogGOz^htO~T?lDe!n<DPJ zd2&tVB#lcyAN<;fq^-s}N@4c%Ao(L3vmQiUHC@ebr(0W)#3e5i%G)X^<y-GRC#}M^ ze#z^qT^62yUT(;kwMJf{&A|I|>MXOQY3K4;H=Zs%u!~K0?X;2;hDV*7=QdSbbtx^q z{AjV#|D0Xx6w3T^j?a_UigKU$sC566b;_*e%D>O^{Fk47XYVe1o_9O==HKykzw>ss z=g#H`p>lSWa(SPFcRM~zd>x)Kd3Wjgwu7?QA5Pva*|GcE%PH0!s)gkqMc3yxSx0>= zP~39z?q~K4(VE!woqY4}oOQYLcK^I0_Khm<_`KiAc^<sGp&(HCR8G<MUkMU-3T5qf zR<l3KyIKl1<$KeUcdIpbXy28bq{;WG+4tQ%OA)s6n0EhnX^QXqV!XkQIj&OfzvRI> zMUPW@BB_&ir`Gc~TdzB;UcOndJT_RPT)_L$JJFMO=ZV~z`D?C6;+BOEr<CsVeRoRe z(Z<5_rc0lE{C=PR^4CXif4}!>|NMUc=0A4(|JN}y)tpz^6<2sh*==R8cxvp`^CurX zf2wxB{(t|M#ddGp=le`ERQXv@;GX*{@Hux$?C)Ry)viDMY-Pc|cD1Q*NvxyJORFEd zp1fN>!<yIg>#WBMWw)J-z2BW!aJ=-#lRUjg?_RK+l07nMhqe07YD?Dg(uIu6E+$Xj z?RxysgTB`vPTsXS68qf(tYWq3WR|Hl!o})RYQ8TYENNzx+kEowz3EVOy&b!a!-L)_ zOgj?W{AAt6l_Kmt0p=~MqCRQf;Wyhk`>z)yNN4vq+`Qlc4lQNX^0PCnd+*<zbKWZ` zC;0g}VThyFi57<2PXfowF^_l865p8!%=kCQ=knaGhs}1*t}%k7fx9g`Zrk*LRjGNs zo0jy>q^^C}_G3P(R@+X-zF%pu<FQpuQMoZwvJ>-Dz9i2(zLV~(J$5HF>67iZ#L2sl z8AI%PH@9PVE+mGp>+Ib9Ug*)eXMYbY`ycdfS?5<(xwYML)4n!qI$RD|dDXowL||`` z?B=6}7e2CjTRoZaXyHLW$2|{zAK-qpIPgjkBnjwF+MU1b!MQKrzj;gxm{qs=W%SF? z8`&?bYFOf`4|i3Y&HcWEncMnR`i0`KH8J<@|BZa5{NeZVjgPL{?AsETz$137uud!D zpQ_P+o!viOr(I%8*6tAhaoow|!s6$CO|eJp{d<$8_Hx_4*em)nH?`{V47dDt_Px0t z?~j>h);^x$_I|G17d@vc{(X*Loz~3fUm5=QUJah+Dbp;yTu-a)7neofE>b;n-EDWx z(YkPfomq?6c22E%E~c{Qcl{LO4#xC9mdj>-+V`|w^If#dsZ}=~DMbeC-ahTz?)AS@ z*ByTT_fu}iZsYZVpvb=~b28TOxt{3K&94tb5>V2SyKR@jdFZ;<&T6ygdZOjl($GY+ zTcG^wa!AGyJ9*c>_+3z;d1VD8r9?OFxcx^Tobq=27L`jCt4l4L)#4z1bI<<QvXYRz zcdvKH?1Cn6BADj&t}Q0Ei`i?%Y=@Il5z~C%Nx8Q}%7UdFGjjEVL9UB!f3l9Vc>3?T zVsCttA#RAZezfkXD%hIWQ|_ESa3^zhoGjz)I`Lj;<~=P?zPk^cu<v@Eyt~%2e5F|E z`6H0@^<C~r?CWl@=gOx)S!WFL+<LQCh_$x`%YXlK1Sj=um2&xGcRJfVCnx-h(U>&# z%Gz%;CZuhN+<Q(+v#9>kwZ}{6yt4dSI{8J_$FFm&u0Q-`Svs%2QA60qKX%KPYPXYh zdsq^dE5v=++t&9ZL!{Tr>AH4b=d*+PtJb~x{&v~<mF1rHRSdraKP;AySm0}4mCF@- zeP3PusnQ>N`1IUgXPv+QVpmhdrP2?JC+$A}^bRl2=AL!)rP#`2jvw9e+g@~S@||X0 zcz1Jd?uO7%$>Ze{?r3|4&k5AfNs)41v&UpcKwt6Jnv)?4lk)Uc63m6X4;VNwT4r)h zS{f!C6ucn0$&f9T^=ZnD+1tf;TPaSeZLkx$zKl~KeMjwr+fj42p8GTZ`Mj%ltKWV- zw&DAkhwpaJ-+Jx;&GWz3-@o~M-e&&Du)J#Dy}N%+Of6cjCg;2<@$kA#nX{Yv_V2l* zzrE_S&Sb-%)$iXuJkGaQ>)X@E&lX0Oby;l6^Rd-yHaN3!+wt4>&NgayeqR$z`BHo| zfAP`eqtdUxPTu-Cz`n@Kbm76zGc@{6+PwQXrQK@zv6Uz1&Z_XZ@0%-gc2jy;@cok! z#`kpt_f@a?G<U_L2e;>NX8!1VE_k{8@`3WpWq}as@O{;rKHYt=Oj!5Z9$nu1A8nF; z<yrlBcl7zn_bF>;zRx;Uem-p9>8HD2K41FKdY-$_{aS$<^WE*g{Ep4~`A+ZY?!8mr z7yVpUog}sIapjROcX>YC{bwHpHhOL7KI@R5deh(Q&70EpzCzBh_PgSTyNB*W+;w+( zjro@|RWV}nZ6{5|SDGs6|C3SQ{x}xu#OqCoeKyXu>d9wozL!4TeOded)1ntgG@-J_ z2g_I2L1eeb{miT3yTA3xteK6y3Tqn={x5b}lD75dlewWzf>w8Jx9e5?+iJXiTJ`Mv z&eu<e6uv3>c%#Jau6R*j&U4Qa)7%uTtVN>d7stv>uCx)<;9pn2@Y4O4p7B?=1V-%) z7Uut1exBdFFD>%?<&wQgJ|_NkmrJj{=Z;Y^n)Z2@_tmYTZ%$vnt0Px5S!a^{&)=N< znsUA;4=UgMUvcAvQo)=LQ}}kROWr&Gz~_#iHa9q5XT1zAi9H#y`T0TjsqgIqe(Ftk z-X1#%8a9F-V*gLRQf_qZOnLN__i3S^KrhzwJzQ?nKV#o-jURbm{UK4ZP4m94!~K=d zpTr1HOi-SGezUZ`KU9^(k9E1$U+4Cie!km3^?lZh-7I@UR8;5hldesL#?SGC<*(~p zAmM8KboctOeWz33{$B3|F<>_9KIz}iudF4rpY4v<xNjQ-ioU4j%b+pORI@!(8)E$W zsqgE+vRRiee~>+|yzKot=KEXi{U9b=Ki&QARQb-D>*mVPBxUv^@Avl=;3V~LNzM15 zr@LeJMVlByW%+)rOE!iWJb&u@f35qP3k!_e9_llG<IUt*_2%=}HwzvyO5NDkpF2D1 zMWy{ov%u4J>$kp1zwN8Db3ycruL-;JYQ>)J&HCW^>NCsUxnF)heydbBtHMX1+q)=e zUUU2B3?J6(`(s|lKM0RsamBnc&-CMj_mAWG)o*<=sJ(Z=FnHf|w-etdPso4nY!WYP z{M1_6X<zJw_se=AJawmi^P6h=a~Ax3HAC}0tKg@(>_6iUe!6NHyiZ%{$?wb)<#)?7 zYD>>dd4G%J=RJm>@BW*e4L|Pl(@sM1{?7^TQ>Lx&k=@M~_UHQi@0Rf!0zUl@oVxyo z=_mUyUniVddh1d6f{#yP4MaC2ADq{0ZeL-$w{K@q$wa$<Ywwp{*KqaC;63Zt<|`vG zd(XNV-0J&EZJ)OFm{!~Vnp9<5B@*A?)%G>8|9rjl(N7cpZK^E!mt0%d_gwJvdj<2R z_iF1G@0+|aZQt$HHP^o_tBUax<$0X)?3RxE#<%IS_phDz^kwS8uQ{L3T+V-ft~WY$ z_q=((&U0UReYV;BLg_8lTOIvk>FX<g-Pv}=F^9$0w6Nj%N54X!XBXu)_}A&%r|W)o z?^(6p`ekJF{jPP)W~O1u9^fqV=gZ7v7j?3KOFd;d#>O-20b^`<t?g~aqA8`a%U{j< z{`s}OHecUr3yC@2>P+mv^SqjyZTc_BJo#m4`6Kc{!s&1gcEf23-`JKcc^x&axm}c9 zJyd3mg~fr?pZqh{v2Q+_Suo+b;H>5tdo}iaCcba$7s?!o_;<7aM$pgII_&*gd~t0u zd(OVCyczfX#<%87y%MEuRjn=y3Nvgcl<muYl<<0!iN%S}9A_QZ$xm+PPH2?bIk`w6 z`JC^gM2Fbxn`CkX<%K5R7rxyoQ!?lMYu)3U-?Cqh@wgebegcOH-&*!d=gNI$Y)Vt5 zWS&&EJX5&dfAV1K1_!=7ewH1E&(%H}ELfKv$=4SmGpApsq{cR5_r2WT2TjCR?O7YA zD3~D=#lK|k`&kwizxt5e(4EluX8%-VH^?lu*s<qPg4itYg<oxMY%pBX*_^)C4-rfi z#svlMb*D5-Wc%bDvfi~W)v{vSqX*L`Hus&sUAFf&*w<<(zJ6f&ec3_BdF~l}MSo|= z{E^X_ATA!nmvm;Qrwz{vP%yvkYL>Psny{|r&(RHU*)PwD_t~=Ls<sMKuk-81EuUkB z+12eF&TsP8IDNjn_1_<b^U5adJL`P-^x9Z26)QhgI5ubYk;4rjm;A^(dQe`0owr_| zr*^*nPlKFBsjlq@)|zc-5B)#ID)w7}t@Pj8JHh)LD@{UMl56D6&o7RBD){R6j-7R9 z-ap>Q%5&YY{}V^D=Fd}8y4S6KHu1@xv)>Ej7gc=melpSX$|s9h&Y$cXvAFPZY2<hF zmyySI={>G&*{ApTm*M);cKqBapJGlq_E?&CwlkK-nzHA<f4#%2ups>C!LDZ2nyg0; zilr|-K=_7{Z`=7rGDjjftyx~L0tJh)DEt3L8IwJBTWu|O34Q8My3N1La{r8s%+=u@ zEK=>)m@}X6R^xkD*)lJ6&1-o0#&B_`)TkqeibZtchV#noPoFQ%HM?DBaJDri_bXSY z!{ZB83U6z!A30dtzZ4NYF>Kr^Z+H;SK3!C>@6m$~=D&a66Tkg6?>7IkodHi#_1}BH z9HD=YsMv`W*&6JI&+e$mv0W+EJ$>+KS95xpj7{16`Ryx>_$rkOK0FXc&PU9A+xE^c z5=eG?tR`{+q+x1T^K($Pi#T+D+3SP*WRVn4XJ(JS=Wf}tQK*dLTIltyI_%-vd~t6k zHm~2jrYqNe#xti=C}}U@TL@Ba5#8YMOMj8fkr~C4e@Fy?vaEc7%$&eN1Mag+FI3s( ztT=8`xZ!I!l6~P!?EO9qWsc04cY8|xt6kHcKG-h8zFUhgOhzZMLYUqEEppDVF8FXj z<&<O3)D6xX)`8Pw?9qd&mKGYb*zP9m;(B@O-u<*{``Cp~m`wM*4~X@+f8Xp^<f+9G z-?x9Xak_v1*w^HH_qTEJ*zKPCi6gmDC)msFdgy15qI=K&Z96X7ANKsg#N0#M)*sFR z<!UdKQsH{)?D@-O-UyvC+*jW4T=3Vfp4Z3s=&e4;^wMTJJadPib-exi_BL(4b>B}M zd^qiSJ}5FLY(gm=cI1{Lg_r&TrkQ1C$Z6@vmPZe!bu~w3=(S$^oN)Nq1U0^QKeCau zST{6>&vCWv*m&%T+MdOrWLzI0^QRJ==Kth9dT_25DRken@Rjv|icol(*Vo|t79z98 zBI3|qHNLX{w!D0wY@CWGygvTqfp}x{<ns%VGf1^4`~PPXo7JPkj&76pDOOC4-s_}v z!D5S?hxL8a!Vf#^k&2x?++rTTJ&@D&ht#774~w#Iz7WH;_W8lKUPWJ-JH-k}7T!H@ z@Z<6TzN9sVJ2~IK0L6Xc(SwtZ{X*n~3p}@8i3&9yTlm%C*3WgF&A;P(5e4NADX|kb z#87Np@#w*duI8&>6FBG3vbgbkK2kxP-O#+a4P;r1Y*Xn~P%aSrIA8GO`@~rdG9`cZ zURXOV6jbtH)CNE9BN_7j08^%Q)r$DMTLKc7j|cr*2?~E@QFeV7J}JLD-}V_EE@+n9 zw)g%SGye_87wlYmFX1%zSDvqbb8DSn{+E+^{m*Qk`-|VVchsHvefwI|!?3S`a)~;n z>rehEi8bw4d7k?&zq<L+#j7V4zuKkK!RpuYdXwqeDb2BqHW|LlN0fZ~SY%?VW)uk| zJBm4a3dV+?J;?WTec|F)@2yXms`;01zI5^Wg1x(6mkYnB%MX;<!)LkT*N$I_(Avt1 z6*&_3+U>1(K}ucKiH$mc%n=E4R{A!Xn)XKz7NI6dyNW27rt+f)|1&-+5o0$JfOo~_ z@D_+(6+J$k<<NA2C)yfeLIoo2WeYwD9_LbIHVv7f6w;PtpRsl>&o|~}R~n;a=UD}> zm^&*%G((g1s8B%m45dk8T7g;`AqzzrkBZGnI(UmqNi_P!h3Zf5Zq6&d(R#c(|NYH1 z`RQiw-~Rjl_V3-@<^TWJmVZC`xoNrC%d6jxetzJ4>Wpig=gI!RTjz!U{#Ly_(JTL5 zWys;u!n)Wyd$YOk6$Z1<SbZia-#C0qrQDU(&6WIDV^h;+B*^ZOyLXo}&gM@{s`dHZ z2XyY=egFUVgtV-iX4mcCZocEVZT{(L_P0N6S~KtYu01)H#y?|<KYwz&k$1fB%j*v5 z<vtBhpQgU7<9eUC>*$#a{{1uUFQ`9^NUh#;#{KXzC9NfAnx6bv63H&JT(<DUC-+;Q zcHj7HdjD<0?JN0F6_bsOe>|xD7j=Gi-Gtu?SI<1#IDbRz#q*oK9?W{(%r91RVW)nr zNKL_2)sG(>Pd>cGp89i^U4(m0!K=D=%^}@;Ii(zOuE*3C?D=|dDyzKCj|4th`!`hu zzOFVk0Yy8$IY#bV#(zy%e$Ef8?~bdUUXYzrD{|X3Tz*bc?S_>Pnpx$~Ed1W~GvV@6 zB-1PGKOUSFzLP&lxBcCNH;Zqq+xhE3$?N9ZEBI%X{+#2r=}zRf`O57-_jsM#w&$}} zOU|2JHbEu#?zjC+_`IOv$ATvXZ<{yO_{#s`v~*lmRwTb9>YlYHi}jJRvsc;EBjjtE ze<jST+-!S)^IsXuGtWaO=bW})?{wnWy3@+8&;RDm;NNGUB4?*z8S{IAPW)wdc?ETk zr)BS&Lrzz#cP6xh9h39A`Mp@ph2po~>rQtskp8us=kvk2haW#|o^*IC|EW)=wI3WG zH8*cBkY6&Zz99Jz8_2_ZF8^8(E_b)s*f7s6bW76lrJLJ5Wv2N0nX@lV4P!|=ANp<Q zyuH^8=F6p&UA}zgudJ=V@@e_()ABO8KkJeY8hXu?oVPsEgD>Lc<~#C%+>d2f9@yRS z!7u;Wo)xVh`@$c@`cz1p7sg#VRIyz1!@nsX=GC=*ZelyXS5g1jg@DV`AN*Olb<eY0 zk@H6n=5Ys$=^AX9^*grrCcDh~pBKOB+gAlGTcfmo@!U)MJ`21|?>75X$0qf~_~)MY zw%>Lw&98j8>!?X)qL&BvmHvm%pIZd4?>=L*$mZ_(harl~yCYAXelmalhV4^ksvf_7 z*6M*o@Ve86H;R0Ujws${{KR`Jv1-fH-|ah2WM6-m{%_Lrvytcj3YwmbOtswqv_n`R zIIZpV3DuVJ*O%vro1Uzm`%`Dj>)59gzv(-rab?f+@~ZQ@R%UUeGH~*q8~k!w<%hj* zmuo&;8+*Lck^9tX`I~!Uaw`|UN-^K?!vAIbwIAJ`)sw&cQhnDjcd66a>&KP#Rolbj zy!ftHYMyqVUovz0euZOUo7;XXXH5z=)}JnT&$Sn1>*|@)PjkLMzzkw{|8-E-msi^} z)xRk2Ler;DjI*bopT4|wgTf~1XC8BJ27MBn6u1A0?8XmLvU5fH_e_zAs?@ZMdA?Lf zzV=dToSjq8+8opG?pIWnr^T&P*t2!Dg?`qgaASRW)jf~n9`9M<8TW==s`97zq1*c! zKR1a@neLqvw=c5p-5#Fu<(suX>{pm%qq?`w)2i(7GT(VTUsuXaUKje)WZr7!Gq1YO z-dUzpYj;4?)o=Be_M-o<-wPe%4FBal&%BV;K0k2soW(rM1=GTIJUpUx*dmenVeB`K z=dQk=oZd0KXJEY-+VcIxVUP!{Z+!}LJ97KloBKX?l6yR7O5Q5py8qheRV?~hleXWg z5xZ4h<7)EvVa}d@!F#)&?x_u&yl2Ae1J<@mahtPe)EI$^i!JXT|BE_*dw<X8MQW7~ z>$E?d3wu!8^np(d<i8#NS@wLE{VX>7+h5U#e>c3=-0{XDHy}#wii-ZPcS6VaT#&Ex ztti)d`j>Ibg4fGLzwKIi@srcj6M2`WZmoa#KU%fYtLpEhnl~wT?dpy9%Q4Ac+|jRV zx#gIoO8e_Vwn)3W{Uw&?HcXR<ew_5Ke}$*t*}}Hv9PeMcg1olc^4x~L4}6sqLGIcp zpV4D%<6ALZ_sO0GtWTdlHq+l8zNGYn>?Y|n=hz!a5ve;v5F;YjsUI_YUk9;aPma<a z>sOYLh^%+6$gk*wTJYp)KIi*0R_C~*0^-(ft*l(}=uYKHS&ciZqgGG)@@wn0$CG%= zFYDBqY~2^{#kY3P6q$9OgQoS{y)@D{7pR|8p$|<cc`AEO*PQ^R@mZBWtq)meGlL>7 z$^OEL)9D=fSDC=(=WVEryfgpF)3*otLZ$Xt8D>w8+kZr61&>xyg`dr*prVYYOP>_X zoxZ8&i|6#pot;0D_gC+*U!-Pf`gzmL59jvG207XE^QXu|(f>u@#y{uCe;GSRe9MA8 zcXB>&if5~w+33rfH|fjoCeQWp4;*usYCkQGuYLA0=IC?&TOmu%i(4!`kvVmJ)bHIb z&-a=A_MT_{)vxZ}A~j2QNui2$&X0Glzb|~R%^H;G#f#W0Oy~Wsn)hMe4?B>BecSd( zov+PR++*4#ZhA60x6*Xp?^|9K;&;#87B@Y~y_4^+<Kvy{#W~(Dd|w!MMP>c7;&^?b zdtL{-XFa)E^^SYq;#+PN&(A-7+db=v>;0=0@3O5Q-ZgIj+$2_Vcgx*4^U#a0)h^F( zR@?MjVdvHL-c^62{xVwibKTj{5YM04d)k|CZ6VvqhgEYNr}f|0kL@%5(>UkB>-~p8 zWzkB@b035r=IU1jPTn)?F^|6Ry`BA^zPb8-O0vHok$%ImLOQJwTo{PXo^GBxVY=({ zhv91rFE6>i-c)XK&dlJ_k0-oOE}S}j(&5s$Q=cxX$3A=N_o)B#{)1q{XHKtI*;AeO z6qGs6?)fA9Fjl8-dGo9%N9z_$oW5S*o~3ccZ%|3_J16emG{?AI|Lm{atV-QB-@EGX zrrhb*e(W^memrsdBxh}X)%MqWraWEjzw6BD*dy}G?;V1gzh3BG+j?-ArNzBd+vEGF z+zMLI>}>yV?arCopa}l6`t0edS<@BI*KT#YuVWw{)v&E$Pk(KK=K0V)^B;Y(`xaMp z?^^B5`P)I6>i3QHg7^N$KLWe?_l@<!_YQ(0&H7e})Q<D33gxTiAI|;mdT-wf&wE*? zR~O3v<~_9gF*i65R?7cA-?DxF16SWqS@(|r<9;}|QaC^Uipu(HwK+fjtN!N9|9e{f z;iku1Ue41M&YC1%8~)hto8zHqtKRMU8IIR|-`{w>%&qEf%T$r|-|GK&Y&{w2Q}uUJ z@se|~lkIr_RC&C%+xFywRQd#&b;l)Be*XA->8Zux=p*v|HGSY>y?D;U{Fd!r9~P-q znjV+r6TJ7-{sAb-9Iabmc&=c^hj;UjfUA!0xp8@?74*+8>zp?!ZoiAXP2kQ#|6>>x zM!s<U9g{||$;SHs{VKd!A;rLb#XV;~SNvY2R=H6=<H=Kdj{L`8!THE0^YbU&S<@9C ze^Na(dD6BSUw)~+t(d#kuK4;<48#Ac{EPUW2`yx5rhj-Re;QH<RJMGO6WFiq1Wth` zPA_gLzbA2T-vZXBsT(;vr`;Fk_jvulF~$7Mq+6SpKG9X#TX)hr>7i-VRztZ;P0KBx zf{J#W^NzIpwdC}kntqAfUjpyQ2Tq<dtFp4^gIV7baGdU`ng5~cQF#C~om9^G;J0TQ zC;}>P-h7sNpXZa&ow?`Msr=oSaOrjVx5c-2h5Wp8{oYxd@Q**4r=PF=+dXG{_qu1# zb+aZFPsuE+&|A4hsW$Gg-if?Vr2*$|sQ#&M;y-cAc`7JHaPMT^BlUm(6PAZ-MfR-l zymxV0_~V`H`8nVJ5d_yRSHb*x;d{1+L1nCXk?gPLWB>Jk@;}_`1dhh}zpXqgp7T5g zMdQ_)cdtbruB~sf|K18M2Uhz|eA!W^C4CB%%wI2)`?l+)RMlM(Bfa~K<?}9FUvgc4 zVY}{zsJn5Gt~*Dauk}PP2t)+yZ9Z~BVxh1t=}@#Xv>eFgeE)Dgq8!L@ui)o>1gc9? z<7ZC~&WK~Pn((}oCvyIjtDfuQgZhHizDn%X#)ttO#eX}#7D0^PljC1;8dTAS8|zyO z+~a#x9u19vn-f2*+u!q@{X)~HqK31lBPE}ts@a9!Q2Q*Vajxd_o*d6z?s4DB*Ug>q zaAtAtD<Ah|tMld<F>=k_+W-8O!sd0$Xa4@)SF-1}y~w6N8@K&AcyU_3m#6;)IZflk z71inUPknwirTD*tZcq6VruVEZ<=OV<x}zlCSFqmGYuWDeVUgG|Yv0E0+7H+6ow8j& zV}kmL>N|VdB|q_>n;&&L`(9P9(=lyFZJ)g81wVb?l^5)1?7RLa<M;IYli{t#%O}Hq z=f67rTQcLqdA|4WQtVz<-Z;N*%XM9Dr9F8E*JNn!_@Mq=tl;mQ1uvESmY4Z?f3~@P zzFA~lxU76au*|oI3%^yBK5%?@U*7z7iTUTL&z>;NXF1<>V0%sbw5Rdur{x86e=eKy z#btf??w=v^wr>?b&wJ%i#c{0<aUS6COx!bBv|_jLy<7A4)CO`t{@cZr&wpt5KKAFX zx;@`-bM3L^%&$HO^06$}p1mCJue<{*+Z*1v-TYzhjtQR^i5=S=(YU?m!@L*ADjk{Y z-Q)J~$))88{;6O3ly!Q~f&YoyTUTCSlHBT`_Ba28V4dt|$<666jz=%DegDT;C-s!p zxBcyht_N;D<YT}W_A38rrSa|$p9M@T_@92isQB&oi%%T;`-Rq+<h0crTgpkkd!@Yn z=Gtw?4!`+Ye%bI|zJ2zWxU{?ilR72~O=EAq9sfCHvO)bc`_$9<eJg5ixBp$olOL4U z_IWG6rJ-5rljqvdPMYC;cc~<cb-QzRA2Oa0@I5rGjdKr2+*0}2+`lU{o$Q;6xG&Gt z4UkZ`-0Zt3u6bS0_pit19x#15Dfa&L$xr)DB@E83D~x}i^r-*zt)hAt-JbBr`{Lpc zRWF$V3Xs)>@$ZEWMXOc>azDPhWBqr-L($<!Zi}0o5P5P`hHKAy@vok-H|me+ZuqhP z&ikx0>RaExj&=EUQ&jGK`T|G$$=7ukzbQR^j$?iFrnBimb9?%|^`w7^@80=l$<+F9 zhQC>JcKrR9TGx3h>r3qBE7#Ao=hioxbuYMkW1;^{BbDO<o7pVv3XUDT{V*!J?)K?R z&qMd!KDce={VgBVQqz9?E7i=LyDHfI;g-Cgen~0)SsP^jYu;Tqqx!?|bc=O~_xC@F zmsff6bymjf(7<W$^k>$sU$gPwo#Wq(Z&c5|omMaG-TC_Ue}=wCPdoE@;3EffYjftN zN*?Q<ye(Mba7q+!U|;cV$(&t%nyo)C`WEPFy$(1QD7nVS?YYb*%Pq+(UNhJ_r|@jO z#((2gI%k$jMo_2JDz&m18p?*NBb{b&ZuI?gBV<ec%*uIR_nxYaue|@Xbj|s{bJU-! zsJ`9lSN(rpf9!sN7k&!^>aUb5RI{^5rLEqu_}A6!Rr1qCxLbv<9`{}~e|Nv}pBakv zars}lpWTS4Jy#eLx8-TXq=J~Yoz349BaQ0+emOVa>XBq?TO0S0mnHvy@7T?zzDhz} z{=|&UYiI5Hylbh&+UKT6U)sG~TX#mo#7vC!{e{o7#3etkTUEFI@!i-jhofbu+DJ*q z>sPdY|L6Qx-{{#<+ZWou9!~vj9sXh3|E1rrr{*y}ZjY}ksy!cWUoJnlxAl<a)%2)m zzR&dLSnZj-zUHBP<oW#{x_+g9mE}lg=bJ0sra$xWsp)4P-kxqFZ~OA{^n8K8H-44h z?LRT&@}=pYS@NIegB%d`t7zW?+o+5CT#oJ8!7jEhMxnyH{_5}7n@r-T3CEW``LOiI z$HXZ~a~JJnj<hyV=ZP2VKrvM{Fu^x@m;7=gD}C>HJ&`?6?X&N#?5WVLtz7s(_sz9^ zb53=Le?M?&x;W>(&gWnL?b10r{k3p>+0zeCy*KY#`LCvyo#}Stw9kh>s1`^3oMc(^ zd(!j8>b1X$CcZd+F}>7YDcR3EUQcX~>Lb@<d#3HHS^Plv-4&QyYbHOCt#rH3K7HxW zP1YZu^1VDgw{dE`?DBog|DW@foaH?{{Y>Ih?`I$0de7^h_vPhj)kX5`|5yALlq`<= zwaN8^Ur$zC%9-q!r<XQuPj3IF3JUWLAD$j<+WvpTz4+-%e}1}lWP0tT>5_L%e#YAw zAL5wTS6eG66ZlT`&29spc%$~I`ZEu2*RQmzy0lz>dS~66%Bb(kM$fi<c{-c*{Rzdh z-944MwLirU?#`SIH(a%8`)|#?`}Mp(r}{rSE&u$()0;bQuQH35`28#EbBJGm)ecp3 zlcQbjxBU-;n!L1W`+rY}$(1u7+}#}cTS)TrBe{qlMLQql+OGJ1JvDRRovA-Qy_DHk z@?SppO#hAR;-7DRyw$h8?V<Ox4WCqte|%Ft@9zKW%hPCAd$a#A7vE;d|GwTt-{{%a zFHdi?zCSFzMSr59{=3Fj{rid)v+GuZ4PK+N&t}@;>6>><QTlE5?W=29%<>q&jk@R# zzU^Y)_tyvNV6KC=z4n}#asASCZPxb(OK(j-F$0_)-iz#6-2eLbgH0y!_vZg7y7uSf zov8uW-bc=>KGnABufeykSO0N7jXyXmH1WqI%QZD8W%kvc{Csh;U2Wyg7snUQe^KXh zY|gZOdz?O)2|`@Go8|qFsJGrJXS%Bs9-a<uD*s?uD<3oM+39~rrgs<p2r>`&_iokH z@MHV-MOn5RAG}#T)y{YI{`>7HhTqitwegelT}U30Z7To54#^{*ybfA#4u*yGr@Kwt zpZ~6^kDd1HG;^o^`ZEttuc?1;Bm7tF(D{zqTE#aT_1oOnbD}4|?;UkI_5RRssb+ou zpxhMV^w6gAL`3?_I(Yk^EI5fgO?Y&AcJYs(KNay?pO^Z*dHs3U%wvc9;>6Lj_S{GO z6)zj!xu=}Te0kcmX?yzqXW;CzC+b7l8bo%P{~)){<Nf;6muh}4J~F-h>4(%e_51zb zyfzM-asA`-Tn-Eech}8%as6*ckEL#HW$%Mrbx3yE+EjkI2p-betnVY{@8j3={`@rH z(dkB+eKx1FAODmW`~B<B`xSSOh|WgO+M9HK6{gIGI+mZ~UK%88|5@<h?q(l&5IZ-O zXRL=9E8MAHKk@K%-m<UHQ;Xib{=BKPk>}<vjAXZ7`0tJSeyF*nP31ZL&_Ia$V7Dg% zZZO-y=#?-2mPXFfcNdD6JNfYREAPd1NA~So!QU@tzCjs1F<<Yld$TVX5^1T^AIRQX zTRG8i{Zf5<oeKVQ*I^~mp1=>&s=tCuH-FWAb51-wt@dikjeR|}wHxPNUwN%KBV1x% z3ZHhoQG0&;r<yNI{NwWk{@$o+hq`t)OMdEdh->d?R2+|AUfbDIS;((bQ@Qzp?%j3Z z%qQbpW0`(1<(-wr>+f1=^HwcTI*}9~&*DC%o^z@}O{4;f>1Ew@IlFwnKMrwwp8E2% zZ`1ZYv7h}?k7U0*-Oq7v=6Xc2Je&3X5i>|)+uW%?{n>}7r$22E_1$Om?d#Pi3+Jen zoW5sJb5bU3Ukcy-eNTSA@SMNz&x#kvFY4}+pJ=#zss473dqN+TlH<JN?<rM??{k6& zb8=I8%4SIXMLarv_0sgO-}l>`owlw%TEqInRg4t8y{k^<4zy@4TK!<|4$seEQ}cxP zv~J!CD~s&)Dt?<oBClU{-yNmenSoUs_uHqud41aAn#TNl-xDx`S^V#f;$~<t-)4D# z;wv;m3pZ`Am<BJF)mihuTS0=s<<aTt;vYuezPI<()&_PNCI=qA&xf8f<SzEVO@$T{ z)-3t|w?a)VJGlGNeh?d6BrkuE8@sv^R7wbU>dT*ec>2bDd82P%Q&*^-THbFjgr1Ab zJL+=c7DKA1Pr*&wcLzhlpf2h|*<W`M`wlaAe4X0|v$faufNGH7uhTW=sLwkCGuT!0 zSdXiyJU6;)V;9LEw<>|urQf*jg+Vgh9o>rR{+EAUA@%;A;17N4E`f}F`sT>=$Cfpc zzkfR)+qaKrtIN+XvyP)@;!k=P`<=C+_47U5irF3FlAoh~ecIeqo)GgM+<e*a;puah z_X)F~f%4jH;dryDYQ^F6BKOy?l-AfctGBlH<J^dET~+O0GiUAnuUZ)KbJBNsZK}OU zUi&oE^ml@L7EjNF6g|}}??223RZ~Z8LB_M@pI<r)RORha`1rI*X5X1l8D-g5!-RhS z%6e@QC-Zi(aw2-|vHr#JPe#y~ix=3_ES?Q9`8Uh^i^}krTmK+8eib<8f*zgLF8UGl z=ZAQBT4P=Lo7dbWx0kcNe(@e7MCv}W@7WRoDJh=X9lX8S0~BT6@pcLo-1QgsK<mAD zkv(U{GvlT&{aNJs=(IFErCnB9=1~8lvK_-%??v+J&t5{RyFZ)Yg|OYh=s$Ds#Y3yR z^&Iy$?gWQKj&IG)*@ve?as_ut?&YSfk7lB0;Wy%cEj~rTLP2!T*&~p)is`}KkIbv; zp*8=0y^8j8*Fi}lHTu!%zm_#O4Rp_no#XGVt$q0B%a_SHwR-5K<$lFq8~=ww%LaLo zJ<02@Kq`NJ&U@?B;SpDNFnZ=<aPWRRGTnaqzB505CrdBi|IA1I$UeKvXTRFiJkZC8 zIfpOF-*cdDW^O8f5d&!k{q#L}`*$#i4Jt5y>K=@qw-jvjxg*ovm*{sdeVh3;tTyus zs3r9E;l)RMe~o$Kjohc{|7Z94%4WP4soTMyGdEQ7SU&sPw+U-P3uR|}%zSkB!Ui>s z*d8vo1ri45GK<oE?j$jVPHLSbu*+kLXU9~DrJd*W{&7xz$>kig{4$?w*i(PeLJ{>6 zu4b;wOv2$>w|FO3^8cMT`+Mz=cRya-`aG|C)9fj4?mfR(UHAT{-~9U-yUeD~zII#Z zXWnbC^0s5rPn>ryU0S_-ik;oHIj3dy?q+$_m&sqQ(Jub*D}B0H(d+eD^Dcfpt$g;> z)oXcLm!A}^{hFNj=I{)0$>Mpl3)X!+^0MLjRJ*jNuU^$DRP6o)O3rZ+Rcmiw*Ejur z^ZKQrlWW(#Yi}xlYz7W4zH8>cA5Q(fJMx3rE0gu7@BFOzHBmTj=KI!5^Y6MF&Od!t z)3#`X!OYzoo_$_#^ECAT%KEFyg|-5}YYJo6UZ3&I^=*5%mw&l!k>BT@y11_M2TtBQ zEqHt>=kfL)P&58JBdF7{_tC2-*(LVVjCaLN+qcK{gIMvb?Q;azFV)W%-NPJhl5eRT zH;0)!K2LCuu)cgjmCpaaCsW_q{Voc9bh@&;Ro{F5<;?9jGx^=VM7;UzTCy^(c*?X{ zZeL|UH7T_9T??vB@2UMN{4oJq%*%7$V}jO6dKK<wnej@=U`zf*eBkqY@fp<Q+@`*- zX8V`a*8lrMSWDiV+bGLX!5((K9nnNy5AHCmT_j(999j&019f1a4K}lbyB}ABo3hUj z9GY$~xW_gb(i+qjieEQj-<k`}ljBdW6>$4d@aD5?L8npwg}VZX(tZ!P!%(mFYh&?# zsFU9|m4Ao?MT&0iPve8TpGPAM7vJ;tJfzCA7LJdbd2qUVTHfkcHA!zihdvLTDfRWh z9;Dp;71Tn$ssC$ZF06qi+qC_;CdA~*_6N2Vi@|lC<%SPW^;z;?Plh-<TR6Tf{ln7V z`xeZ|-*{g9^VY{VkNF{WpW@^}ak*dQ?~N_t&;o95)AqlH5OaTOAGH3@25t}*Cp<j8 zn<f8WAh<3~4S#fctz=E5(Da~`@5%G^H=Qm$QaI~82cmw07;Noo-)FZ5Qt=fzeAp%g zX%??%eZT27xF2$^u~olbxuU)NH8}R}&G=C?{Yz@=`|mTWkF>4&XYlRks{dT6^AmpV zK7{B;UI+Jb?k<x5T@Eci_qcy})(NSn;tuZqBn5Z!Z<hS`%)e}Q=$xHy+o?Z)^1d|z z-n^o6{ZAj>c;5ZgDs^V^-J3||(Q9xIHQ-C~^##!QyU%s+;crm!w)M-?_bl&kt%f`M zz4)HF-(P{-oB3Wfmgy%`-tAiP$Jubj3@uwf|JAp4Z#*BqRXmOBLG63Y02luoA#(xJ z{{GqU;O*U&khbT4g$naE7eQ@L3wE)6cT_8Gzq<tPv42zBXA}Hu)1m9hM{oNtJb0+y z^Udehde&wA1y7pM-T%q)BWK(WXJ~Xzc<}blLWt?|LVK+BF2hX!v-m;otLtFX^L%S6 zCw@t7y=ArT(Bq9ZGQVp!#w^z<HmS2fss_N>@txRTi;cceqvx~aOGC1woleE~HJ4x} z*SLJ>%e(sgU~1;RH~ux1k!<mD^Ovqz^}}-G`S7FDpOr42zugAiV7~4;nLCT1DW(5G zt`em3w&%XrtPO4@f>O$VrHbGA7r_SKnfc?>FP?pCYVWQMjn(g{-S=vjl)L-wKpylY z*xyz6=H?QJ!JiBdT32m`HpjIqp0B?EcXG^!vf6lX56W`Jk5A8d_N}qr#l`*a>c;cp ze^_U@9q65p)Kr8dZvT$Dod4^f=|u40?f<gSToZn9_nRbm5>#i+|9Bgc8`*{9`BFZl zzFZl2KWV=Ht8Vwhys=W~#m9W1zc+TpL4(-t;O(!zP-D#x?*3E*H+Dbwy+h`ZVVA?5 z`ts)=oUVGc<i@*WcJVpaUw3?7!+ChR<s;~@0Hn+dyx9M9{p&iN^pd?gwLiB!(5>_R zJk9vg)-O+uo66t*-l~6E^5Y|!h##LK4_bc=1?Tgp!kzl@ryiWX>8`Wl6@T*l^}p5! zeB+E-$Auoszg_M5>La0!wr9z2tN{0=<_qtUJ_k?Ff0jRx-4iz-G^$f%^6_btv3l`! zkK_OD)_se!`*y~lJmIS;Mp|xoar|C3w4m<eyw|A@9oc!#n(y8XFY$Ifc>A*nGP2|F z=ya-NjpXn5?LD>o49=Pvhupp1ieCKJch<d$Sq)7TtOu=c!1AAN#qQ}D@M^{V!?yUf z;6B<MkDACc4^H2xw@-QV`E1Y3Lp9eMr7?=6mKVpj!J5u;B71CQLG^UhuTK*myp3K0 z?)mZQ)cjoZAa}15IH^@ye0+Kp)v?KUF@j+KBKg^R(9ZhLNe^=W&w-@iKl2~RMy-Gw zyXnE%`Y^DuGxckOSA0J5i)UZY-|x=H?CzhLp?Rh}_Z~(~#ot{g^S1|@g6I5ToVym% zT#Qt%IPP~1mN(|8R<wsh%4ai`eRt*^oWAjY-H98|xi9A!J8%87484}Bob%%NKYwV+ zY!e47nKy-fFgte@X6PE>J$vV00cYhLubQ3K&HB=5%WgGQUcd32J8iLT!<ySN=o#bl zBKh5R3n7uVDeePbeGH@-eokzUZY4Zl2(#or=Yk~O%ufB|#y^a{)u#*nu8}hlJtI`R z9it^6>}oG&4=Z^39=zS_0x@--O2zc&@WTE%*S&4skYRw<Bh!1|&p8wOF!WQ~k5Bam zdoAWFY&>s1w_5a9b#D$odd}coB+o7W3ew8Y5!o|WJOfg)nRDFha|WdkaF*+B+WxZU zENE<2&$p&Bj4i%vJO5t2<wwMS*9701qE#FCcrHe|@O>m)^N1PRB=;BFlM9KwpJ@kg z+phaAF8LW`a?!j8vbFQUJ+)}z__#^1$Xgxs)tB@4p4~{j5^%MeI{C%%gJICd_-EF9 zVMqZ#PpRVfx7VO9C8%PGQK<NRAJWV(7LJdbcX0aF;H-78Dg)nq4n05BqUuuLZ*+5? zI$i9yg^exTSE_KI4$a8HtnV+_fl9bSP~HeTc)N5O*tNHX;_FnK^<VexJJwX!e&acJ z)#v4sd5@hjg2Cis|66ZpAJ~lh-oK-uK#ltKspO#bws25ff|{XvLVJ!jfz7p_^`oem zEq+>^NWhitH=cL@dUUbCxf&xY-*&OzrgsTiLzf-AUAhaB>1>=onDK#nmffHhQ8~vw zr_EqvyF2yYpL}roQt;w^NA2RTi1)WkW0t^8FOL6ly4(M>XWjIr`r_R89x<JbKG$*m z(sXZ@_x~9czeM{^M(VWW=G+C%mE68{E_Z{kuhqT;;bgA7<kIF)_TqdG?iH_}EbW+F z_QJyXX178JqkH8{S%akp8*{W)Ym_bMsTGh`ezfRV--^Plq>D2G{yKa=+RNXkme%#W z@O){}eewUB>|=l5IbXSH@|3xkUw^UL|NE!?^Ixg@OL^P-_Pxtvt+y`UwBOi-d;YKg z>$|=F+phldF(Y>2gL(hAU8?<Vcy9YA?_Jh&m-c(MzIye&apjkal)h)BGap<2d7im+ zOTdrtm-~Ka%#PAHqhb5+_#NS$r%&m>lk2#B`{-Yh_si_!yRPSKnJLxw_4lToUtGTL zi-|E``2Mu#q~)8xG+17nZui_G?CQ-ToewhEOobop7O3A=EBjkC)mJMd^sMM#iywZV z#92J^{_@^Ni`4n&h4*{~rF;F4d&=1#>FPeuzk2mEYyRnOaAz0PXgDvthk3K<`qP_g zBERg@Ib*$3f1~m8dfUCs8{a$po@fCX1M9o6@5$GTlND=sI($jK&jYgJ@}=p#P30-j zc(2+2!1nocP|^bDs)Y~U&RkX*JMHP|v-hT_KmYKw^Zv|Z_ogI2_paTy@x9N}+SKnG zF^u;3lI%VeTH1bQeSbg%l<akDD;po=*2Te7s@R^RCudzxjokO<!@ihVho@iGUT=0^ zsPNV2y-Uxo_@FLtA=eI>{}H@3eUDw0(Gq=WS9`O+-#b<x{&au6B4}Er&f&wiKj3jC z@A$fa4|x@SzuBS1LFa>98_=+0<i0(pe;4KQ#h+X8fzMX5?$zhHIsc}73RgcL_Sj^) zT;!j>kZBvI-;=Jp`?r00+PX+S+Z~$C;#4ZS*Fy(xmNu3Dwu6_x{G9h@fqP6(PwTxu zZC&)^6VFwLm$n|Y`@XEZ@k02jZ$Gqr<ap~HCvPixY5Hjwdp`NAkc_r7=7Slui@<eo z_jZ5qn4?U@kD{dyWdAI;<JUX=>8bO*>AQ=6d^&USwPvTv*PoMicv!Y)RJzMRMq%AE z;y?X*adN`G7}Z}J|8IZ>b~kH2FQgo+i})b-23`s6ary9V@1pa~r#IEyd|dl;vdq3Y zGdHi+`kJ}&eE7=isXBM&rXPhi9a_GruJ516^YXOX#s1iOXl;B`r-Hp5+BsO-^!<Y# zJRDB5<R3o`YV<ulZF_IJ{?iYsKWEQ87L*|R!F1*MaK`BgcLcs)$1wY}tNpfrq0m;8 zJ4^okc&Op-tnaVPh4&ogh4=XCzx-PoIZglf9{u>!552#hz47>3&c{6guRb5$d|BAv zC`2ATk%V{Gt@%G08X#f^Z+~=$q`sYQALea#hK2N}$4%S6@k1P*zE}Ug>3^4ZvvRiF zPw$*Q|HmQY2*s~IdA422IT^|Q2-+WmClJv`ymp_Yp*bg<>z*29FmjLA2eW*5r(;jl z2fqC)AR+#~cBetR{_+`H<7c!6&(}YrcWmRSPe%)(<9Z8gPrhEP?)+<0=$FR_*25B> zaz!?@pC{Z@emD#s-r21A|MkCA7DP_duYXs2bJF4Ip@kt2TLPEZ-EYZ_Y0=-4nu(r5 z{w#TMeBOL$GbKj5qJ92#$cWZ_p*^>);Whty;XSeTplMT`c)p7Gyi*TPzv8Gaw3!$* zKi}rN*0<}g_FC{kW(<|L=vUT$N%4r^)>)_X4?13$y8FS~dOuL+_KsgCyr+6Qymc9; zUlCou<U1&*|JnQfX`jr#HJy7^{3q&v{pnX&t@Y|Oe=J5$x7Yo(@na-3jOPpPiG_7d zs}5FwaD#`2G)w+pV@M=AzCXRZ_{XL%SC-_jJU{)*(Z>(hbsV0~3ho)e)AXVj$7k)` zC4X8nR^K~5Phij5TG&{Q$e#A~*Z1k99|2c)=eh1pR0p+!PEXgbj+Z<0@br~>yOdX- zHwFdO-6_b$2;n&|jvtJJy4IZYUKJ!o+|j65z7C!T@2FI;%UyqdFg0?Y%(s0ts_pv0 z@2+3oymTw`+t(OrEbU@{?QUpV$P?V7+z%~;&U4>ei0GN;i|w(sg?NAQz3IW{tS9ci zCiC{Ue(?XF6IPy|K27j`&8&C4=n3tU*u{R?e(3b$pQZ=06%mkVyQfvby&B#J%@^Kt zG;i;IP*K$J{b_a452LU1`DgyB$<Ldy<r4Gk$7tCiwf)8MW$e%nOkLoIZ#D}co$>uD z6|ZL@OcmeL`~5XIOUHlT7c=wlbmL2_u9*8w{#BDNVe!y^PgOK}=<ad;lKi$7+WdPj zvgc?ubPQ`c>-$fl@WLXRHUBI$FlJq{FDjfDG5zrLbd@{n^R`@h?)~S}%8#$M9YZhV zcDvZ~-Cqf9Y6rs$<-1MWYdhhcF59N^4`sD-G1H!&o_TNjT+5ouWm!wU$StvpuL{rk z(iyc4qkOA&wf}a16~x$2b_b)KAsOyAOa5~uc;a2pac^HVBr9j{)&KtF!&8*9{>2?Z z^rCIIi+x!xw5_%?_5)uYbZGiJ_q}_S@POdwxYsuu)L}k7{r$InHHz)}?!U`7TWah4 zTE5gS{)>2m4CD9rJ?PaFe^*_OF0}c6N3r5}9%#J(`laddqI=lyt*!;l=7HL+rU!3t ziF*%jWW2Baxm{+T&)?_H%k1u3ywdnJUFw!Bx|8LF{zlwi2MLKy(I3jTKofF1>-!5g z;ZE-7xOeL{#L3)y^#9L2JpIwr#qUpVWLRc*KjPbxp#5prgwd06(ejGs{utO~)9a?~ zosh1$yy%`*OSsAR1@;^U_vv)v&8p(hY3^-|dH1Vui~V$nyW^Mc%GbGbdVUvraM&)A zXTJu`BQ-uBu0d;Sy@S!_(XcwCX#0b=@oT|l{LVAKiwgPT!+MwQ(0Y*_G=F`Q-cilP zc{ed?r~R70Ha>^V-rN`3a~Re=$mhQI^fJ6KmKWa>dlr(j)!v`hF8;CU*OX|Tcj6wk z`*xJq*SxIyjh_FW%3bU~3Y)j6X3hWa1*!Ia${xIJ2U%kQ8uH*gczYM9Prj*U=dItL zp26eqhm_{KaO*El=$Y@&x);Zfbwf*{I{y!4u`3|idcMe>xyL~n@hE5{_B(6-U!k+F zH|fN0tBNms{vq{c{oLtabie+bw6DVE&icn6QX4HmOCaEto&T5Qv#hX^_?_sUwa>Hf z6}f@d$9R4CCU<$y)1C@&KWWPY*~k^(_NUqV)3ug0kyTljwqJSf4eHJC?(asAHhY1; z78dc)h6O)szAdCRWT#xgFLUvCcTXkA(4GDt_|9D2qj&mK>c!umwp-SCPA&PB$ol@k ze+DNGJ%(<SuF2d~$>Zm_-rkgmww5V5k-%R0^1$@c83862bw56xk#Wo<X?n-aMGnc+ zQh5!_9<c9{c)M@LSLSs!46%nigjE*azH&|To$JyChgKc1Vn4*N@o{QELKU0F*PS;% z=dXKxzIa;ty4~x4-?{0@WqtntmhbO(&ENe$KH;_BLV@qeS05K&X^8ijH+OzbOstlO z+N9%Ko?L&I+$CT7>2;~P|BT1Sp3Hju!{_9*<iJxOQ~hQ3@XPyOkFU(SK7Y^A($-(U zexLUc^OgTQegCVzgDmrulh3K1<i7JaD%fi2_v}fR|1PrrxkB5-gooqasZ>yNzs~Mw z%<<j(p0t}sYSo&U<cRJmm$?L*^Lzf_xT^i0qWbNe_x5?4f@WDPw!B~S{h9ToKeuYv z%nj6-GdHGE^Cw%;PVVJ*f8F!G_q{)P{?C1<FUEA#?#svv>2s`_k1<+#+tt2I7d9|l ze9*dPBBa~-r}cqsRT!u~0`+G91$`)sSy37LtmeeNH(s@ti$i~G@{&KhrpR{M>5b>b zPuHF@KECcbMxS=Ui{qbcpw+Q+)Asd|AoINA|9O3QXAi2C^g-RFa*li3KAV6_vdZXJ zr&}#+UjDN-dFKA+^U<33727_FV|47-yV{$bzX)l-|CBy>`{EKvPLLPa<1T+098w1k zP2VrN=cpSvCwyD7Pv`lEr#gB|Ps}@R7oW2I^^V6=;xNWdKvM^2p&_x;`@=TqVxYW( z*6#)P?msa@95g{w&GJ4Y@bfO6)6=Dc<L^y5JbiQj?SQTF$L!+Y-e34fSjQe?bhTdn zSK$UfXij*~nm-XzI{sIvSYH_cp56xK+vcY2`xk(-*S;&$?N2;Bee6$Z=;ZZ1wfko6 z(fqGo^4$e#Il?c{+6fu4zc)UgOAK!pwul3-!{g_?cX2zYAlUll>EWjGqkeDYQ_g@} zQTAN-)@_HB>h`|1J5}5D)&E~G=8H`E-&ecuMBeqn<B}N`?oY$){SU#GXMksaeyU&W ze+%n^{fqqYZU0JWNDJ<H-G(S5MfW@f4-B84{&yv){#^R&q0v>hLYvOoeHQP6_G$8+ z!x+A;cD3Ioa}5%QmP!@7<1RvK=6b<B<vH-C+Mm@Aa_b_&wOnQWtJBSwria%33#$29 zvoth$eOK*1sok>bt+z|^(8H$2r=~eP4jPv~cRbJ)hD-wqH<iDLdkb3F1sY4KZ7P3L z329CoyfXd0SA1CJD&y5L{ZEVDd_Gz<|I?X`C3WZ~%ZUE9__GDtsrlQqeI_(E)hfQ< zUkj_8D;+-Y*)4_CN5)^D{yBQQ=(_9GI{Ec>{()CNWGBsE-<E%tb8&eb(z*@EOx}Ev zzc<Wb?G?>~*4zA{IVSdl++N6{F;L}^dGPk<mV5EjQ-2m&e0{23{A1I@mgv>n>=eKK z%zB+SN9OG_ZH!!Fyhz^K4O)N5iR@{HHQqKimETi)3k#FyEcw3|L+ZP|EA_*ld`SKH zL`&z#`M%nH5AqL)F!kwR%u1Z^uFI*0c0Qikv*e!#4>Csm`owy$`YfW0P|tO5S|X$w zoAK)O`)41Xe$jlhSzv$2o6oH~o-gltDV+FYlLJcnvsC-Fafkc&$0=^#PhXne&XWH- z@2vGq(3Eey$R2I8jCj8^NH<|RXwefmJU-35GJX5=52>Fnt&rb%Ui|CX#Sf#VBdu42 zOiWC7weNceOCDVhaup#Xq=%cz?^eNsBAoU8hY64&fQVP8b0M|U%a^hoW$a;7F@iUH zk^JxNuOXwZGU9ut=7Ngys9&E-5AObhnBUFkxVLXDBzUg}#lM@nPiJl9?9dkQ5{u8N zcP~e*|Eh<y<_0qDliyYMW*2O+pWs33KgQ4&h5o_YKV{+Wt>?bi2VOXKdivBW)7zKo zubz_e<~_gU@0!rO>?zaBW06)$K~kIcBKgzbp=~Q0t%~2<pd-cGS@Pc_W;D06=HI>x zadYRD>Bj4=rtSW;=G$k;x{%MVn#Z)9d*kKN$IkBy{=M->7+UuK7u+LT4sCG$=epN8 z8(wzY7u|Ez8q&c#`lQ~;%0Ffzbj8W%&_M4g)4k1Gpk0L@;PH?zFHdt`>`$HzO~_(L z;w`SZL5hycEbmWv!9&C9pmo)5aO|ZfzdBvS7k}*EzX==9i!YT}&9k+p6g^+tIDdKk zzzyo$bgp|!koL+o?t32*JsaMp?f>0DbGxUfpIxb+f9B!oV_J7bPCo_B?Akq@x%l@p z^qGlBk-s-KJ3<Tpl7rT_7DEcqbdGz=*26pI^HeK#*MSz?M(&H5@axlW%bLiy-%US% z3D@1D_U&iZLpdMO#|L!L$Dxasy*U2uE3`XyUTn|byO1PTH0?p|-$n3FQ_jKYeZJr# z^yZ{rMa8gyFb~yR)w;fTgEjiRs99HC&Q9o%Q0n9dZ+E#uilB3XdrsTK`yZ8k4|3y{ zgU1qLru_QUD6>ywe{3B0)XGCW8_$;?k`6jN|H2kUbhGOmz9c_QgNAZ3Yd*B}JTJOu z?)*!zi5eUK4}GPtK0nx`6TfZgKAQ=Lr$0J<y<l>fdw=b|SCfxV*SRZ!p4es|HUIG; z3u^9XmV9GK?9J1!s9t{!X6~N_4`lyr11E{6ldepkDzk4*|N5}2E*sA;Z|nWz`5bdv zsqw|}ebUgBc20ax@_kS<?E0nY;#~I{UEw_&an5`5@<G$`)AUcT)PJt_K(E|1>h1Zx zCNB3jZagpk^KJ0s+<n^UL7^w`_r^zFsFPI>T376aw7d31eCX2wud@Zsd;FR3Aou?+ zNXd5V%Jgp78ZSH5DJSOl<RGmJh7=XkJL+<N&w^G@-mLlOOCf3ElhHxzx{dHO@w93C z)76kH{q4&1(?!rViu+E8t~|b5A8BDeq@0L$u@_r^^{>Uui+?wLdFpiV_CBA_erZRt zU!K-x$-m5cYx-p9Jg(Ql=%16ot}RM^b^5Ah&CEZcn*;4ZQ_r*A4{y!GXmiPn{k4dK zHUoYN9=z=Y$;$Ggd)5}ihbrvVD%$OrgR9e<6Mq#s^Th|L&aJDeVsE<J7;25t=v2Dc z|JNHj{;`eg-YFqSX}L4vgWvqM@G5%hgSWr8K%AQU>NIFnr4qET>v{LDXBP|hqRmqI zP1XO;Zky|qaT2LZ!d(y(DtqjD)}{pxt6!v)lyp2+)-YPTtz>1Ww8PCPEfX7`ZVx7} z?V*{E7ss|-V$1ei>zc*g*5JjE+U>>Jm2)-lk#Xsig^yQAx?g;h93;53E4ije`1_Xc zxBvaWe`l@v<S&2T%WX2w{C+RL{QUbl)t~;V`J6hNk|clrz|G8=ZEZKt9|}|pp7Z^= z;r9cQDYy6iwV7k}<9pMo`TA>WVsFklx#Rk7{xx+OPk*Y|u9AN_uOximvQ#PQ=N$JI zUIsN1ZFhf)J6;{TS^nOsfQySael$5)UGz;qZ|ADl-944*;rHHF<mY`mtbM(F-2>ex zUw-X6^YQ)vpPTv5y?;OT`McE-mNx5(p6wPbn7(^M)knU4pFYT+xBO#rB7Na`{S)0` z$wAH4CGU0D>^WB(e=gT<)&1$0Rs71IHdwA(F{fB2-Sl?RnI4N<HiaLo7N}eIz58)h zt9aj;&bl-EVeRCXP20ai7s3|Jdk{MVJfnYuU2I>?-UnyTUV8o7=(+Q^r)?*u_j|_2 z#r{m|s<c?&_J^akPBGqk-t9*BONdINAH4kP=OX#pey~0R+rj9Ht&r?iv-m;mM}1HV z*~qU`^Hc5M?Aoc%N+aj!S1ZN$dBzw0bUA+fx`q6ymlvLkpDOh->VNjI1Ug>4ulD5Q z#i0EqjW3Q*oDLn<%@N&W2^n;>asH6^Z+0ALS!Hd)!_&sB?>~S#ZI5aylb@WPe#Uaj zZoO6i+<CN?3BRz|a`?h?@mpW5F4au?V<iijSO6{W{sC&}+jxIT{&^Hy&fnLm*bJI| zg6szQ>|a$o(NO<eW2^pou{}#ci$Rb6++_ag=^vJTbLy5faVc=u)-lE`IuiWipR*NY z;)(D6z9%0+YrAHO|Gn{<30eYiv%dfE8I&%zet8<r`hMPh@QfqK;jT^FD;9x+B)`9A zr%t1O_TM`*cN_`&cj&_N>7Sm?582bGjuG6&F815zFMy0T6>WT=E4v<2@7-6c_-?<d z66R*nJwG3ttUvvzCbFw$XV|Yz$KL;55&v)Yl``++?X7nEOV(_X@2d4*3LRYd@YY)n zyn5_;XI;)o=nz?qQbl(!q>$U>`$5il4cu_?J-)oNuBR66+oS*KDc{S}Qd7U3k$UcI zDf{b>(@sCnXNHgMUqZ)DYkp5U4qoELx=7yr_`HHri?+Qj;H?S^ADo5u9bB8fKiPZB z{=^LN-v<s&&llgL{Qv6jgQ<o4blmsJOxU;P<L9M6Dsnb2_{UdU=NP-@zwyj#nd+;; zBmVw|Z<c{1#j=mQc3=FV;qDEe@YWOF!(9(vTmednl^Y-E{&#-QKK<y=PYX{>=l70Z zwal{SLcx#B3Fn>W$4?Mndu++BK40i4A82D1cqQO{#a|o0uZN};eZf7-?9llfYu5K& z@4*d@H_Y7eaatAC^RB#py~!e;&vjpoO{2bac1f_ta_9N+8rL;X+Wbmif*$6wi{!cc zq3zo@puJhp+4R#a@1Nx(4A!f-{r&Y<PzI9kteI*1HsIZ^71!Q(rcD+JmEEuQ<>%Fp zOC`;J-CKs9i9W?Hk}uwW8PXyAnRoE^=V(x-)UB;-eQ@_@1Gwh0+wkFO(ZSoFX2iu$ zKl-!C;?vX9!aqLEDBK=ayI1kc&#Tw3&QN&k`SL?*8+eEdmOnQs{4)HleYgKAcoICE z<KDOUtb19YA+8wBitl$;*G8W00LSTj!99!jUjT>7KebO!B{^#<->_)wyv^sYt;>x6 zfAY!fuct8Fy?2p(dp)ejR@=0_71AMGFS6(NdvMbORBFYjR<zeG`rdr{QH`b0&rg?F z_RTqCH~ZI`m7*52v@cX<p=ZsVQD2f@CPI@)Y*YD{<B$~o>2Fi{hIr7hXOeuxk59}^ z+rPV4)y9fFKdr7DA2<2H^r_R?{&LjTImX+}y0gs^BYCsC+Kbggv*jn}rt%lRA?6nK zKDc`wyi){}_I8GS5Zkx>Iw<SN^w!**eqj2-`|?I#eohQ(vXhxJA3FI2T3`>}ej?^- z|4be_7+yK`!P~#nAVuq+4G+$~0k3xh1;L)E56||kgc#ag6Y2Nh^o95QlE3~miK+O$ zJ1`T&siuqMXO}_KTa0=IcPcbFtbLGsZxyH(2fH-xLz+A!9j9sAhgkam(P`9o-fy4s z;<NHnmf9P8G|&svI|{!FQyd@-^3?4Q&O!%yN}INqPKGBkcb4}b^!Ccfh&@03a-#lx zFJ!moc}8QzR^XT9pK{RHk{8_*38?_?s8m>A&ju~+0%tk>3U5`2nafU0KR>rxqkL=d z>;K^fbFDNH#s9A9%g{5P?IQW=cUK@8V`t<CzWdO%mG){CySu@SP*8ak&62+{em$rt ztqgi{dM0O0<mtukuhv$ba+)7+8{6HviLV4BOUsM@y-_zC+E`rMw7q0CB;xise)v`n zUg`{Tc%9RSXTQJv+NE=Dy6!}MarF<WFTS_))Yb`?2H5VczyAp%N4Q<=*ENS$hj-K} zx~-u_kUH!82ax_5s9Jg3v^{e-BqOIiIX#uLM)KERMd$hPwm<V0tuYS6DD$md?ECVd zv-Xvf;idA~rt<qw;h`{JXpeIAm%4(;Ir_yD_190|H)q%Xr!KFnUj03$ePNdxdSZAZ z`qyHMCnPaE)rC)7{AS62CIs$Cf*cynnqRs29=~4q=ci34rk8uhUwg5u4Y?k<xK$6` z;61)ylHXTBQ^b6+J<8XirR8~!d(XPTonKI$ZLeKXeeET<wER3#pI!LJCPUA_s~@wS z=Euv%&njH}J>LhtfX^5I8=(QM`re4|c?xYLed0TKyJ{Ug0ncsPUfT-}+)sN>Oz&5N z#o6O&ezN-$-%rDcGrf!bJN01h72adJ8rl?i&YGVd2QP2(4&L761x{o)<$iv;505k1 z*ZF^5+`jzqG!JO&4QR#waZqiV>iH$PZ0Wn>S#H-)Uz%>sn*W>YZ1h>s>Z9)*_uhrQ zJ)PyY2UIkGraoiWfs2MW9W^(nSWnrVwCd}70Z2xc-e2<SyX@v)7;Oywt~!~0u)U$v zS@O9doxXe86~CXuQ|~*WJ<hUK_B(XWO>aFhy;$hSrn=k3U4LF~W19OHqs*yYB=0Q_ zEpuc9_AEXPO}T%YzORDJk%6*Wyx5+--e18PVzqMoHdR>Q`m3ksX=9|@c)`CBd!Pl* zQ_x<M8c0F*GxT8f>1=pXkQdq0YPNTuUiarz!%t7MUY?fv|8LW$OAF)|p4WfT?LJxh z&Q$brcbcpHGni{Z8?eqphfw2%_w0^e2rD9gmL8lPy#yS%cLaWZVteWRN>0Y_$-fEz znO7$y-#&}fp{WILl|OHH>m7HPM1@&ES)12$_BBlFq=ns#(mYKPr6%irOm?v~IVLD> zsQEy?WLAWM;p)zHDqe0)3p?i<dw8|%d^q9L(kTl|m{VO_jZ@`AZ&;-k@8hps|8AfD zJ>mPF=Zo!YUwCpopY!_7o&C=%=f~NbO`GSW_~-VV4}$8P#qSP$*!%g4_If`#U5|a! zrg60jpUm|tx?kJ-Id@gf{&P<li>FL}E^~a<B;DharaVrbXHg-q=lOj7>bHM3dfRLb z2rvKtB_*Rud)>YFeFr&h+>XuBo-DrOb!qL&W!JNNF5i9Wvu~N$tJm@Zd)|hF#-e^d zd~)6DUazYCo|7xSgvIao{qWB2eZwtKgT45}-AVFsJNNGw+QYm0%fDS`bQA6yZ;p$< zzxrhD_p7G60}AH-2t1wf?$E~W@4vMD|2^I^xqR^+@!!7|?a2rg3%w<V7@&Y`n7{36 z9|l`dyVLo@HUY?ZbaT`9j6hKK0xj|SbiJv(pcOJcvFyt9{%0Saz6{-^Ic<4ze7w$e z&GS~*Y(&t_wRW}trVA|<e@=Lis{k3uyw7p(XF9l12AaIF)2XP|2d$2e-1o+_HuB`d z(>p7>vY7U(ef#SBz`OX=i@E(si&Viox>NVRIKEC5+K!YL+|w)$3bE{$r`=iKZ;Aw$ zx1iaOe$IP++>p_`=%Dy(y2ABx)x~ADp}&i7y$Sc<lb#%Z|Hy8o|MnlR#UhPff%jhj z-0|Z0HdwD_zQCTnzL2KKr|nJUZ%x3ZFKCSZKIgq_+>oh@y({(4Pv5sDDEO7WaY*G^ zmpZF&Uwxls7q5DQvYHaS=l_%E#eQGdY$+edy}n71s;$QB!@UY0(0~>wVBQJsIob+d z`SvIB)#<60HI{EfytAA3+I{=#yW#Z5Wd+jlNE=Kb8~>NP+V|<ehK{S@JJRa~_H38G z2AkOY+4Eqn2zUkH&FQ~B1zXl=-uvfU8o6S>#ka4myWVeou&XE@X#^77jN<Fc|M1Tk zI&Se!Y>z9n6`@@5-g*UWaqXwbgSW3kreWW%)XzWl@bs=Ik!XkalD~g3-Fh`^agGH> z5;@;l_h#nW-{6x9KJ_<kH}VE~-#h-F=ZAOeKm)$uDWd1B`PY5Ug656CE#G%%&f)1_ zP4g{pGj3dezO4MO-~P`Y=+1p7`uB!iEVS;N@Zjz2Wsn|TyucoJ@Cr5Xbo6}I{M#X5 zW9?@C`ZP~wU(Sc<%6rR??z4L@on=w*paR|4f39DWPk)>qD?9Iipetxw{-4zk-de%T z7TNQ8{?&hu$M%56#%er2nC)E#9)gtdskKz7t&BZc8@>Ob*<;@GN4~nedENaw>u>+n zD|45|C4Bw-f$Ip$0S;X+j<+fAl0R+)+D&#xz2Y`s_Pvdu!zO;3AKd*W^X=)4(24Kz zgVvwAAOl7DuTC>xn!YO2&u&KLr@SfOlj7s2h_5}hXx8%<#CQWZ|2#dtNS>V^T2J56 zhwo`^co6%$1zb&oCjA@_-rgTSpM84j&rOql6<zyu@y^xrQ?%^YFYq$;wX@yHeDLjo zt)G#`w;)M-!i(egx}dGL#DmtKph^2r`-9v%$li3&6w&;q?ay8Jf>#YKyfWSQ()7?< z`CrtxhI1-cfX-Ve=q%D-_<Ii0cr|!Kc8%+o<m0f-Z{e)@|KlLFRb|A7bvM_6>J-qt zeWlBXZT9OSA@5ncGwRo-Q}6XBPj{>BuB{D>w~4yb=Zc=)EtP(4EQRf;U);1keLEyW z{+a&ZZozzbi0o(0KfM4P*q>Zpoj&gwAN2h};+y&WlD~fy$lmArFBgZjNFP$PuJ5eV zd3OoYKCblnu+4rcBq8>5-+MP7-24V*^?&Xk%y>Y1-=^tH3wc`j=YV!P+k>`H?-jM_ zG@UjJX+j4Q%q=gDpGt<7fZU}PXIq`14GcfQJ<;{xjyfnTEJHu=*+EuxTAF`-I!$I@ z&)?6A$?^A3zTfxb{n|{>5N)8}wmQd8TUVL<`uoC8csbkr?!|Ui3yfzTgr7uV>H8)5 zK6st+<xA5qv*t5H+A`NT?=|k;0@|{EuCZ1BHEaI+X2|rz(JRw$7yT&8`&29bS@+x5 zqcv|Ua_5GFQlW<W0<nFF9rif_e{XzkfTkA7rt%MUkO;L5{=ioc?n#2Go}y_F-u_q$ z4)>zOSErvB{n*6zU-pb?`Y(%bUuWj6d;Tyw98^VUsQ*rQh;$0d%|-IJ-$AP%OZN}o z{y9O)mU(Iw(&q56pQl$5{r=)_P~l>>bf3+v!_!~!SK54^-(R?K{rTf^ZAQs27K75u zsgrDxKakFCS@+`jviZ<Flq0f7)*jlxN$0rt%okn<rE}eT)DNkHyo2KB)!xi__iNp) z_nVXc*POU<UA*gbO@T?B5@?^UW#pb#q+?c|ch<@5jE0tAkxkpDLVE7!#r9<9!Dpar zW<OZ_#{rT@RlhzxYFVTCuWDxhsn2%G-@aDf4>;TyUS|Y0{H8EB(wPimuJ+&Zq2rB~ z@gKw>Ye<V4AKcv#2(R#E4&E+rhgA5du1vrF<ipa6>ceVl?!LHjJ$(0E?)P)eYs?^K zYayFGPkZ0N>b&caB>%JQVDw99z`PgOa~CPt)hl+JL00%h2gk2F|M2v$4_SpVok{Wc zcjSdV$S->?4k}xwOs?Jh0qHCkr;Gh>??Z>=BZc<V!e*5wKDb-e{0(%n4XEh)*|a@( zGC09}nssIRcF_4B;9SZSerLxc-W*W18EEzi$yjSw`)xJQ!!MrhX34*v3$5?(HkBvr zg`3OTwEblmxY$aKesy{`Xujh-==6lgT1zh6e{&3UIz`{6iAb?H+r{3jCieT|2scnC zqnkDV_H~o@5unkXn*Il}dfD-PsgOD1?_BpL`hiV;y6ehxYq-e^wexI#&dLYpQxq5f zcCqKHgHD7!{S6<di_@r(z7FnRfXbCPm5Sf_m%xijbNp*9ryQRC_-6X#_oh3Ux87;Y z-Y?juKXdUMom-FoBc%;J!M`_ti$Z%_XPe5OutD<VPsW40^Vh<I;%L+M=g<}1`b+oO z%sV`NW4&F<o7bzC&UdPQ|1Q7vq4zTlX{3XWJ_%jym%R?{zw8P5@NGSGeX+dA9%DOr z>Af@L!?yZB$c*5`U!U%XF5dZiZ<hUfg>T@HUTC>?nvL~7(3wwQmw!h(_epn=eDr$g zAl*)%58tLhyNN{ycmGg^=g8@t_okhPB)ojj+MPD-D0Rb!@8DD??1!AB*9rWMh*=J8 zq&PQi|7#3Q9YqJLzlOq14(GVn<_|G>cToH`c&hWz47As|8yX$|PrOUbWX(_HPEA2f zsN}KjN7cSAUQ*9+F|b53@z4)LSILtcNtYL?bX;n1`q1t6WtP}MF~RL2Il-*kJQhw3 zauQUz<aJBwlF}q4FJ`N}zlSeLwpuLCa4$S0khbK7kHi0t?-PEve6QV8e#hiw<$Jl^ z&I-HFt3Cfdulm!UHj}erTr0QF{B-f%55L0Gfu9ySiQKOa{!`|*F+id>-}uq@ySGK| z|5HD{r^4}gVctc4_V<TRp8VCly!`LSwR0n9m}dW5Q*rT$*%iY#+v-KWPc(e~=Lcur zd_8Ty*LJ<dyUKSx-afN+*DkSxw|(}UnDPD0k7U{RvlhwUt62FYEPT7e2R+Nbm0Q8f zl@fw4@~^*hzqP6SLrtw*%rmx%Z!53wHM(#A`1{@4zsyCrKH9fx`%9mWwW#|TSNUx9 zf2&Vd%bRQTzx-_4lOgH6_5Fo;S>5xWS3Q~XXiHt}zv;_oD0^Ad{05iM;H_IvB^Sy6 zz71`%)_Hum1|2GIXMKMw7+!6i7ui$$9I{vbo65dB5v}^tkJf*kkiYP}_@}LpZ|*98 zdU*O7!J`Y#-QHIVKAY(^XjWxY=$GW5I?zm#+f=^67h1KbR%|~DU)}$w<ALs0(A?`R z{r6rqJ6ChXr~R#0FArUNkH2<b)Ly;zLwk$M?P^ZS1lsgK%YN{#;(5BiHYP8GR*U^y z_x7<u(_3;=`TI_IdV9`s@0u8RYhP;oqtmsaKZ0sEzYOrwc`h&ftH$#FKh2}o8`S0Z zrSxgcyL1SgPaqSf;+=IlR?tn$sk<NO&IL_*tY4~sUUbiSIq*0usOeBS??G<Oe#j=$ ze@CVt4*l_|Lo;{FpW00qo}a$o=P!Tpju*u3n~>dJ7xU%uiIdQliM?V)G^B3%RCCb! z_gT2p#X0UR{0<Fu^?h^xHg3FQdZTCROWSX=zO*-2ntb`$v@;--=h7y9u=~@tL+Sv? z`WU{8{ZrvP-2>pOvDI1Mce=xizB;cD-}XC0HrM(;IxW0J-}skD&99#HE8h+;JRg2L zJU!{l>G@~c^=B$~9p8X#ynna;hk3#!kW*yp+&=KZribjcD{eo7_gmvsDo*D^_KSxJ z#p|s(G`&=K^_p4LWiLKoy~8?_rQp5%=ZD_UIv&l`fgI`uPB=vyUmRbz9olSoBeLi3 zaoE@!=RH-(p<$q|t2p<)zU`m};Vk|69yL36aK&HqU$j5#p|kV+^<wJ|h8Vv4pA8B8 z1t@_Z_a(V_F*M=mbKLu62uV0bLVL2^L8T$M+au1JfB7Q#3>6uln#xkqeRFI>Q>R@% z4@xz6zr8#tb*^2X`-tGt%TbW_4mdZZPJeOy*Unw?$H50)r*OPRc{0M%qMS{2*( zE~z|j{0MBiYEwCA_gmyF{r_`*6m9;JdhGn~J!Mt1bj|0Re)(Cn`J$YIUzH&^TIbzG zPL}&seiasMgE}5D^Ax96@m=l;yziQNFnZ->&`~X*L%7<P=-Ym|`B0Vb{ehBq4$n{j z{GeLw^6>(+i3wgMxYOrLGJFHuY1a21j*y%hr&m$k4iA!Wmi+TCiv9W*zfb`khxfiy zzdpKE|9IVJ9lPX;|Gc&PKCFxQ<|57IzWTze@5hbYMS`JiL2%eS6<s9n{U6%O{~3BP zx)QpSG)}XEeI9sr7}O>FGwZ?IDo^m1WXnlEJ}vl?dhC00{CX*kJ=P1)i{BGHJuhQ{ z9XMdzwjn3TNiU9HtA{Rxiczenj)Sh}59hqc><@3pt`pgFmL1g6JUe}Hr@pkG{OMTc z)W339f2?%8?=&AYOm}#1K?*q0oXLbVlOd}z;>G{o_^}5X?yFhzuWx{Me%c=B@??Q# z2tZAVbwYc-o(FBRnx+5xdC%T?*LSb}koxD!0#HHu<>=yvUB{n*3(7etfgknd@daaO zVX&JOw(@12;GUy@;bp;nkv+}zkW&nDJZdV-MECLh{i^6ZzkiC*m%HDR!I`KBDGPmy zUL^l}KC}&3+52FvI%HTWn>GLRdib)8^}>5<>n}hyRQuHI+`<)a#&UN<tJ90mtaU%H zRNOuO9OPU{NCO2Ni>Z-cl7DJK%k*s4_YWpRGS8=~gSUU~fH!=$v*y1n2dz$dc6z(& zKArG~r`OnD4{;4WT<$b~eVhK-mW$>4jKQ%u@5rOy8$riXLW*6`v6LTMpy6EFv^{@5 zB%JpIekikF1n<30evtdO7hLRa(){=|?B(g6`Te}$0LedeY2`zHix2l|eoV4dQiinI zet{<8WAuM*%v=vOnzw0t2(-z4UvSS}es~n#)2WcI2OY4Pxo^(&AD;|gp6;0k32Obv zo7jGSxD$*K)<uh79G}Pt4U_F0@DsIED%jt_tGPX)ANXunoo_yUspjUKAD{d~e;9qa z-p*6IPvPhc!;sTW7|wko_&1^wwk9*TX*+b$u{rlW=I<G>1b0ub;`i^bl?9Qr^q;Hm z`?DRKTaGS2pRw{*oyC`*S-)TT^wd>GgA=q(+tK+*!SQF^52p8(uwiZ4rt*yG(4Y?f zAXWuWBKy@VPJ>tXot?h6Q~&;tgB$N`4Z3!I?-k!Qo3h^BTzEdbSUg4Oz~1gpnB_R= zSg_dduyR~<&tKR)(s$PU!p-o+a<^%F@@{Zs76m>!{XO*I=?n3o$x5|_^Jo3O@K+q1 zXOI)&Q?ZNvXQQEwmORltPj#V*kiBX9(=_<NV>L_uW$>!UXQ%s)On(kHH_NYTGUGlC z`F%P9?kP{eePKu@uU#bn`u&x^7V|EC-Sp+D*}>a|?ayS-gJwH!v*thNy*2$YWRX-+ z`vcjXvEVXlr^Uyoo3v^qf4z2Yv%8=2B{*BYI%o=iyb-F&-$4i3!44E@hfh<z7v6LC zIy^G}d3*>n1Ff1fi7!*#7o%P~^W$f`t=DF@SN^XrU-0=7MiSW*|0VgpFSO(+=ejph z8dO$q{qj`rVD&>ScsQ`L<e!HgXSeCd^xI4IjkC-kX|LzB)RV)~>rQ|wcq2$x57K&& z@2=CC3JqX8jSB7S(6QtB9QQ<3;Rd&}<X_(k$>l+hPJ6-1Bz+IVG#=9pMxgwC;sjEg z>bI-?wlZkv1~lCf23nz#{ql4>>-*f(@Dx|CRWUmpQiz7D@B0%CH`ZC#(eBToSs1}k z<MJi>F>Jd|y;{ZYFwmH2_RG`rx$oWehMOxdy63AZq$Ozi@u}d)g9SUa&#&2EFKKW3 zBKpN=*4mF5J05)n*N%qEkKW%89)W>WkM3U{Uw8@)XLk77L_4*L`g+8QyvI%D1vBly zM_>LrGW|F_xp`<h-p{>10V7T97x;Ulz7tv=#dF;Q?{sW`b^1Spb#YkFeqO`@$n_IK zQzeh<b61Dgacb;PP?e4hUwTJ$(ov!BT@}+0P4Y@J&H8ZsPUP{<&Xt+lRE}@GxUEoY zW@gsk#Vz%7&f3d-<6oA0?9#F&zDpw(MJ$nTn94oNfmK)3@%?Gr^G_@H&3P<0ZS(u$ zch=v}=&bo(ZSnqj?fL3?=Z#+#8O{7`b!={Rnc42O-`*@&zqY6HmfRQ4)YWF^7WJR| zv+u+otK&7b%M~YYe14m|J?2hLm81L5N#ADseGZ*`GOhk#MfJP-!+i12w$A+fym`0! zT*)6Ze%`Aq^*@`kY=(bSl-Ew))8h8U&u+#qoBQ|L>Gw7B=TA4+O$wTO_tVap#B-a^ zKYi3@SgV(9;kW!J`xA{>=XH+j^6rx9Wjuadw(x;fz}y(?ZI7pWNBS<?wr&2^<LiE> z)Gpz%^o_JB-ha*V(UY%#ruUS8h&$;m`ALlFp1aJ)Sr40(XV-RqJQt^1>nZu^lHG+5 zPfz!3e=N6ipBeY(r^^mZpWpfL^rvTwRX@EczyJLC&9_yzUw*Nh$``NXelZ~Xhmd8= zZ=vJMtzKT5zJ9~68{aY`<u#rCeB<-d_t<8u=%=1o{ql6Y;XPIP$@`Y@RA$O&{P=Xe zXZzRSqS{=sXQ$^Kn9hIf!_tg-_qRobroH(6N9*&tp1!L8mtTHREfP6fVp7v7v#z%D zaq!%dm#1ad*gXq7{mVnLDC<{I!^g5aij|tqalY~IQudT@^NJ5@+p~q=q~@pevFQJv z5Z6yTF#WD!jb&ZQ($z`-ro8{WdWQ5wh8JJbFF*8tqA_b{CbH{yN7TyvTd}5pisJHR z`t_$Pq8q0hJ-Pbj>3fOy(?4CBK1EUgEi-TYyR<#d+E42?M9$Fv%@m*4`|va;=ar2n z@rS<uTw3{da@y&9-*)|pi!;<#J@kH3@YY*q{;MV4@qC+p-H4y?b)wOeD_@?<9gF7Q zGevRzGX40}J^uF;;K3eu?DqDUckHuUe|~B`Fx@)&$D~-hm!GC}z5Z#pKSXDfa>v*6 zOAk*!5oq%H`Ulmb9p6;@{7Ww_)vrG<_u-uwG}!+&e&oBW0tuIY6F>gTSolRX^@R6t zhr`qBllH`VKmBz}=gjoKjr#n@Kcrp@TzgsS*!Q2AYgZrJF}r>mI8Jri+Vm$L-l|_% zvud(l?auzI$G@p=vI}aPGi%?S^A*2msY2qExu^U*(@XDD6Q+M_Y}1cV-jmx6G25Cc z-tXM>qUhU;{@Gu&lCG-xVQ1f;f7dctx<XTSpG)5&#jD7!|9|FH^5;^h1%J37zs;Hi z37r3@D*R(K;eqqI$Naj+_3LSg`($`(Dnsj1;^RvELO;D`zW@CB^}AAuX4ar-`T`N& zZOG2IJn|~}uIVPbX~sL^XYI2&QE_|Sv^`IGDokrDJ3gM<=k#mS97b@w?mJk~UJOZ- zwT=4uXZzor?o^(#!mGb_e*Dt=^FKe#zcm>gJU&i`r=NIuYr2KqRnO`BY9?Pje()#E z_0o^eGD1RUyTtoRRq)W^H@^3eZKr&W*t63M4^035Y^J`v&HPg#w%d0xy^L<${T&jk z-b;~_j9lW^jp^&4$+6$)-ow+-fZuO?@1^k)eNE@MTkKN%{;)rOJ5L!LE;d3xK0UPg zoa*vZ`>$`6;6ir!-*)!=d4X><b82kCAwRPbIc$FVhsj%~or2`2PZE#c?hpAC)V62V zKAUqDv(-G|`APrS?YhpG_}Q&Li!44o{r*|`cTv%stk9Y2zwGv(+M~UA@xPbR*s^P4 zn0)kdnDH!+-_BElCd=6$*GcKaBeq^5|8oi?yS_Xy{rpq+Z%hAH->kK(nLq#hcfRLk zUm~n(I%Qm$Q8LuO=~s{cS_2Iof1`WfqCh2D)~`?XJ>`ErL2PhArg<#d-0>4A#g~dt zzvurct1cy8YHL;P4?Fwy`Eow%9;SfJKD-h+<maV*EqrlBF`Cc+^0tLMmZr5cPYT7V zUFLx#%j1UkdXF!y*MJ27n#4WL>X71KIqN=|bNz3;i&tH5fB*UOuV)9Jg!S(Csaf>Z zPXEb;Pe>W|_~u_X%ynKXr=D2(@-%19cD@Qt=X*^zziyMSUa~Oc{M+-F-2d9_?R2Yd zi7}eJHg(V5_NB1sK4Wxm-s2+s8#-sEe{IxHziYEee|c|NsrHleKkfE&?d0uDDD(4b z*PrNo8Yz*kN&dR=HLPUQPukP$4l0+U4gCA3>8l&vYgB|MRCU99eTN~rpt4b4{OE^N zkKl<Ox!$K;Yv#vCUAxKZ{=TQ=hmhqK<YM{c8oO<>lc0s0$g$hS0ia~W`ne$UXOY%p z+g<U$CK)|hTD#!k>2AsV*Au`g$w>T1Q7BLRuYYwO_n$vM6r3rU{QnJx$(d{Hp2bau znp|@1cA*2<WY_p}341PohnM)3oR8Pu*9Yf;9G;q;dTsi(_4Z5NfA;P^9aG?w?|~j3 zd!}4H{%|ie)6O{wDd}}Jeiey5&b_4yPnfAa<r)2V_|3RKrxrgreLVTcrSIRJf7#iK z&+|Gh@iPXLa-#OR@Lk_`<l{=eU0+gdSNH$j4X$lXYb|GgyvD4bdLlaD%Tt+SyR&w~ zOX2Cp_x8<&#Gr1Ye)SH0<$rd2Zfy}cZ20IyZC&z~oRxdt{K^JZP$+5U`KDht_Qqaw zKQ$rv^7Qu!dz{yM#GRV3Jz<{P+W&Pw{f_Ofn+>-j+~D52>5#&BZKHmC&qMFBpCQ*y zaJPP{trOp6H}%VN^Z5CE@k;H}kP3Rse%l9cZ$q7b^FYP#xG8(4DCQruSrWCcM*Q*J zAA8{Df0oR@eI8=|cJ_TX2Wlk)B_JW*y<=@$olQY9de}VO9a)=G7YB8IxY50J^TEzv z@Z$7$gM0Vv;0f^l>55|eX|J<48^mv8-De|uc>2Em@|V8<tkgWV!c*73UElb?!ly_T z>$8a3HLoXs-8kpq-%DSfhW2cK+dgUg9L9Xdi_^o6?={A~JYB>FZWUDWK9;?w1x_O| z(m#scZ3@aeeSSxW)RW)86>I0mAIbgt>G86upkgfJCsLD!JEB(RjuJGhemA@)^C75h z59?=>?7zQnd^f(g_`C<Kn5@)&{I)&}TzhY_{qS^>#J(?^HfZ^s`g(r-j(<Ndt=Q*X zc+z+a8~6K&+SyNc{1(2nn)^(;@!9J&pEuUVy^%SVAlFiO?#JookJ87>YK*;JZYX|j z5^p*)XItmh{&ndoe;4pv@2;4x^&#$f1$(_hrDpe|t#7YL+`E72(X9BNzw}b}SUy;) z)Ae1C^`2|b_Qme&)}MXzOYptn=e>V7{o8!rzxqJ7coNS%`Rbdm47)^M?7e(PqHN2o z_iz8clDhpjbY1z}v^BHN9C@H|b*|gC^UG{?zt24T#?E@j?l0SXZ>7I(+LwBCQ&66s z^v?6E-oM<kdh(sXx6zZLPwxm{#NP31+Rf}I#cy`yAN;tI|H6ytb?IM|kEcc2Yji)l z`XXA)_+I4!??{pLZuc*psj%PfxlTVdaF0~&X`y4k4+T%Uo_0<Bh_{{P{C!JbP2Lfz zz4P<C{qEOxn|#rgPx!s&ZFSN7n>uUqe>z>*en)h1{>pv&raS*8uMc?}Jt_9QMfl-; zsSoUa%Itm@T~Kp&-_zzbbyw^)U$a9jXqU*Z-u`5%PFMKi{GAg&eya`t6eM<Z^~LQv zse4KfWE;O0ekALAKj^FHoUW;FEP44)R84Q&_mpkhd9&JRJ8?7a*U|fAzUcBFc@e$p z{aW8L{?OmkQmz}HySgF&=dbjq5D%OFo+cJ%f6Y!2YN_|Uk9j|*!7QEmao)dWP)_8r zihTCC*^%=F-e>%pw#xre$eNV%H$PWKzQ1%~`<)}-ZeDo&Y3GK>i2I)kE^N=~xVSy! zzWkgwa;v`So?MqcXLaKJN&gqs^u>PHwb++-f76_+{qxqJ{N)G^*COr5vUNUC&xuIn zYkz?FbBocvckWMr-8%EDrNaI4_K;ek<w>g5=Z^7iy0>&;{?697n~$wORhzbX!@j2* z7v%qR>)Cg7PL!=sOk>vlNe_~a?GgE=t1dU`{KnV&SdU+S6K!|q)$xh#DSsD$L-Z%d z<6OqLQ;RB0e@&a(^SyKZiLcP0?)~`gwnAlYTCLNb#V?~**>y&45wgiWx_HyQ-qQP@ z>a9Zp7JWzR#&H*fJQY1I9>A0(Es_}!!gTAHU5<>T^s==_1G8VO;I%VYu+}kvIZL|h zRzs~yt)%?bhS2699<SRCLC&F4IvEGTS9C2jlCsX_ox75C`CFE`+cv)4@^apjc@^iT zS5MQQzx)2q-PPr6yx;eKuiIbKUtGtQB-Huu`iaJRRiUpZ`Q6lxvFZP)`0`@rVnbh* ztm%8Nvg_|*lKfM5KfC=){IBXy$v;YOvkP|~o)jK7-*AGn#iIYR&i?}~z68}gtvz#K z#rMMXr`I;mKK?YVy?ROQlMgGl+-)i@IOV$ed~18&o|n#VZp!=rdw1f=`jsb77sNd% zK5^iAqlEJ@du@sRCYv9tyGvFad>%Ic59`;*-An4G+&%D`J?&YI;`>alJ)$k!A6A{~ zo_VCYkLkV6q1&ah&yV^%n!EZywcSHq!wSXb&y9O-_%BoaE;MKUs|NF*3oGg#)iG^; z{Ij&WDDlK<o1cbj!kyE;Ur*S(+P^Qg>U3qw{H^-Wo--NUNME*n4ac6R6OH-a=sup5 zylan9!1q`KrE>o_y8ouL@C#I(KIB%{S6kg}X;;<Iwmrt<mHXYTd+HL@K4w*%c5T`I zSqkK=)em(ybG}b$7B}t;KOC*6w#PL5^UqeEU!0$IRdi?De~dTXl<YFwZuif;xc7@5 z=!#FM4cjo`z43`ddzNZ`m{!J}Z+WNi=Z0{Ld-FDLKYH&`eP*HlqU|E<pFF>@efx~Z z*;S`yg6yrtKKyE&d1UoN-D1xCi3hvE%;^I6Uj3}8Gn`yuy60)oq1$Da&(W=2le;oQ z{QmjZu_AkxPI|MsOKabG1@6z&t~PFev+2V$<se^=t~2HJevLvaPki5V<IU?5zX`qn zmlxa2PJbw~VEdkZZS#}w-%ILS|57(z?d#$XL2>p5VB3riMVE4dqT%X?X<CPFTTTC* z1P&CNL$}S_KRdDgWvPtab9C$c8*@I_)b#t>TW)N<zFVW>^z;*U*}G<dJ)fHUK(~I$ zy`Y+-i#C+X%a>hzbjwlf{mJ9oZ@k%Da*q4kn-V+YtD83+jGngsIXoz8g6y^QAADs7 z2ep{My=k8<_0xpbw{4Gctf)>ej60(e$D6-%(uZl%dnP#AJI0CU7rs?rndN7fZdP07 zuf4uJ@WZszC+%L__0Q)%acEC!?E~HS0r@)(YrZbN(SFEt^W&^Hy8o_o-sRnY&**a? zB<}Yu+a5Cc*Tp}qP|v3-K72d#WF<J*Qw1N+P5c1y`_-218|R$YPr2Yf;rh|&M|F?( z|66<K3@GTIYHd32Wq1C&WJ=<_OHvi5PZ+Zo&G5{$KX~7|tWx$(QLx+{;p*=Hu4a2x zH@3n<{Q9I{8^4#t*|QlZ@0$>QIC`H`#c7^<2Ynt~{V)x5bEITV9lLR3_~Ga*j{NJ- zEkG6*{6|T0*4frzA7`JiTm4h#e)@#g%G1jZL?4T;IL&hWsd7Z0|B17=lkz|AU$<;~ zP8~F^`{fpV{Oc00%)Yh6YQ6jn&plH2Hnn`XHWe1QeILr|x}eehwWWO1L2k(}!cR&+ zie0aJv_C85ct^@kmj{OWAF9Mz^CNu@++Oyvm@#t3_8iVVPg!2+9-1%w@qEObS&EJa zCuo0UozZwB`p<jj%h6){kCboC&o?}N{mZoVlYa$9pL)#>j@T<g_xk*cAQ2nsRng4@ zWx7?Y_J8ylW_R7EKi8XLq%STzpI6;_zDi`zQ?^a#f7u-a2l*!357UBIZs*{RQi-v@ z@YImK%6Z<ijoW|3S6q(vtC<Q9@cRm17yng;<!^J&{Dshrc3){vsQk0fkX(0PZO>Zu zg18e4<}2iT<qQ6^zOi-wkM&YF4Hx;&kNss0&g15jYQyZNf|L1D<qy*~>+VTi^!QV6 z=^p*-`Q3Yu{^y!~Z_{)BKQh0+i51uQ=C4%$y7++wG<iL>ZrT1R0$Q-#SKXs~ya3|m zpK=f1&OK78*j(4NM{}>M#j|yPT`FSk#czALS^a+}D0_L!-c0Xx|5(cwIb-`9o;^!1 z9g6NNx+BSKA9>*8Gnsw5-^BiRXIGs*W&gSO&F$zjA5Hf@WnWSk;seW2c}jcEx<kV{ zQ(=$oV`%2O<5Q6?fs~>Ct#&Kx$+(>U{qIzewWq(Iw0kXe{5)$);=N7QAEvd6-7DJi z_>=6biuiT+#j3mii<<3C4db^zzHjeQnfS}md8%I*zche(*X+>l?*UNn+N<nIWrJks zJAM_?d=KFf3`<;QwPk1REB1CbZNKC6?dB81n)!}m&vf_m?n#~5y4|NJ#_q??-jCTY zc#hmND)BR_J^E#u;rmZ8-!7earT<+ZEP{&;MQ1^?F4v)G^GWBS7JF7$yF=o4efRbq z-zNK9@5rg$=R1GyXM1qumQSb+yStr#+tml>o7;Hm^8dvgeRm)_Z|jF?$ui<!nESpz z{gn$!U5S^Yx2gWP&-dl6?z11W?iGozlvn-#5L$M<Q`@uk|Fb>d>{Z17@a+$AXlbf; zC_3c>q5y@ZEZOh_;F82WW&YNGlfi}8)3XPn!y+qAZ|plgT}G*{^^C(CUB$_h9(pg^ zt|NQ;{6=VQs`mW5qO$!uKcr~v|FCWUS!mfM`cT)T0$hweJ>IfC%YJ@5C{aT^%`?4Y zP3<1v`F8_Pfb30Gy_vqZ{_$^~O$PZty&vdG>+E68uDhm}bL2pB{>S|aJK8_~|6Kn` z5T2xW&cD*XZF|Mv13r(gewdbaC^`We)KZ6{clJYr+Qy+G`dbk^EA8W#I=|?@)`b1a z*ZiM+)#JLiY1W&~lKG#&>3NTA#py8ae9Lo%JGo`wpZ*Fiw+=;UUyS}Hdlv55txM{@ zyoH5vol}K2w7B@G`7rk#EC<^w@3GA<h&%D?w`W0JP*r!qnb_Z*A9n27pL02Vx&K3u z&7Tx*rt|K94)*U$-aV<yTeth1`#pE!J<g83s(U|Yem1!reNE;(+}_e9byN1AgBDq9 z)c5$t&Ve)s<dpVQ$3KR|^CyFcy2cgY{Hu3Q=)3UjuBrFFzxl5LE~CXZowq9g3@$4F zn17gNuCr&U#opi<-~B=5g_yzK)N|@TEWbgL(x>7ednxNmXyCeY<bOX6wJwS?e<ifg zlIG06>u0wgS`Bvo?)?92`#TYE3YI=$r(HD>Ts)<QeCqc8@Hg02?4Hrl|0d7Bbbyj> z+~w$Fb5Fs2=kEFUMb$iL5~}R^FiisLA&ZA=Yx|&)nWMBv_B<pq*LQC}^7lyn-`U?K z!S?M}_`T*;CZy@Hv2nY~Bv#>~87Boluh-$5eQ{-?_~Yzz#sbydpRZWn&!{@xcNy-{ zOKz`@KUoe-MQ2;KKl6l^6#fGDzO99Ny3VzNn>X&nf_Mdp#XEZ}?^}G-fmp5bd(BhM zIz5Hv+O&WFqq&&#E$38qe_nIMoG+a%DPQtj&eG?5Puh#Ut$%p`^jiDb@X8?C^Y4m# zN1!ok<5_WA?m5)cA`f*9AlYW=+z(-P$DWHDy-+_f`%-kvdv&k#oqh9-=1ATs)dR<> zZp!?xIZwdJXr@5L=@9OG%Q_2Tk^SErj0LJsGcMP7n{TlHp3&YWxV^VM|7L(&zo1r) zjc>(oIcO>IiRa;3sJ%}k58eJF0LiYPI>@i^j(<z%$p=qor+)@jyEomwMc%Z6gtuqo zcAw23#O~LcHJ=vmbpJii|IN*Pc0UF8u}K}Xuwei3b=u#l@LXFt?@IqYSPR#i{h_Wo z#G|R~4|A&~KqLCktPk&M8{mz+^1sq<Gf&*yKCey^?B(Yt>{e$#gLqk};`B_hdqn}~ z)-5}y{_OXir1Rgt-b`!WzGn7uc;XWG{5xY~7c6mgelWXt99ll9KYY6n*04O?vi-^f zXzSMdj(<()qwmKmziWZxSbsw8wL*S-@d>S!-kuM1#iJ@tAADc?>!W)nsCjY8p!0AI z%P#4<y{Y$<;4Qj0N?#X0^n=ww<}KT^S)m1RI7fcxK}c1<n=}7y5hM%Un{sc{lgmdF zkDI(xPux7|X0~)TxE3mzR2x>o4ry9>Hg4D1@Ima;k28LG^K5LtiT&r!tvY=~6%o8s zWj>sXuK0W4%#*+NJzt9MRUmc$>T|-b${ve9mC@bwmEFFJY3-jGJSDQ5GLL3DE}YUC zw%jB2@-ZDB;bz~oZKlW83M3y%VcUGRM@O$zvHM)=>l;aLCgqym?zt@=HJP(a_{}l} zgOXB(#T$0MldGEl?ssj``a4Xv_kYj(>g#p;_nzAG&*xOX`@D0i*K|>?R?myKLxZ_m z8($cz{kpNY_sZeD-`ZZRT@nzrYw?%*#UZwv&hy$gD4)>UZz9^>w?AI&@5_qy#t&+J zKWxjnwCBX7ihSb-Zw2?n@@L0Q-}#m8{qKv%-{l7G*;jsaPu|7L-<m5bzi+wN-Y&Xh zcW6An_9DZ(Uq5^}@ov44Pp<P9N6*BXKN5TT;zgws&pKDl-+AWk=347>7XO5tf3H~- zFhf9gr~a-5vF{FTsSPXpqwW6RB39erTgl#lW7j2b*+|Z>U*+$8+kN@3UCTdxjcE4H zkKB7|?>X)7uU}U<mYj(_zS$=BxQ*SODC13!cdwMMKk>W4zWd*dU4h@(H<`qB|DJZ8 z^?j_gi9Uogx9R&%v$Negz1JV@OP&5eS2=FFWqd>V3H6KXimv-l`#mjiK2Kb;-TyWB zR{YlE|E^mv^n1-;#@g5&XWkm`%ipQAFTc{@aIN>w^Q`~uC9=QlJNn=A+3#t-{I6c$ z_+HE1pI>?ZlJ>8~J40cX@8`JJw>~Q_C3BD1cino?J<RbJL7}PpF8ZFxp57y`jh+jC zn0Kc3^a}q!-{a3K?|T2K;Qpt!8|l^WFJEsxJ?UNat4F`5<)`k*mwaD8vstbXWaG=H zzo)6*zi@ry``==6_do4R-TtC~c~nWgg7@RCJMwQTSM=XmT{&^-$EZ8o_vluH3qZZT zx9Pj}>#x6do%zYKN4@yI(JSHqf4|=_FTMZt;l8K38_#FGyZHM|s^@pz(~o~oTPyi4 z`p^1LFFF=$7Zhn<_@7%_Yv!VFtXs8vP1Oghf_i%+ruzQY+lyXYWv}OJw!G$gT=w|Z ztJ`Eu4UhZIv#5~0bKY@BX@=a*kL`Yio{4vM)Gm_O-nRm@GWgojIj?OCPwn4+Tfbs9 zcuhgH{O7zcN2Gbh?Y+GJt5sybzw^Gt_{(QE&>0O6KE4v%E7$g|G3GvVQ~3k#s@m9T zPfov1j{j%Vtj}Jr#Qa+L-tP;~#qUXa&%d}w9kc)idUpxrl!kH_`)~K4cRzfJJqSKI zcDvm!l{>X3j%C^Z>ul?iU%Iqje2;H7c#QyPJMV9n{O`}d))hof(honr?@ugS{JhSk zoL_2ReCGbLMb&S0CU`+1^!`Ke5gb3~y*S=y3SArUU$KHc@9LftGq&69l3G(!8Sr7- zy)~dkOQ4<Zl^!3qt-tpA^(K}0dvd>vs@dXq&417TQ}EXxC%bZ+?Y`$h>s%6_g4d%! z4#{}mU6->v5W2k~@!;*dpz}iWYfBU(etw$YRDK$=!~?X$S-ENZQ?|YP^t?Yk-F0Jn ze)@-}E_zE3*zwoaHO^hfKiBX1HqfexHIM~j;3H2q#eYeD>;+x4<j$I3-3(g&^YvnA z;?vW~P1}oiego|ju-owA>3){{yIc^nf8ChwZuH~R8vfiBkNBPE$A6r^@Qv|}>ofV| z;n&}SH^Tjk{*rtZ7AW=tdvv`)3scti>j`Sd|8xKFEe3pu4=7M96)Lo+z4%%hIZ1!N z%<oM#KNH?bWuEzaa<7Q3=?nK4pSgcMx%fnD{(1CG)ZCBc-@S;2-4fOFV68G_eVfq1 z+w};iM;yEzz509e=}9#|x89h3d5QkY>JKk({!qB^ec^fi3zw%^tZfqqE$2c>HvJuS zZ=#@Ub&BRZSPR{d8FVmuX8>s52k6{}O+FvWbguk9nCiK&M&sMlKRo+v)HlvNWGV6M z&mqfT^?9DJ!OJWoeu7t8L$*@yd~y6NY_qS7enqu7bd`!ROa5EP6+NJsG-l1eT>}a2 z#T)h4Pub`5^Zmx>^L8xj`X#yW{Q4FC=L{aszc&v(#Qg;R-mnRWCKZ>1w+*2u`Oj0W zn4Jb*{`iKOJ3fr#-m#mIY;-(1e%+*fJ};Mj4(e+!viS0|wP@a^GmF#HL2Jd36L{se z7sqGKgeLIwf_rj}p<AkVHf=B61y3cFP200}#l=ro{rRbSqkjJMeLg?`6}^xbJrxw; z`eV+8=ix2OpDdYpx*W8k5G9dMcCqL4fo-#igfCPUIk-D@6WsKWgSX8+-?M{EXWyuQ zJ^jPeC6n{kKl*X#!t-#M^oL&puE~KGF~RPl1|OuN?P^~Z4qXvCN3CKt^iIl}gSRUo zSABvKH*3@O>_zwZK^dn%IleCTm(kKqYRhH#pBB9M%>C3Rb>{KA>=-HJ<s$jpu=^;_ z3GAr_pZOZ~t7zGSx0>Juf1oY#YlQa92JbOHIsN>xeRt*@oPKfrHNIbhzy37YRhsP7 zb;mF^>terdGIU9GoJPfNF374j(9SM>@FFo#6rK~?bC$V!t{i)SGRV>Elj7}W9-O|b z@x!Tyd-!YX9?n@QeE!MzR<ExrtM5NQ3OkDtTvS=Qe@VV;2+i`vtnZ&KhFI`vantrs zGrn0HJv#~t64$2f%i`Cs2W1+kH>YzYYgS5oTn!YM-(t64!7u2T=a=a(H)lRYI}xXH zk-Rn^^u&liGalsHfr_g2OZA^~-@D}uUd0O9chAl8{y;S({Y_7b|EJche|NvP#3$u1 zKU-_wRpfov!YISLUG2ZgLHFubc0O1OJ?62W``)s0@b&~ysh!P||GjRne9W{br{8YW z|F2P7ng4a}9s75mKTqakE7AG#leJ`(pT*Q=nxMs9$a(Uqx{H0;8|W(8odF;C_CR+Y z>Wl7KTMai~ob%o{bI@@CC#UaDihnn4pU=<#`uY}$-lE@yo#w|E&Y%16V*ad&=y|d( z=u7f#J!p8(7us{z8dM5y{qi)msr<AwJW!@{-<x(FVtRE_yxg>XJ}Z~K)I9ENDf8=( zlcj&;vx}CX4GORueZdDxtr7iuV+ZWEHqoZ-wYx#3_q2U6Iu+LO@Fch==)<+WtFB)M zl~U&4QZu()&?}Ff^6mGYv=q%%N_BoOK5IV;HqQA{%Z(B4{@rzJUO@w<a_WOz_+o9{ zit0G<Vr_657v3YP{}Nn!^B><gXV$^#s)zH|KKj*k;dyvW`r|7Z+Tx&{At?FX+r|Fd zUT|w5>Q~W9c)^{`lK)>G9^&go_w3bw@%O<dmH0Y=-=CCTo}RL5*32TCDTf!H*Prrv zN~#=hH%9(RT_nGI9&}IL&X^BjkRAG|dmpU*1KE-VO5I!sZ-2~&q?N*p`kwOyL<4f! z;=@u=0_56K^puj?{Nni8{m_KCGw8#>4>`j(fM_!K;Tsxie0^;`Y4D;5y!~r*>!1 zFQcc=lUKLjjXL_3b>aE-JI)<jdC~4JXe}V@x_EGXxlZWsjX%cFlyaT>ULR~{9oxa% zU)I1%!PLbMa{sIZm$5}fZ%%K$G+i^aH1X8O0KRs+{Q>8Kr&WG2d4_)WjCohxnx7M) zs|;te<llyE%i7$u{i`}W5t?(~TP6Pr9Fyrs_U&>1W%Ts->Bz{gRUPw%fBotDafL^E zZ;dZT-O&Bwc;i}V-4M=w&keHSeNX6zyh<-vU0Kxo;O*~ykTUkpjp_Uz@yoVcelz9q zLK(i=x`lI=>wI3ZS6LqI#Izitzc>EE)`8z<$rpv}rd=nxXRbdywCnsnl+}hqs)b26 zruTcrFRQ7yH1iI8`p5D=>vXO7`i)5aFa9;rp|ZzMOYc!Up&=o{Gei1kdYaL#cVEvN z`|bJ=-Wi~%!)<hnk)MqxtY+6nRfpv>n<OuNa1T%lQBqS;GM(hGNrSi3#aHd9z|n?C zVV?g&OI$p}nrA-0{{HXRxy5Dv)%WLr+jsqMUeULgmivp(|D5~&@7~Y5-|ySjee}+8 zqwStL-ad`K!Rk_S@hh^_S5Eqvw2}Fhh0oq)n+_bGVHf8bnq$8#MEYl8pZHq&^q+M# z$sTn#tk!&cuFqHY@13e#w`}2&si&_l`S<ZgbAF(N%mb_2IritnWM5R>eZ%{us`T#? zanaCYNsk{(o7CU0Kk#LG?za8UR~MhWKlfh2pU~i+$AA0?owa}czbCoQ@!M|xE0VE! z!FxPD_Wq)0@ArP6{cBRM*oSZT`(CYi@BDR^mz7+1ZP3;~KAgT~MrHbO%b%aIZ$H0B z=4ANdefuWv$>?*n-BVfk#QS#ticd@59^deJsjdC{$%oHOSIw=z^GUh5K4tdX^eI1! z7C(Fc`TLB2o6deSKUGt?_1XJ>zt7a1G`k(&*R1jBsp+i!NAE7%m$JAf<N0aRx_vcT zF8gc_Z8Y!o`n+O&e#pAu8Kr*;tFT#fJ?r=5oAw6Z-M8JWbDOEZ+@${f-+eFjZ|Br6 zJ~RD#=D&G6Zl9T+v-$5+iw>puxb;7)ujvKHZ(@CV`tzTfpSuNq7TKI}+uHKG=hv@k zAC}JC`ucadPG3#tZ^6)gTmDLv?c4JFd2P{*pGA|m*~>*6!feUE@JIJv=C9Lcx9@9w ze%d>0|IXO7eJQiw>K}I$`T0rh?0x3#vwuy})rhaVdwzPg^AqpfACd3ly&tv&#@`Rx z!N&dcvG~5-7N3^Bbr1P@>09!RpO@y%|9&#@+3B;l_<!uXedh1P+->s%pPim<T7Q1i zpVZlJ^QZj$BzE@x*YX)ZC*^M2FT)=A<h1Ur{rb74j~jCwe|{>mkN+2Ze!6v2`C8@X z+3w3quUt1b64yH7bnX6LZ1!y0W^Y%!dZ+!Sv)_zQ)l{y2_P%iwEYR*>`m;B8_Dubo zX}?bw3b^i@bL~%UPWMXvn@yjdvf0P)TmIbpc-<FH_unf_`hWd$dur7hc|7*&(TV!G z_KQwU-zI<f-1KjM_W1J|$Ja&QmVdwJ;vM;$X}={?_x<U6_TJkZmIii)e*XS&6T+H1 z%YN=ooeZ&N%6$F*;!5#tH<!<=K5=LHxd8RKYfdGKC)8EFU;Fy+_QYe`&wqVgR{Z?$ zjqRCLBJ0h+DoDTF=r;Ff_SfGfb-DlAk6d#p*8O>K&E4XgmUDkGC;XY=ZC(1FWy4R) z`RNT;LlbnFukB|tJI6j-ym@VDLaA~@#Lj)jc@J0Lf3smHUr#*mu{y?U^S-^UuKCCK z<=?C8_ZhkWf2{m5b?(Pi+6R7lZ7_X!{XCm?JTv$7=AY3AkMV8T#l2<^UsZn7&+i9+ z)E~U|P}=JA)qYm(`K;W_o8y>r>N#`fuwT2@{51Q(AKio+>l?r3<=3B`|9tz3>-GF$ z|5(K0828pD{FdGDQ8B`nVOrm=Rgcpju^2pSJUcZ(mv`Fdgnvo~`}lIE9{A;(kg6SV zgR^S)!EgNskDWjGYW;yrn-d<f?%&I>f7b7S^?SLe*)x6Km~{Mp8Smdml2@WDH`ED6 zM6!#SHQh_wuvawa4a@4?2QN7%JSsH!CUT=jY|UPVY2TZEh9CSPo$%Ur!#?>nI@+aw zbk;EJoqXWi%7kRmh`B7@&zm~E58Mz<_#Cxi8>`NHhR?eXREXyM6<K4?81^b5k^jYB zj%~9O9-D6Xr55pv!Ka4j*tGmNKknP`t(nU+?L5n8O@n=GIk61a^jWo~4;)gvFq`f6 z_U7K*2VVV6co}H0P5k=KpXKxG9jo8UC;W_G@Llso%#KG{FKk$=Qa9{n&UwSMI&{Mq zju}5xC4TeXxWjxcj^(s|^Uv!Ce?%v|X5R46Fe0*!_nE!i7eB_^=}o;N5wXnE^jL(a zH*Q>g;F;P6Gu~<M89tXce`G&!ZE?b1&kdh=A}Zv*{Nvdc&nW%-z^mN}FO>}hcW--K z{w8BXB~!%b1E0hX*0A-gXN?wb{92ljsvL2Hr|R~BZ+;s#O0ThFnI^|B?BBTY`GIHL z3Ay?ab)skXGamcTyX{-^*VJIy|JvFI4tXvpm%0(hYNmGZ)y{;MLI#Db60@0RM<2Mw zw_y+Wnec-@loMWaZir*ou0C+6d%<_38+E)ndpN`F8NB72JD*;6{Qvmivy%yLeKyQt z`kZ~>M{C0Cpbh&N*W@s9UtUnoeq$eZ&K>?Rc~)=#rq1*OH$Ff3_VUe>6hqs>IsXeR z=N+$Q*!(y34!^<mynEXUf0yV@*H~6;xZlV!(8vGR)RR|VCaE2q+8JXw`H7cW<e4Qq zCWTJD8gp`K*G$fJKC8TLtydA|G>usn?Y$~$TXgi=s!hTtUEHqT=uUC!ou6txsnatw z*?!W=E3cBK$$F}(2OZU^p5&8u>8Q@`Nj_=CM{}-EPtglLQ&#J#x(UPyDOxn+?XO8* zE2FMY53!0oQ(Eh(uGY14o&L)+DTdd-s;+%@y0q5wdC2*7`Y%tGfJ7$yh!n@h8)>G# z{xxZ4z_xYzGq=oI_}crKMXB-j8SlQoxM%djz;4E?AJzPG)BpG`ao@kdQf!m%^ZSj) z;ze(6_NacpxWj(R?~FU{Cu>dKWk0oxdbc=Je(LXxo&C!!Cj5T!?r)OQyTu>7eS&uP zhuz=rn0U!{hnbl1e%FLEdzjyTdoEs9pO-%G-Tn=~J?~zNd?jOir0(Ov+P4<Z?)(3m zt6$b>-nY=;quTl3AFJDJ-XDK2`DxGn`g?lke}CK)J@0#Y$%N;BZ!cGWT3P>my~fE( zoA=M})Lf9ZuVLO={BWPu%b!-F*MFX#(%W^~uiQN(rtDX3XV+=FbvYq1WmT`aM5oW4 z_dB@o=F7eFL2}b<wDf*oJmq#Yb@}@%iyv*0Uz`&X^Gy^aU>|mK#f~?xa=p7BZK&Tr z!OC9qz|)OiA9R-)?iY{?;_4|ao9mo)a?=gfH4S^~drLQE{a_9Jx2UMIzUxSR#oK8~ z{?>87)+TQIcqQLvV>eTCNW!+?;{WGuJym|{U-iYD`Z%_oW|GxkL^eFmy`cT%Iot9d z!Z&~K{#(A(@wf4-$NbU$pVue-y;^F!|G{sk>2tsPPM`buOzQOK!Jlfp`u)P^1Xzpj zwckI@V#@O+GThvbore=dpH|jx&}+_`YQYm0_Q)V&y5m+a88&V0LWwn}7euM@HBX&t z!E-G&V69O5!Bbu`Y_E-0gmoTHNLA%)E|m;feI#+iCZYC&yLeWGJ~D`i>^z(h%XU?( zP-4xRBZ*`i>z2L#{N_u4_S*4#Z(DFUPkyuAM$2oGrO(c6JhwdS>#m=DUcdi7NS^C| z^3~t1`laD#zx^?`JsZB|+fJFp<I6X`oc%u5{(H*eMZX`uTD?oQ<jbe#eV4D8irrkH z^JUN8KO5&R|3CZiQ~8@!H)B8VxRse#tM`k?Sk~u?*uVH|+ppW~ihtrb<9z)0sO9^( ze(8K$9=h@Q1H1Ww`YT#w`;)$YUbW+xb?D6%Ewb-Tu5Q0xYF580^tq+XU%T~wugllA zU-N!lc=Pwq{C7!z=a!#!ejC%|rnq<Ij&sW`mOR>Y{+hpO$cp!j9MbH2e|_CuC-Qjj zIraFTFRtbro_)N_@9#eQ#&w134{xp8UHk3ltvRJXp3fGvx&OQM=dbfwH?Cj2R=Mx* ziyEoR^V*N6?s}GLUA*saj(yBy-d*>d*0vu{blWL6>-M$Yze&6PBqQYH*QSe<&V2vR z`1fc2vww|O?U-7*QJA-?USO_k`Z>XXLw~{_oxjqYy@*>oF{s4w>w{S@4tdQGiS@DF zp|)#-keaJ_F#ozvy)`YK7mp5<vcDxW|NhplObIw=F8c0&rNc%4aEC|kkB;}Sf1bOJ zy?$QUd*Amn#s8MLru?7y!|?IJKPq!q_Wk*Dru=`x+Ox47^PeWp_ItT}wc+Qel9?Bs z>Q#5W$U3t}EH-V+g?QOlyIw?H$d2aU7Hln^ciFRYQrGK02KSvE=DU>4_5a^(BRah{ z29%$_)Cz^fd{ds9yO8hKez~{5+v1Whm;3Jc^UA#MwN2J@A(QV0>%uSWS!ecn-RY~Z zAAD>5F>gY%``p;mURUpy%AUA(`rWR5#aEkcmcI`*w7=@Tw%GdD&-?FxR~=f@T$gwF zOYz!<bFnMFUXuA+mzQC8{i~Y&v(0k19zQF3QTXK3S-I)gFRr%ly?y#za*(`M;_qPl zS^KZgTX&||E~Dm~>WTVUyRZLxDRu7of}P@@IIQFL*Zta+{y2VrVda_)_U(zkKkhiQ zAluWd&wl#cKg%C&`hGce-M>lsMLm5?>wZM8`Y8Ui>P7k~)Bi!c?#s`8F>PwkE~a(! zw=dmQfAT9=n8K>xb2T2n4mhD6_)1zP^O6=<2h*Yk4FxWqxW@m??PW*4E)sxme~UTW zCw*K{ZmrJmInEPS>z`DeU}5{8`N<=XX^W3c<2h+0bHlAYDoFcSOp#;J_0=&&=e3_q zNk5_J{~(-WLi!2S{t4@=ZXAA@6{Z<s>DIurP;lAn)$e}3T3)@X{j}$#kH%FAUk<!z zyvV$m-HpFTPQv!X|0j*nO&e9dA2*yT%<*!<ulsCn?JfJ6->AuF+MEwP<i79!%<ZcG zd;jtNHGlSghaHb`(wTNc`C~N}6$yLdWojJLAOA8~$A7p-;&UQMf=^E3y*PXPg_}F< zdR`wkP(D@zmS|=__WS5T@e;Fj{EvSboar!>|7ZR=QDX7OnlA@t+kAN3Kk13gar=Th z|3fGJ;dTF|;rXxWvHE_$NveJC3;w(@_x$88^Z&@l%l(s|lw17kdHmj@?u+Lnn}Z+K zW$r)pkW)XYUl8;7hjw56!yi9|w`}kJT)p{d+NSEncs;Z8;oWm<4eL$!&az1P{wrx- zPWk1lIlE@6?*92TZ=+jv+Vt0(kFGRbrZws6)1NiXY)4LBy%aP(H>vz}_miBTNi%H@ zP3V5P$;j;O`RMFFm9e+Z%om?}c5?gcv*w>?X68<`nfHF)x%10zzkQSw8n%sxb?aIu z$K=-m7jCHuq^!)H+`RB)(Z*TP(P96V+?yY=(*C6Idy&a=)`jmrTlF#~WslQld&A6| z^M8KydBtw>(sBLQs$=m-B_^-Ye>VGNUZLrIetVO>CyZv#-ou+?=z7;7YRO6Wet8y; zxTwijSx=rk`>kZB-QQz&zn*?>7d!4=w(-`xCO%hZ*;N5oGv6kMFB3~)_A)J9c`<dP z)!iC{*}2bd=a%=bQ-0DtSEatJ((c0FF1DRJ?%2+r7q9c2?}yRfTNi8WE!m`GO|$O% zxE71*hHME5<PEW0IVHqRYoV8NNOr>F#<lG7iJddf&5L;_aMN*v^~cTO-P7HdI7ZoQ zI<fL+!0XlO5sRkn{+VWX`}rwhl`o3n-)=@-G1LEc+GAq$<mJb<8yJ5w;WoaR`J~g} zhr;!~_`5Qfd;=_8!UHT^A_6R2A_FX3q5?hy3a+UUK5A}QBYe>OqqWN8!hh{GHhuCz zpDgaBKNYUt7&$*_ZPc1`a%(pJ3TE56RBfW-Zi_qXx(-dVo13N6UHS5TMC@txxa(Tq zJFjnDwIGyzty;#`!(#8;u9sErJpK0P)6(Sm>*f5<KR33XP?_uX)X00@-R+lezIj<w zz4MN$yw3i)`|gRQCO7{0dM8C}kM)YY=xDLuZj%=U{&H)p&U-2yntS?|`K&9`dh@q@ z@Z6ohWykM^0`rn?Ywy$=W>JT!KizuU(l40Jbd}xs{_>)Sk~2(>7R+n%<c*rw>{*;q zC8W0Y!Y7@A=ce1fnfTmV^t4i9^3!eKTzWK(qN~+bq<eKor@J4OxRdmHUU#Ne_wFZh zr!U=l#P%Y3*@n{ds;4i!+L^L+qwo2pKHRsz#GK+X^*X=w$k$o(yl*;eejc=KX>OI? zRL|VY#Vd^xY^SecxK_N<D`w&IAVrSc^GjD1-ToG%b>rT*n5^KN+nxs{E9P>~w~y?a zc0M;b!0rjh=M8ozf}9T(th~$px8<bvEPIZc@Q;ZcS#7RzZ3+wLTUv4~mYh~8(bST- zvc1vcg}P9igRtbo^{u~D-Mx4$lR1Ri<`}YYeh#>NHvNg`1=*=OCX)3oB8N5voXs{@ z+sqWqHS0`QTTN34mtM=uq@t7%4VCi>8=Vv@*?x+0b*f*|5t&p!A$Q?~JuOSPTT=v! z^hGAQdK`4PG0FABkpK@dMMY=D$d)}ktto<+j7287p11@Oj06eJ;cZP33^Es)<f^-w zW3^JtLRVKWv8@YTTfM)UZCT-wD0rorTXcq*K%1Co1iu20uGWS(juKHJOEz%4%JGR{ zDOGE64M=y22ubiZ+Oqe66_euT6AEswr*sb49(I^ps@}b!mh-quH_v7cZ}XNjN>Nr^ zhjk8J<9A9E+?FR`)NS-AbB5~;9mf+ohbHkWZsu5R5>}rkI4y`{rt1ztrxQA-PJEW! ztfAa(loseFmU?E<9Gz1$TrDG~7<L!TZ=Mi2WwNW}W)1c3qBOy`?A>!fd`k#F&`)gP z0zRiXlU*%0b9|TOnCZ$IImNiU;C$<ZNSCcu2g`P{zS0d&m@FN$SD~9LO;AqG;e^hi zI0mOQ!MEjx2GWytv}U+&`KZg4CYbkJz^L16(~1PKsVRX6lR_rBs%~DP(j5@NcQz*= z-$5ekO4+Q1t{RhHc&&KU_Sg5-GNw~I1EMA@UGbVJ^W`&jE*rH{5vR>QFQ3VJ?F+bm zmi<cI!YirrtNDCh3N=h+pAfVqK&(x9hr$A%grH9ntHpdejl_fUq`ee8cIJlEiLA*n zPz_aNebx3LYwGM=4SA+a-|fv!p@Pen{dPQfiX&LMOJKFK&)weBIjirQq)lZhIcXN= z<>0k#p#bm9t5f>c%rGc@YhiHvj?|e|oEKc{!+a&oHCC%RG?+x5$vRaS<}0zPpDWCF zfkb(DlAw0fDeEx*8JF%#MmRR+EYaA|#T4|3L0eNnHEGSVhAA^PE@BFLbYK;ihbGsW zH3mzg&qT&DYW{2!6`Nqir<1fadO~C-V{Eyp*v3goaho17ZDz02h%9B)To<-z&y2IX zpRf4#>#KZ*^2{@0x4WNyF*VyCe)(xq+I8{guW#Diuwj#qpYmrP&vGZp-!p0r?{585 zwjjH8R_XS}voChJ?r40QQpemKqY?O($9%()gZU8wK8uyCZJs5*Ta+1hX>yoNVNrOM zWdD=)Lz6NCH~-vtVe-$(*BXUPFVt-~CMS93$m90i`R@NZY+dhc>~Rg%S(O|p+<nVK z#JDwh=j_1au8VHST`8Ctmc##QyNC8R_N~!NL~l3m>dij7CE&QL*GAhF50%#5kh_u} zbNYSDN{@q!CqK;c{vPaee}%V=$D7GJ!rx51p*YcAX?0Tmf+eYDNB21xo-S2V4megc z_XLN?h8T4trlr~6-?Vr!mhmrTTH7-Hrou*rF3VFf_cTB8a>c9@j_kZN?X^qTq7_fA zCQj8zpXpbkDY89A)rcuo_j+fKgCp3qQ()6J#;6-9NlARwdEJsU&09(Nk-*}Xr+Xh| zySgpNdAe6gIbfa9+zg2;>p;3qwXb(7HAYQ+?c%n;?Wxs7!4<wc{Zd@6aJp)}ZaH~W zb)uk#&rZJ-7OtRm!jg?nQ(n8cJt!2r+!+$kS2XnmhsYw3%T+b5clI1utOHUqYw~Ls zw<Ap_I7H^<NQlgh5t3|70tqcJc?>dr+77?jHDBLo{tkNU{cF?lv>&fbn?Cg3k=&P8 zUBAC5*?vOfoqvKB+iWGZ65<1|X8hEeP<BT2w#?<pce>v8zTB8~blb6Y`eMS3y!+-0 z&wRAnl6Uc=H-BrNdd~jT^)~k9MdNwX*}qpEpY=BS<wxUrOWLb$1cv0Cf3+srI_y?@ zXwH7G+iSYshFRD3zG(H?XcE4`>7bYB>pkkOuJ2YEgm&zj<FcjYwPu<|ScuW-sOFH% z(mT_4x0`)_ne%_S;Jee=Ud~228q4#i_Mdz?`&RO&h0Se`f1KPif60#^_2Y}R?L9w= ztJFj#ab4T-(ZKHS8GE(wmvahYm%n#D`_%4S^e=6OKaJ;;y5E2K9$s*oJNAjjjq~3g zuTU?N`C8JqSWEM<@SBSG`N_vG)?Vpv(o&x~>6C}+lgs}!6zBhNcbtFQ-Esb1!;Tf2 ziK-!;tDB!5u!{J$s5#Z%D&pIc=G1trh#tL#R)%w@`+29Uw$F$-X{Z*$XSC33O0QJv zf*1F7OWcE65>!vIXrEJw_^5WU%bGLnkLL!j81-eJFJ-=5Gex6$vry^+uPKdMf#<Gh zFkfBdv*D3<lW4lonu^H@N9VF=|5J(RGYXhH<DB>MrJI8m@q~fZvaNEl3}4I>(rt9G zOP@1rj^~C)zD=U#LTi3ZPOv=XP?}_=KX=+WuTG-~%|NzQE|M#Ro;vj!1?Zk)(cY&L zQK)vX%bYXpj^~C){!LFcn6Gxe+*1-|rLB4@VJS#0$U)wwryRCw2(S4uDdFf$7VUc~ z5rygpyX-lqE#?V9IDRV3@nOw8{+e6G1CCB~5Orq?mEjC2Q~`0GzF2keuT=krBTk=R z>xa5&DXMPBin+QWEAXgqRG+ki>Z+Dgd@3P*S_?OEb55=GSn<Zi>F5mxXzNVTa+QM0 z`LKphDXbdjL+?y^x`HWWk=Keh4o<23LRz~g1l(+IIpwDk(xc@tb+VUd>Jp(zi@BVN zLKsg4vTC@CnkQPWVwk!@NXvRcz|H2CQ+g^P-xL>a66O?L%oXr-Nl=jF%9bZ9nkLAE zHh}dU^$qXSf;s7%0<x1<Fd;k1U339hkG3vJ=C9pKlKZz_T*MX7skLwuGv`!Wj}>p6 zoKoe5w5%rv7_MRn_0v+FI?>CcPmALu*slv@u7-7!;P~1Q{qun`vith)E$ZE2c<{sQ zoaKgpxNdW{-H)|q{5$7H{mHj1Uw%JyaIlx)WBI}jVsP@Ye35?OaNw3?`n<wt*oZl9 z=Vm^iQz=*a@J#VJo9Ab&5B_`Kq@h*AVaFuj5PgpKd71I%W_!m2Ut@o7@TqXL=iIws zens2`e>43T>NCW@Og_c^tMdu#-zOiN>JC*LOqf*S^Y_t)aED!Q=Lc3YruUU3OU<#o z)SFrG%)@-y!I{c;XRxK8%T%~~NY2Attz#ER_*$#+bK45`b?14XmK7PFJazv4eg1!0 z-21m3s1BQz@+qs<?!Mo&$c<5R)|F50e);a|liNqXT}>%3{Ql}{PUZI+yZJW%KfL4G ze?(n!@3bW2{nqzm&xu{Wnz!lh9KQu-6)Vr#mUydG{5<ep{kp!M`01NvmmU@CZ|#?> zPYeEaF#VRu%a(idjAHe+3;#*~_`B!s>95aftbf)Nn$BJOJyvb=%RDPZxpz)qtmf(X z&hD)DKhIyd_FbsM|D)Qv&pz)o&;GGXao3Yi`=5BeoK+sbCVhSV3~v6oWnY@(3o@R4 zH)Z~|-v5=*)8A4hAJmrRJ;;ljyDt8$0ejp&lgW3#Fe&byQ`2}oy0&mvU7L8s-iY|! zFPq9Ec9uuSK3p2AFTOla?q>JPm*$s^&;0y+)b!rkjdRwWdvkSCT<X`qM*MM~OWwbF z8!naplRcvB+WbdH)xIaZV`(>?eAs)B+7~IkUDGb#JtKDaSK<H1Z<qLF{}D2|tFKYO z5%>D(oRXbU2{YcZyq~Zu(ZA~I72e&KR<FvtF*WXa(cyLS_WyPECthbiZ>Kwp|159y z<3l&k?iMqDA9Z)bYxVQ{D{ky@z5lDNR^D#M|A)N0@BM%9^78$Ct*cK-$BB!wRk_WN z{_S7%`pGp;yX}*rx944ES6APDf9L0S)$gA0*}Z#m*ZO^#`un>(odfRw{l;na$U(nc zW?jjJ%GKTiyB|Exko(8IVL{>VCoKPeoqxOT?)Q=hZzu2bUH&EigXAJc2dnnJyONv+ PYH_VI{z%sz`FIcjP7s-_ literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..fc47b423b3ee70d11db834f8c2a490b5d1c6d05a GIT binary patch literal 142624 zcmaE4#Q+8sjInpicWj9%{a!d}Mu+afK3VIm5T8X!-W!sS_sLq9y}7Xw%y_jp>G-rB zS<}FtV+%C<+=LftE}7|BzyA8y*zctq=hvV6YPo;!x3~jysz0BZGjnpx>p9o{|6jlB ziT=KblUt9TJ$h7APfzLGhW28c-IK(398%>gXzdrD_R+L2V*SC}M^*9*+pXt3kc+N3 zaM*Qe-4RK<1a|))2R=?|m8t(@v~qpHm!MxqA9^3*<%`pqCx85B_121mUsoS-kJ%fv z=g}w8M=PiNdRY2M^84C~gLku!y5HI77E>4T%6cDPP3(`Tx}Z;wuBX(r&fVP2F7rU} ze8o}idj+}Pd%tVW+<)Az@4RAVSMi+0=u>Ohe(yLcJnutSddz(9XWQ*`9outd=0<-K zSZ;AhJtpC~jnTd%%<n$7u+RCwtH=Hk*ZLd3c~9Ow?@|AH@%eAv`4v0rdMpmg`W1eO zIGH`?5!?I^jMn{=KMKoz;B5brTpRW)vY^3S=Fzc<|BCNCW;*_S>Yl@r?>3g&ofLob zNLg;<=iiskyX@CHC$E28_%WCIjq0W+qI`wD;x><X<qJN$th`^)?{A@}`u)GduhRt$ zYt=pHC5k_<IHEhRu)SN|`)^P7o`nCJlWwy|+8^Sxd&Dunpy&2-ui8%bn1@d?C-GYx zSKc;Xukwdwr2WI^btW=(_fGJ($KQDFe)z2T_sTCz_nIHRJN<j*AJLCz9%~*v`t#|> z@Wj_lkEF^gj(lTPwSOQdzp+~JWVlVz^!gPx-^}^t3tIZ$lpC(oQ~dlj>inLQ;ZG}U zYK-pG=FUGJZ{8<A-?Fae*^iGiA0JOg;{131brB}A=6L+0&d-4l=lq_`c)sGu(WN`& zDvn6|*`C~MKk1*<o5wFMO<KO=nB+Ho<;rI6J4w$sP4c%mYHXMIU;6iJ;e@?*lGk2O zPTp&$d+qc6MBD$y*FJAgu&w`Q9y$N>^90*^!E2wzlWpr&uYC?rw5?~p_SrniwqE<% z=k1BM_4joCzWn)l<GlSMuOF)){`DiXpu}>g+}gh5!r%1ufA*-$)OcUpZjs;p@Kyi! zo&VqNO+Wm!{M*j&>ec>j-S6KOKleX+PIO7UIKRa)_c;$<bs6nDq`R*0g}2?SAFEGU zvA>D&-Se>Jqxzo2`iX_#rl#y;_-=7{dG?7NGS~O3=6!7ZD0^<5&gZi?mew7#-L_x- z^OT<Q4b`G6`#&72GCWs5+e*e@-yvhUf~rzU`$wYdvySEO_~#pW|M$KtTlXu+*S?7l zp7W^hqh#MYt*^X%%+C%A&nr0fLvsFxujxVNMSINpUaB8Cchw@k;sEQp3Y#evhi&Ic z*gyF5%ILmec*W5;g`K~jUy48ZzrE_lzHK%32NfR&?|BgQ+H!vHHRJn?`PZj>Rl5`8 zU318G+drpkz3X)=8;oU=w5Qk{*VFsZVQuqRPW<}BU#!=Ebo_no_3qEoFT#o9@`XMA z76;#cU9#^G^SZ*K+LP{c9y4#tSNPdxTXTs0&3}hq(FF~s?@#^3V{UQ$;Cs(|k0i<~ zjv8-MlHC7*@3wnn{SiLDe2vG-F%KlH%_r?SVz=%?3wzBG<#l;FU$yf-wjG}B@$PTH zFYgWg=5vyMFEg?`Y@7Fial6ItnLYChI@90Wiz#~TzNxMu*XFUndW%D)I$v4e6?86+ z_PF<0g#SlN|BanXd*VMf+}%IvpW2&;f5MFH4vPA1EYCifE%S&?|3`zl&BJGALG_1h zx7F$VJ+ghO%>hZijiuHni{CtA>fdqL_+8;dkH1aXHAnuvRV}Ym`s;GzsJqN#VZVZE z_Lb{DG-S`Y6ZlE+`HzOT)}H$k`R9LN{9Uj6SJ&de)45CS4)dNXED%2#E%TUfeZ{eB zv8jKWtaJYNuCY&IU%s-Zzo0Ss%^lxQLcez$5|;ZQ*uP`f?knqe9F^vKuefu&S3T#q zk8Qy@_k)k6?>NqD_dqQD`=pP;dLMi4R(t3DUAsm;LHfMK5$(Q$TKSdw1?}E4sgu52 z9A&n9s9g3=`*FBU!uREu?zh;^x$l2Wea9iqZ}0Rgd!6q*V3q&Srhdo9;g$c6<JZnF zng64461&A=#cgsbm3^@>4+P6Aj@jzT>3rp0_fhco&L`*Yd)f<@eH1+Yqeb|Roy#k2 zn+H<r{8MX=SlT80PMnl~<FI<ofq(bC>$&*~eip1eU(j4EW8?Hm?D&qu+VeJk^#I56 ze}&imHx9mBoKn-mEt9-_0#Yo?=|W=pxW~J{i@!`ykk7X``Yk!NrmgzU1LnNKZx)jF z4~5R(sNM7An%Zmj8^?_KK6WX`*gL-BzVlGX-G9oS<C=0GIOR76pZX!nf8($E%lt!f z=jN+C7LIu+Qtdx&&oRZk4^8|PhlTUzDScJHll*%A()mADLcBar4av*%^dMe7KjF?# z@t5j{^z0r<%r9uV?FI4j`6&=DN5Z_k-wW*J9H^Jw;a-k`cv%hX<<Af=KmR#t`i<kF z^FB26R~!k2dRg4#-QO5k!fu5p?COb-guO4K==J^7zg)L(RChj6Tvyogce+>H1GecF z6*HgM=6!59?Dk37??adQn|Vv@e?K+4ci7hN1LJaw>ein1A6um7><{|HJnv&mcerQW zA?|JeoPTM?>sB`OTO7HXt#bZj7kAA5uupvXJC6Hm|7`OA(Q*5_=es}4za;OCd-I6z z_>V7aCx!3q3ygWlc-^9M@)PBA9~p1wPpdgBXZMi#+kbCxp5E?J+a^8d@q)>FjvBuE z(3PIE*ZY|Mj>F&TPyc%STcy09YMRBNqwPlw%j|UYHvZ=<Y*n{;@HkyXzVK`0$FAGw zyUk_l9N+xy|1#Yt2^{O@D(4IOrE7j{d!l=8pYptdpSB-+?!NC{`+w@!X88_q{<fcH zbLgx85!QEgT6%?Fj0^iO``SDZwo7{ceA4=g1MmKO)?cpoeE0Cr{-d4G(*G}wuTj2w zN9_8JL%iS4DgI<^uQ<eQ_fUA-n&Q7nbHB|Al7GP1Zecn7iEi9}?c)>Y`@Xt*bA8v> zpTA{ZfBr7a_cQ8`)a&@bzWqmj)%<Nr-WQfW{o}7c)xBSTeiwZG`8#{fv!B(`uY&j4 zC(G_nkj<a(d@MYC>f_Q4`{E29%-i)~j#1p+gxY=oJ2q>d{%G}l(#Ky_jon|rHVN`y zX?;HJ<11yonfXV5RW(%0Nv>b7UJ()RZ}DK_t{2x+_f#GIRdulK>sO}t<|%vj-FuK+ zyEpL=`>Q1PW2?>g#JQe3&iVQ^=fBF-J^SPY6KZXfjtQ5Z{F$=nS4(N#>pzoT|6#oL z(|OHj{fe}CUzmc{Z`0Gb_A__Q=k|!_{Uv{{KK)p7g!^-v_@tj#zO{b;Y#s5uf5SQX z*A>s=KTfPH4u2MGEq?R-`=q({$E@lXJ)T(~Y@A;h`0Vhzqu)MPM?Cl6aBlvqif8r@ zdn-?OpRUPzFZBCo?V8WaBc7ixvH2W5eabK4&tYwUz283P-*9ez;#~XdAJ61h2jyqZ zefZ$gZ;-Q|M?BXrvH5I0ePY$@_vUSfPrm~>AU<L4{wp8PypQvHCA;swRG;4d`5*^$ zmj3fPZoI#ob!GX_x^#<ukLv!hy#6D4?Ps>^`9J&gzslWz&#NAO>-_wrx%-b<)h~WL z(|*UME5B>%4d%q9+Sj+0{$qRnXKi2lzYpe1?H>GI{P4}H-#?4jeBK`M{C$bdXZP^Q zzb3qw=XoA}|2)VAhpp<@Kb~pNx5Vzz@5v7zNlkkF`k?By>&?|`)~oYJ#QXczdA~~D zXD_W+A6-<tcgLY$RUaF^etjnR`t{kD?>%Y{eHTA`)l~KR^~u?5)~okN#P{1J)Y@9@ z7W@10@8XBQZcTdq`Yi9Y>$CYI;@8jHurF?Id(ixkf3Fr4%bxuD^@Z5$*B6DaU0<BO zX1#m#^{KxeHWk%pJbvQzi1qoErPn8a%zFK+`nko^*BMW4@_zgKEaG?W#&5S1-<BU+ z`)&DSeVxDG_G^D`uetw!@80yoi7GqKU!S!;O8!p4{rrxt_hqv8vtFySj>)XQQM~m2 zCpV-0XTSTm?UsID{9Emj@O}S{*P_kue$P&RYkuTbe#_STOwVu4zZUQEt2bZmv;G=u zNxi&%=f!P4UhV(>v*+u_-3f26?`!S3UMIWeubaiie;?ZJMtjws-?R4Ni?H8&j_bzz zyWP7Rbu4?o?X^1bHGkzcetUhV?eWePbNt2iD~_s`{>+W!x88nx_Yuo@b!GiYwfj}C z)m5+g%NOz6HtBuRrT1O@6?^uck$-Jde&ctB#lFQ)6yu}!W!07}uibBWt<HPR->`_^ zvL%0xF1;`KeaF7dXWqZsvHOj!MA>_-ooBDlSs(R&$?v+@HGhvq{Px}OE&8GF@55(R zm;C>dXY~KnuI`7g-u<pQ${l~W`pswMYscSrZH@mv&nWKq*A3rhuh?oI6BqRB>wDF& z%AdcrO|E|bS@3(!*7uvwv#<Hv7x6oG<G0<3Z?B(D+1LE7eY3ace)X8wR^O+7%z9r} zeSOE1*GW&V{!QKUEjsya`H@@i8MF6GzuRgrQ+?_E7lxVkSKr$gygys;OW|blzHc>G ze?2+)yDoUm-)j-Sb2ogeKG6HEz1iD)f3Du=-!=DZPuyca{Lfjn{Abjs(ARt0-~Rr( z@!RaAx8{d$<uCqvOTJ8B`R_CS=|BC~?3T)t+j!o;;%WAu6SeyiYi;Ii`1ab~>sa=F z#%p!Pb2961>{|0hS}e%k@O_3w^%uMOA5MgSH~jslVe5U)?EUI%{zmmrt$J&IVB@#; z@Em);W82RJOY_O?KOY_QxzzO2Gfty@dzRVAUrzcR^@#KJ``~jC_46-PExN)!ee&nb z$CCOM%k^|q_uQ(wbkEZ7r<GLYw-m|sf1gDB&fK`K?owjy-xRY?M^C(6e&SEm`-HXq z8;<`@PO3Se8duPII(^PtasLmzH+JrsvtE$D!t|B-;(OJrzL*={JN{ENWuM6FUy|3p zO2-)PJ9<xaX`Rul^u_n8X04Gox_6A5@2X6FOX**x{2u+n$ESbo4YZf|>VC(#?&!y< zOYYU~`m+4^`@~rLgOZPfb7CW3WiQ@m_=^8V>Yo<(8lzWh(>?5W)ipZHmCt^-?$Mt~ z>gVs=uDW)w`Qe+JzwcC@yT^X`XR+$_`OBGJpAT;T`teqB?H;`d`F{5`{qD~zjrQB! zi&@jZUE=j)&4a%xR&B70%UaVvUGVkehYeppZb;mFPkqhx+x7dtEWd7ipvu;G&40Nk z5&vy7diNNAx_LrenLj(y{?VS?ifhM(_0}o%`~PP(`d1NJ*sywar|}-vM>6Ku1-3`k z+ZHbWdR_c{#lD#p2aldT`i(pF@8P#c`Odvl=-aTbPAs|h@0u%r<{9m~^e65SV>!QA zzs0Ge+Ie!y_X<8lZ2YeDa(&&b8^`}$KB_ryz3%Vmw#@-|9z0-s)BdADUA8{>Wcr@O z*GD()D=t2nd*`75`}Qd|huC8tyeXSBz2dO#wd>;SYu4+__12gceOJ8{Uz|Sk{^5JE zM>wzj3|{m3y4yX8p9fE<E89!%{2KJq{W<@Jb@Eb`c~4p=mb=}P{+aZ|UAa8&*w@`n zd*q%cz127SbmWA#^7`HylTXbP^WEx<iuT|9E}rmK|M0cgr&Z5(9Mrw{PPMXqb#-_8 zn&<Tgt@i&diu_+8FZup~lCZnQ&iNMCKJGYTRo`0r@8Ah{<@nwj<D&PXzdt`to@;OV z>C6df<^0|nqfa+atPOv6efvg`Q&OI^PMq#`@A0Z>_2=2%>~*L)A{n>wtJBH5;hyz+ z-#&B*+dLK#*PrxD>iUj@|GHJ}A8`2p=<wh1u<l0H)-S>#MfEZdB-$&ET5g-C`I!68 zL%#V1{kPLk{$S1j(J?#S``sS5UoSUyKYs2Z_n1fhM%B6}qIQLQO!MNEo~Iwte9Uhp zmw%&j-kI`4%-`a*|N2@S`1v`trj6g?c%*J+xBH!kUsxxd-*JGuEKXzR-BZ8p%09Fx zzuD_??D&rc?>!H=rt44p$ej0~qj|c=zDH8|H|keDx%Ya>eu>`|M^`@6pZsy=vmFP^ zlq<WdZ8HBAolHNw@oUvO{%wW-xFqGX`jpScYgRU9$0Yp^o%G$}7<1f5!Q1Pnebj!p z@i*Jc_YJml_PQNYuQ;OV7q4B}%Y7$d`P4rRwKfkq=WqCKC7Hi>VqHOlxXhzZUybaJ z^Xe6RU^{6o^H^?u#ldURsec5^Z&XhHVw@ztJb%(hL%D*c$>!d14`r_3sOx^B{Otpy z{D(I7e|s$+K3V$def$5km-&e`4X6E{o&V5N9J43%Q`p%W*|Ipb&*yif{b~BU-E;3> zu}J%4d~t;>Yqy{NAyK~Li1NCEUwo4G519IIR4#v_{q19$`kT^A_XU6NsG5Fd`Hkll z#x@V#UKg(Z+B;`H=k|(Y4^OLZzo+$j`<nE}AMS3d>j*yP{-cHa%^s&`+8-OL*QW1t zeEm^S-S#<m{*Bt%U()xx7x90$ILJQd(VM+S`;H0!HL<8)`lb4S=)4WzwNCn59JzLT z>3ml99VvSScE6wewK(PhlXbf1y@xX2Z`AF7!hP<8V6^;%JC*HUHec-To*nM}-|$^w z)8p$NaSwT}->6y(3j^_*L#f(d|5-2HKc$Yj=8&YH-HD%vr1J_7*U0k4see9yL(U?8 z$MJ8wQ~olS6?{{alz%wmXVRWWLecA=*F5CouQ;OYcVFRgc+3M{>-;Hqs<(f6o-FTg zanyaz!&kmR_aE?Wx2W3uMEKl?p0(RO^1g1`*PUzgNZ`E1Ay@UUtm_Ip|8DoX_n3qK zM@RpUmhe0Kd|%!D?orSDt>9DHN#Qw<MQ*>Ju;-Xu9w@e&)MNGqzw+O4@LKiK`43_z zv0EHdj4SM0TYmZnQ~8d=%I^wqo&Lcp{-a~=caL{_`j7LMeQZgtIl{T_p7vMKyu#kY z-#zUfvB-aDGtZf?`q+QR@qdw*?lZDmRPXOOU(i|nrq1D$82gUH#`8XQiO19jyn6fn z^e@@60uv9pha$&+w0OU%@i-P<ae#T=hmOzur+<isR~)|QuJYYZ;cx4W<J^89+l=qj zguIfz^H}V-{N$QLlJhoxEj+oF|I{zNZ67<FZ60%o&!6y%>H3Z%|1>K5m2Dm<+dUGF zEBx)bvYh>8{t-Dpd)3M&?>R}|FHgE|ae#N;N5=IPhrXsS`PX8dQ|s}o(@wjxAz0>- z@VSkje;e&P!2Iq*OZA-pjXm)Nt^GHwJ>J#K0QtBndCx-@^ZAp1aed!$?BjD)`NB5- z8^4;KNSA%&?7#89<BR!Y2J!eQzj&@&9RD|6CBLx6y5@+n-9y&x@zbh)FW&U8L3U1! z>nD!q7RSD+RCe0NJY<&p(BvLd7y2sv&I4Zd_{n#E&-fyqEWh63=(X#qHEq^+9tdu? z`0Ffb|B&hYji1UV*Y=<OWw-5Pi*wBp!FBr-zp~~Pb{@|6y7!oczv8fa&UvG{qqb%C zihpG{v~SKo`GY0g;^;kj@3_a4e(z=5EkEt|erCRpE#fto=KNd}2pW0xw>a{4d+J}A z{v8KimaDukXsmwor}GK-w~vDUH-0YpQhY>j-9GKFhIxfehwZ2CImEf{W1D-9zR|yi z>OBvc?H<0VHoEtJ%9rp*47=}7w>ivs?qg5%o=1Gw>(#y*zx&X%*naw*e_PhfPhy{6 z&@y-b1W-ZIsUGw2kACW(25p<9_w19_TO5&&+wfiTW&UBiyuP6MkA(Y=^K6%&cs#$E z{m!E&izoS895>!(ueP&#!q??d`^wt(o`3uKUcrZ-wRTc{`!<|cKYUXB`_Ckc-<Ry- zdBx-JJy$<`HT>I7+qZWm%J%O%Z+-aR^>05DEdKnmvzO@mUoiiC+hzM(&)pCIH2?N9 zZO5NycH5ur%|3i?`?t#M8^0gd-jn9rxA(mJ;fv+pex_LboLsw4;@rO7=fe;God2#k z{pN1dH?{fm&$q39|NirX^WW^G^8VG#cdy_0{p5!a(|_CiK6vk3;rr%?kJ^9V`F-); z=7+D=f2;iPcyE8(?fGv$|5*RsPV(LVwE4?l-}`p|ovqZl`d#Pm&VOqwsrNr`{_pzz z-;;LyYp<32_xRrGhh?{)f3$yFYjo%TXFLD@srkR-zuQUZ{mY$SZGXSoEavZTJAS`v zd0zAX8_zHPf2Z2`&fn{H{{O1;U)O(=<4OO&`~2Mhx2ug}qMrXds;~Fr-{b$6?sY%> zHT`?#5AEvpZMQeS{rn>w<ed84`ODMq-`@6Jj`#WV8_(ZaexJuP{rRou|8{<l<GKF) z=JSW^-|v-tSC>3r{oK84mEYxf(x2ace(op8HF_J)@8y1HE4@x{%lT&R_q8T>cKo*4 zcjDePSCDDqx1YZ|3Nmf_jpqkdzuQUW>1{o)od5o8LHWztc`<4EuQyfmw{1TCw)p#} zYX7#=+HZ>gcU9}RW!KMd55HAydMB=O{_*gezf*SnKU+I5t~CF7R<%5jc=-M2aT~tp z?AUe8j=wJZ{o;quwtuhuVqKlzwmbXX=O<Cs(bw-)8_a3_y=O;Xgnj+WBfoxn+g`i> zU1r0-ea|nR2v;s&ul>3D&;N#Yev5<LeuX~^fBtWm`u^v?SMy`}Q~&qN^!z%x!v0~; z$7^4g$iH?El23@WKeDT?FZP&t#gVyz_ocsb&y%b_ay0(ruXA6Q%E#V6x~r}?`P}MS zX36z(ywCUVK7aTA?cWVwtNbI@fA>85bK8%@hE@BR*E}~qQe{_M^Q`8f@pJCiKVNK| z*U$R;@!O8CAD`U`=yNX?&yIUE?eT|vmB(KznAaSioj6zjxK(`ilerVmyX}+MS^Kzl zKi{>V%6C3g^cQ~Mto$?G;+SaM$A-0m$NG01{^uJpe;?c7gTB2o{Z{gR_L`s9?>Hb` zW~aKd+<4~s<972tbbS4Mj6cFYzvl3-${_VM^VOf;k9l_e{9>*Rb#kF?=VScWJl}ov zS7o^Dwe!1Y_5M1#VtaCAyuJVFgTE@H1nr9U7_PHB`}K(Kx`H2)C)wvb5}aR9z#X~& z;hvth!_iWfWp>)1<v)N%O@+_Z#~=H>ebP@YnS}TJGx%RWKkOf2FaNLQ>*w8TqEFZS z`Wef3?fiePjdlOR`@U9*MXXP6|N8l8)tdSG+a$iaFTS_4_sin>`=2HK{j*h~T_(Rt zJmw+q^&OTceoB69;J5fW=gGs|)W2cpCqH~q=GIsIN<F7O?wNHWe{|;+c}R1GJIFrq zug!nS*Ux`OZ=e42%jXL@GJk#Q>}`&`?msGM_qj4FVtsn&*Q#$3_Um&G{Hn}#UtDMU z>aMj%{jbUbxohW}y$cS1TxRiOkxYGW@|_2w@*DoDo)dppSmJ(;|9P&F%>9!mJ~sZ6 zOpl-TbFFo;&5dL4!d3VS8<cGxN6Mc18nNNuU)JAxM*n}<os_M4_L+HO-M@sMub<hy z&;GPI=2dKy@b}Md!Pn34uXX#IcBQ&}>c20azt*gozkd3osgKVV*q;2ES<oIWQ#<u_ zyRl93>+DI#|18`ScYg81CwZ6d&y?AHMZe<6x4Wr-dSqj2Vy|@<^d`Ugzwk--v+EOI zmtOg^D`tQ7i9fsQcSU?ZFY)@{%!Ir4+H36Rn_ioLUhwt5$^*OV^W6TPT=D(A=HHk9 zBopr18_N{#v9x<6Hou_#w*92f#*YQ{E&jGWIk`9GZ{z1}5`OP<pX^xhuVZfhshV@O zDSP^3Z5~R<7dEYqeAOTEf8WVfk9W??Q~Uh--^;|i_QGp^r+1b9U$ZgpJlpH9HVJp_ z)z|!fesab3ciMk5Y8y)b^K5)K-!jkg9#i}MNsr$bG*$0;$ZB1G=I0@1zxV2Yd#dj7 zq<=RrvI*S(=+AAV{l6I`;}iL-?@zQjsOa~xDSS<Rf7;<+-!t~G7yYZ#vR`j^?XU6C z*Zr#JH@utAd+qhjq`h*&*ZvkC+f^UMKk4h%d&i>WlkVCpulb$cXx_K|$l3WPe!eX1 zXP2oD|D=5GW7k{zsW#OczLY=Mv$pN?of8|~|1PuqCa3gR{LSN{iC=^6Jm6u!Ke^`c zukX!%5&!EZ{12EJ|NT6}>why7@7foy`JKMn@^|WT%Xu5$&F8-M_j28gHS4cmK3HW7 zvahG~zfR$qk6{%@nYZoJf1Z3qHtr*1zQu22$@%s%zh+zH&wltw(5=t=mH&;u#WT;> zFX`bgXh@&)Q1tnZf7~nW6aQBGUt64F_qW=g@!DVGBfILKMSMTc^!ne;#JzI1*IsW- zxNF}Z@!fy<gt{&Fjz-BRLxO(kW6OI|?)4|X9@eybAbfAbZ{~C2a)lo=Ugkfuna4A| z->}H^>$PN6{XV1mhHROn|Lv2WTO4AwOL|>sH2+_%p7iVc&$+JF)RnZ9{@2;?ZocZZ zznhO&*|M#<{<x#`f6T^r^WQyQYQOgThHL5(-_MJ@{ulXJ`1tJy-`tDp_$m$v`~B;B z-Oin3>-ej%PN%X{{M_oVE+?-}O<X<Y&hmT5g!w)Sy6^baxhDL9*mR3O*(cwspZxQy zW^VJ>pSw6-|LoZ~@BF0`+RE}hH71{~o-kMbK2PVb>X+A#d6(b#Cv7>8=lhO>>~|jJ zJpD0W>9f40esALQm7ws8e)Dhb8S{q>=PQm~yPUF5^!AQ_E3a&i_`ff<{p<VNXCvnG zM{Kxv{)T`0Kl#u9)g#`gE7d%!dSrZE`1N0()~|JG2Cv)~*B!00-<-I2{>OQWe@kW7 z_b;A*^5b%gqmp(H*>=|-|9MEcOl#);2Ya@(-A$LWoVW75$^Yt^^~X%(HvH$Gbo>0I zpGt2YD8JkA&-Req>-)+v8}6N#DXbE^{{E)X{(I+lM7&Q=I=i#p)8x45wcj(PUjIFl zaYer87w_x8XE<N~?fEbB^8JyIY&-tCTiQ#?74%K+ecjG|C-M1wmGb%8pQq26es05e z8|CA6Uv1}oXzKsbvATZR*E!Em*XWiN{?MQFJ>q}elZLPF-Q~8<+kbvTmTmp~gKJak z_Rjyf;of<bh<dwVaMu4llkN52GY419@A)Ns?YHD>)=T~O&a+rNtZ?4*Q0Df3t>=pm z*g~4SvwObBX}k`dGyUF%|4Pc?!S)I7pBvp5Y_B-VT^6tNIrqllv-`dOHmvoiyXSW2 zq3G++jMCSBOTJeHdG$xc`}CkS*NqQX*-EeZZmfIl_so+k=J!^;Ub<=Dz4JFB-lsd= zDc&=&&%W?)L7R8Z-}WcA-##?(->9FtCcZe1?XY9=lZu6PN1oQ7s?yH;(ANBa(w%C{ z<K4A;9trlx>}U4N*SKDOcvt<r4e#dv(@v?YwNp5-t3EAaeR|*5s%;V9&-1<hXDR)) zJ1~EL(6#G-FCW}h?{?!?zNNh3yMj*ln1^5LQ~oq~+a!IjSLKgWeQjPP$J@T%tVkxH z{($kl4_*E{{@F*KukSr`{UL+<we|lVHOUqn{5pHXKij*)*Zy9vT6<>s?{sJhw)5GW z>8;Op9Nty`E#mw6qbuyCzWRCF|EZM{fBjD~``kyhS&zA__p3Z#e2iJ{V-Nq1AE}b^ z`~0ruTl%vfzWBOV=K2-?8~;UTzHd>^+3WbK-{OF}%%g8Ppi1laapP<I>vQ%_th-^K zyXHK5OT_o{9IyXHCj9-Wv+-XI$U4T?|0+#hg)hEWJNe7<-^<%e|NDG6@Nt>N&(L-9 z=L(zO#!rTH;obA6eYLjDZ+pC5!qP6t{_(3fo910D+_$mUIr@`;y2T;sc^m)jeZ~6v z{_1V_wLs-}0;H$}6<r(S&U3x~Dzo9;eC2C@i%r2}aI-<S^_Twl*;vbGDKGi1u$h0y z(VLd3e_FI{lHbQnI-WoMYxTL?ITn@e6CY3b#j1a!Zh6oB4b8KQPge=PE9`mv*<)YA z-`|hiW$w9r^M4*|wEx|Fy=#AskL{{gi}((*4^(ZS)KT|!VRh7;!abJT>SFu$U;Vh_ zu=2c*P2w^C+ODYYU1MKxPweo^>K+;MEAoX8PSl*MPOa&Q-SbEy`gv;YorlVPJL<IW zZTM|BGyc0j|MK*cU;Q?`o3DB8@8)ZB{%*P`BfsI@eBo<<Kc`%YPWQC`Rl%|0-~aTE z(*I{Zp7<zc^{=ML&MM}Cob~hRH3u2(9!V~L|NKwEy}q{1{1TRNE8`1m86(gCRXE;m zD-%26b$jrfN5XL%?c%p5*6uqeol^Jr=ckSH&R;oUteoGo$Mn<56Y0v^?NnY{i%)vI z@neIt%|njo;?q8|t}E!Y4gVx6Z}INY;Z5_ZjALqCK27)~q`%|1v|K^4VC4Ib>OGHs zESfZ3e(KM+vrnD(ZmqUSsI5&tQf0q6Y47}-8}6O2ke~eXe2jn1v#O-M^G|NLcizOU zHv5Wy#QXHLHQ$Y|9Z&t+^LXpVQbWss0=q2^DeHY`@{Wm%d1XGQ;LcO^6x**S3oO0v z1;jsmAY^pEA=&1U==vMGR9-*k3!gvbC%^gB*O8Ckq&*5Oj=OJ>aqj-{I~(qupR?iK z`5*Hq{rp<R7O_6P?Q5Od@hbbvQeWo=+9&Uw9|<aR=TH0U-go`r%cW0hTy`8VEVDW3 zE%Siu{EwF4zTLli)-2y<x4XPG{8e^LeAG4R!ghX(T|G~v{XTNeFZla=Q{2g~*&C{h zcg|y9^W9kZ+V7d*f{Q=JZtr}~4RPlMUVlBa@!olni1+DMi}#to5?}M(*nQ1+<8zL` z3iY&~A3n<5_pwEIPQ2f-@EylYzv=7m{AxMV{;|!Kwzub{E&EpFe-M=a!0EqZZ_}Fc zL(JRuDSTEBpR(s5<Ms7NjpuE+cm9lpt@pa^UtMSVzfaFu^WAvivpf4;W<6$l{nw}S zYuz&QSL}=bb$qQ$J6dJ`xX$y}Y0EhN+xukdn{4MiVqW)A(0s?PNmp*ir`4VFPQLN8 zYvSW+zr@<Zr~Oo$^FT8H#;g>(-&$+>tM5FT`uh4qt7)%IlkeJ#ulc?FZzw34vcLW( znfUi-&&Gc>)4&<ypQXgt#ew^i?%JEL`MvzR>C5*AKK`=!?Q1EosaM!`ceU5O2LjtG z4xYQ7`p@b7<izj%y)yY%%6IIXwZ{ChoW8|AtLyLkQ}*##-{4Ytzv18Cw{oxV-;XOe z{?+!;3$dcTbMsI9(JcGOc|2l$dehgcup3L~OZ8VAReddXf6CV@?{u#Hvt9F7{l?Cv zUuHjIyk2pL_guc}WB!QW^EZ5}KV<wj>i(p<uIv*(&wg;bzxv^`{_lUcNBo{&;A(ID zEl>Av<o#*C1a4OxRJ`_2dCgz(9n0<u{ob$oSKlK2?i=e(^KShU-Z-zGJ^R1ly%*}& zK5n1*&->0}uH)OM)*QI?zhmovQTH#qA1eFp)BY>_vf41_@5_DvrLzAkpL_AVVeM_t zddQ$ld`jJ0`vbS?+x)-Ge*A6w(?9+esrQ~#8_oaK^7T*j{pm5k`9JvBE9wcov_EdD zx1qlJr1hG=-y?p<e{k6EKIc#07x}+4zZhSBp0eXd@yV_Kg|h$K_Pt0y;9he?eb1wB ze3$nB7B|}e?S0}~`-7H$m)+R6?2G-Qh~GcFXZr7bWc<Ir|NNi-fBC<?{vC1Mzt_h2 z)3FoL%JX|_%!*!Xysnn%@8!2R!rfO;scZR<$$ZC=gIiVOKQ=zrtJ8SB(){qzevA4m z(<_eJZgZMhe?Zpmk>GlZy?s6U|Gl1FKOWrr^`psy#^c93CR?9+oO;yq-iBKBlY8Y) z|M^w%>Eq1To!q}pZYYj@`MyE;S#Q14=auGb{?6a<?fw6)J>OrbA7G#JkoEbFJ)6JW zPkOum@U8znwZCFNv>e_)@vHhTD~WUAC%67*%Kkt1*_X@Nb>c7c4;tD%61A?^{+#_^ z@7llQnkDyH!mmI6*rNQ5w_fY>%KnJo@f*Lr|JU>N{i(-{<rW8({R(HD`VsZ|>(B7D z*Pq81dhZwJTd)83cfI=S{x7Bye*fc&-r66&Ro~40D|2J9`OEx6cJn^AtgV0W<K^{Z zRsWplT*z-Rzw^-H`cL<n{<g_)>o4w^{O^tLG5MFr4_>{i+F#I}EOXEKlhEtO%Mac9 z-|$xY#80`x{=4;)zE=Nw#dG|>&dzW16KwyB`n_0wOj>SZt^dpK4b^)R-~MmeTK_*j z<uCJJHjCe}mh;%Euk8G<_4q3Ln!o-LzwI9~|Bd*s_Vwo8CHEPoTO4_}SM~hHZ}ACl z_g}91^>*vO?@Q``Ki?F$x>kzse{9iP`$M<t7cQGGbbiNiZMlM4`Iq2Cnf?Er=QaKp z*AE-7+u%I+ue?#5ZNlIGYXx8b&tE(1^7&<zYJV&LYkppO<GA{qBuF5H|H>_tJ^9mq zW#sl>`jP98{j2Z(TBmWK%6>{>to`v_b>L2Z*00Ed5@$L2|A#*&gNDnp?c$Y={p)}F zS<P$lKBHIcGKO_W-1kU*ogMi8@A@z0NqhQl&p+`?)9<DE<&QfKGCz)<^JnW7f49Fm zSKR-rzmA@>z4_rGtA3jaC-%nQsy2)Hx9LgMUhOs4s}EG|b6@kk`e>D%`I_gKe~Zri zf9B_*^mF3ZK2J=vmFK;7Ji7bqM>ENvIZqDWO!@2b`_QkN<6U2W@_i3a-BTQ2C-<>I z*yf?g^Y4>CnqI4uUh`LOL$Pw@9{-91|JI-UrCiI~c6sw<`Sax|5%c*o3ta7WzrEA{ z>uYhuIBrAg=|8vj_ip_!;QvMX;h$qgHnMy(uG_btSw3&0UHs;R+I`3DmiOF$as1#} z<|Y3*zFQpo$gHZr@mqZ2+xi2dfBSCi?)>t8p7*u)FIOAO%#SXrwNpQMZ*A&duJkX# z$*&zJ_1`$kZu7|ZcyWE%p<Daw<s$8u+-K0=ad6(c&##T*Y7Z#>^{Y6jZgaW+t8`Gj zO~fz$f?nr0&nG>PIA7nm^*>+!m)nn@Ei3w$>wYc!<zhpbdEuXW>y+=@al58p;9{?8 z_egI3hlbnj9)AV1|7&0SclYzjU$%d%EcVU(5}(*^_4|)eob7{ob?$4<PhVTHU_P%p z|I~kbxKGqre%tuh;AHTczv&Ub^9$VeukG8v^Gp39)7N3*Q@&pL*LvhvO;p3zpIdmU zzf6A2ocFQGI>s*M*Zhs&_9wi(zi-2u_m|c;yT>GS@0$GZ+|qf^FITNO|6O;-!uiU4 za*BT~#V7uf*j;hd@Y+A&HGjh^mfe>U-#F*L==3$wF(KE2cZ&<Z{@f^c?K!h>j_su5 z%<l?%-S0g35@_^q!Ph^(jWhTDt8U5uwN+2=?~m;h{u%GN_&saK&X%6*zk9`A|2@|7 z_0QRe^YcqRS6;t!Y*xFQoMdI<lcf{CTRf^@t2yFcb4WWcU-S6;IImyQ8`>vFd)|96 z=W&s(<oZ0`-P6^U-#h$mZR$RjYKx=nF^^fzckJoCQayd*&#ym|<zIh3uBlg1lX64z z$;V4CD(*bu^p9A7z2|FH<n*aurGITKEQo%oe_Z|7J)Z5;Cs)<h?L7SJ&!;uZ=Cg+P zPy6@ww0CW{tc;!8Cywm@hS&ai%UsTH4X-)E`ubV;gs)f5i5~s+XM6Y8pYJ8qzf69> z8-Am1&6nuMa{Up%?GxVCU;a~8w9kLm<15quh5fRVTDM+hr=9%mgj&0A4g6n>AHFHP z^qzD2ja{40JhzMc#E|`8@!G#)n@jnPmtFeOk4e5>5<c<g)ob}(Uw<Y^zy5qwk?)22 zA!EG{UEVSFj=$b-{AQo<_J0TWuh@;n)i3S$t@^V4!uOmVduBcPRa4aZ_2(1D@-N1Z zUUXi%-xa*)q42kLdY`lZ+g<w?{N{3gn|jRw&d<jh&n&;U!R~(Tp<gxI>v<*LPqC5v z&|qxyQ0BS+q>sAS{#md2d;W*Ve(rVe6rS7v(T%KMw7&V_pY5vo?{r>^T0HpqjxYSn z<>dD#jp`06+C5^m-meTT(eGT!Z#r!4^`E(~WN!AUnpeu#p1%%XbN>47>yti8|E<_j z+4W_9!rwiIMP5Iby%zC1f5W%>1Dt>TDh~hKn)-L*KDNV|Cw@Ns`HScE=byaS&cDwt zt<m`F|KrT_gAa}8vzN<0tKZwRed9Ozq~Cr;`;_lI=3_teIzIea@cD@M?P6=bJAeE7 z?X`8p_5L0kvrq7jzNoy#Jl^#3X^+3I)Bij5#_@NtD*lBH#x{>7zsr<YG0*hhE8$lk z{wehN;jgi&d)dl&91Ya^*%EB?SomC>|Fi4Y>x<T`|G%Z;*~iO?fA{Q^yng-RbLrpL zpMDfR`RCW?2M>&|3tN{@u1mIgAlH6I`cA;JVEu@Ad8?$_+7mk`_FO;zxai}=e@ScR zzn_xuw`Mt4_J7lB|J-dZzGr-1KK=2>Je}A4U#?2~<tgplS2s1O_V21=zbajMubtPn zO8EO{Hs|Z-_vdW*mVY<o+U0-DF&FbY_EvlR*Zns0_-@I+k2qhyjyAq_efyf(r+*mF zf8KPyW*+yo^NTw+)XBLvLK+!-FUt>bmnHR0-xqcGqOOYl=U>uxpMObTJ0HGcL*2eZ z4PXEMQoi>6_4<h4_cwfde>dpb{TJ7dpS6AZ=lYGM=XOr*3Ga`HpKqN|Tbp+{OvS!m z{Z8WlYex4wnAf~dKeyrA{N%U$kJ|n%v)DKNOa5KAXZaD^&1CL`71jPVIP&XPV&B)l zJ6W%Ne_j@G|NYJ6+WpU)w*FVX_HXX(lfNYY?z*vS`<M8n=;xj<#J@+x$IEQkx3A*J zuYX5HU;h@rd;4_FuR06<*S{YMt@*n=;`jQE-urpwKDKFJ(|xb-dgWg0uCHJH<zK&^ zuX*izd1}P{`&ScwFZ=W(tFT{v&ENA8zqh|rd42v%_Txu(MgNLx7QH`PP@TS#zvA!a z!@qvL=;8meIiYrcYpr<S|Flc{o4<bluDs^&@rd90g}(bmzZt*$-@$$D?0c=(E9Zu+ z`R=TD?e{{7*S|QgeMKxNuvm0o*e>aR-lhAT>^t_Zmx*sHjyr#P<6rYnzv8m5l)L@y zu|1~$qv7rD_t(oetdoD(`q5A?JaWIuEA7Q~2Y4U5%luh;Wj%Ok_WOi?NymOy9M!z` zd3xg8{Rgl4TgLA=JUP%_`s+2@)V~uyn)%hMeQu4o-oIg8{DY>Cs%8KDUR5uyGkCSP z`s6R|{@3RUir&}e-A#XguwdHT;^+H7vlriQKY#c4=&#RD68~0uZLo_AmOcM?`LSOW zIUDTc_#@==g|8jI&H4KA$*P7gi~E-|ynd{D<X6SXjdpTeYx>*yUVnYJG44I<>#sIR zd*_{FUMm0h`;nu+-h1Xe>6{qu7AO6)%Y2>pcZGHR|MqcRyYC;lVc-2{9bf;NooinC z{I9g$weR^yBKp-2R@sQk{rJA>*vY?7?ym<`7Ed<KyH(q<<MH=!8=2Hi@hTDa^$JIS z{bV-1_WiYK#QpW<2X6gu+4^7j+V|Iy{8Q_`{H<cV_DdkUw(qguFWnDa)^m#Os&ekf zpF7`VTXTf{jg8MQ%Ovq|{t3U>^5=wqfB2<8`tUntmHd_83w}7v)IZ4S_pvQI$G+oh zVgFwDQ-9Ly?sLrlS*yI}{q@ru_QkJ1_^WQO*me7fpRE)3${AmKooMTI?fUoEHzV$^ z7f)K-zu}sA#QpW*Nwxdq`uSzvH*97<{nH}LHg2ok^gFss_6t;39MrWdjN9vex}r4w zMs?2<>92Nqa!Rk4?l>;lC$Cl6Y<wr_b>gJo{ZoH_{T|M=X8-<4iM9LV`YvyNQnfYW z{`%{Qwfpa_u{__dJ1hBj;hO)&GtYxu#PRxT&&Ig(La%>+)mroZy7Y$AANwkfXwUoD z*4=*k=SMmHzos{iA5>O(zu{=%PyY!YU)|gA-{oZTE9O0qc%u8K{4BonP^^E)VbF5t zfKz{d{dQBlcHjKe#(nYY5B#dz%lG>C*Qzz|uSai)>sP+^`k{>{$jduHUQS-?zu{Uq z$jiyK`|qu=JYIca&xFVKH}v~g9QrqT>3&i69d%o-e82H*N7M2X6<-r9Dpoy_{i@g} zclhgJO}!7C<u@vIUO#4R_n-Xp>-Wt{Yxd6%O}K0Cz2<j%f9ZeFD3AM^>&Zu}Z0{^T z{cBf!RmAu6EZMbPTlXC^{GHkyUUNYBxb?$7g++FLI}TklULybg<0N*ALyC5f7_DW( zBRuz3naJ1$e-i#Gm^WYHwP?iwRlj*Bz8<!e+Ysv|XY2j!`u*kI8~@qGc9#BMv*F!* z=4*e8kL;>n7qR|$+t;d!^V7bT{{ONOG$`|nC%d+}^ncFAck_k6S=^J9-|$r?vi_i% z-h7qk>PK|@3Vx)VbbnJ6bFnzp?(4yVFE%HIUnTE(D0JI@;!o{6j~V4ZbZlOJ>c@|t zM*IK$OKkf3onL#+@ATf%|1ulj&1YZp`}s1<-%mY@-(26cZ{K}e%)!IQlOD%z_-$fY z_nUQ7U4yL6V~%`_V`ncf{nudIr(L)3%<_3W$Mq-eS#Edmqw$V9i^Hbdv`)IedBiMN z_$lex^Y5;~GWG%Q{Ik~lPH!3ahpeEoGpoYL!;UpY3so3D87ukrDyc!{sFprQ3$ z^?DW0D*CPd%`B>$Gu_MHv~1&los-Ejk6G4NT>2=J{>f<O`Qw`(E}3|zYTKFlkNB=v z9O3nwul$&Q#{uE8d8cdir~ll{e(LM#4gczLLE%>Vr#$6POX>eP8{f^pru`!Refmbw zNXb9R#Jl#~i}#tls+QRt&sTBO{Y~*6?Rgu1d%b+$VH;!Xf6l+jdd{O4KPR2Hc(CJg z_{o}AM+<&soeX|uTyu!GENkZeqs;R@w4~43?{F-AJ*WY<Qs(vNVBKr;`}tmfozYuk zSoC|V(f(`J5wDe%`+N5s5&au>qk7>N`v(I36$iENeQ294UifG27x7Eqvn=Z8PJBG? z7w>WXX+QT|KmCK}_l>H~Cz@r2ZSH$A&%LjoZ*u&fbV?m)kmug{l!*7~Mc|R-*B8O% z!0(x?umAS^b&HIDExYEc;I-c~B|rl*>3!P|9rfNhZ^tp^Z}DnByOQ@j6n&mQ>7UxU z+i5#0Hcw1mJ@Kdij>G?=Q~q=?&)FAqEPclT%d)tGH9yY0|6Fc#f9Cz?$E)mxK|^P- zF{QIVzi+xOqaX1;y)WW@`kd`v_EomAYpxp~tFoV*uy_8-4d*{zt2m@=_lS92oaXcN z!?Jb{UxZE)&!7A+?cC#&1wYG_k86ItX02lXkimNWw4cs44`lpz9Qc`?vgfPcO#l1! ziOIG9T|2(ksU51a4^G%SUvuNV^Isy?A8+_tCA0C~`85&m)9;jf)<?ZhT<gE#-uWdF z@6)ql3it4Si_<<{e?W2GhbI3YEo;lY?Q^xis>WHY57Xbd%P42B`=`b#Y4!Dwe?63O zzfrgM3G26lPW~Hp>(8uzA6~d&U%cv}DtqDNTDt{Ds_cUk_s*Bx5Z5pG`fJ3-d*^c^ z-ly;R4ze<Nt$*Q}zus-(cOJAp&Mo}OW@#^+_o1iR+$(Rc>TC5I$E4SN2t5D$cj}&9 zW;yi^MZbNG_BU6{JY?=G{FWve|41nOMlJW}+JI-*zl-mTxF0V9ot<h0O$>rYlwTJf zsIoO%^ZPmH>who#FU`Nk9`V|E&F}QS(*HSy2R@cn95asl*!0@(T>oFi$o<Fp`tEC0 z_J*HZ{W(!`eIAds{6w3@_l{n>ow842x5Yu_bsu`NWA?<py4!#1&#yWi{@1@Z3c;o! zLDPbNKQFNS{d9??UE*E)wfz_Q&#z~XSfAeUwF)++_HfqYw;TWSS^i^n|IyKZ<L8bq z$$KY%ZneJiz<2#&^^`rkOyBfP>c3KLQ9J$2{0B_SZ&Yr7V*0JHS^Z6I&@=nb|14{s z{Vk68exB#`znckn?W5QHe%|u6>P*D?_OF_e@!$QWU;mSYR$AZB3%&k#^Re=A>j$f* ze=a_v8&~*4Zr%OmOZSUZ|7eLeeSY<KPmle>a~r-#o}Byr)E_&!f-dzr5C7B}?K>!% zx3OI8^WS}E*1tFB*|6`vL2&);Uq|aLul?P8bXR>@#P{=(ufIlYh~xjwpHh3*UUtpz z^p>r5$D-mN&G@_NkhWaGiI08I?D+lk&yReU?l;uVsd4zk7cDdWzoq2*cf7ynPq$fa zci<a)>OP)oi{tEf9<i41kXd5)qv_20_x!vY_t~2q-&HRLnQFQD_?LRoU-329A9sAM zvWTDZwe)|<#&`1#ul-`lu7yQ)p2a<8K0B4;`yWco|IqbU-?RQ(yJh^2<9naUgnvjq z_V{4I2ho%KSK2Lpcbu93SV;WF-xW_}`3m~eW&Dix@0)LS=%DhGDv=0CuqEHM2NmLh z#otbc7Qd+r1x@lC{`G%8=e5@}llIOtz4mwW!Cm!oA5MMr+wpJ4ocY4<HheXGss792 zI)A#wk!$KI@*55pw#GlN_>l4AKjWdrAEqi=f;YM{fOoonIT985?&F1axvCcn+spi3 zIP=%OSlGUZO;m<k;1>gvV}QE@r-H?o3w?_hvFY$|NI8~-ctI5L|6O<bcWq4e``_nd z=YM_kW^U#Dllq4jTGW4MZT|oN|047Guc!a7Z!6z%h&k?IR{HDh6}{GT_O$-Jm;C$u zyuYX4HnZM)B+3_;RoNSTr}F2y)BSOFm6d<utmA*HNBkDIcwFag1KKpomVYm6ety5T z&4WMjKmNQ=-goEvz0XM>iuOGD#d!W++HcnP+rJvldnkAQBV&5S*RtJp2fE#F?BV~> zkuJaCulf58Hb*{}&oZ{zcXrQ#ueZ-?uc^Eq@%dfBr?lw(hpTJ8ted~*^<(~9e?#-P zycU+(cQWQd+xh56U*g|>Hm_(j|IzWi;#>Y1?);CBoXh@A+sQlcGk>+^yPf|UPT%`B zzxK$r^#vV^>$lq+){J|=C|~fw<M$usyuu%WxA*^F@KjLGJ|*tK&FZt3_Z~^DK5rT} z-+Ipbx4-24D?Y8Wue`3#zI(rG_VwjII=p9|-+knD`HdR09Y=M~-Se#9afDswPy5rK z$KU+v+bL@!F8{qqrtoh^xy7HBwekfmtIKaza_>22>G$vQC&BkG-gk7n->g~Wf9v1d z@Uw>J_NATs_>ub=)B61xaminACVaj5_>1wjx_<VWPwV*Yj{g1d-RkJ-{+nNmWoqy2 zIU+fK`yu7LkDU1*pY6}FW8ZUFS?}94@%h_+-!$BQvG}K?{&CUk@`XR{Z;Lm%^^4_r zMSro)Bc|*BldsDcy4DNxY0q~56Z!6W{uxfadkK041=DsO(aoD;{J*zo&+&)+Z+<bB zTl|<acm4arJg<Kn96y?JJ^e?E_MV5I*M0a?|Mqi!VV||lL&5g<X>mIaKYjl8U)8g+ zFMIwpO@C~A-M`|e+->`Ri`K3$Y<m1XD(-=$-bY4%i-r4z?>&Cvy>0)!`I^^$Z$460 zueb5ueCaiIQETkj)_i}?`1-Hq?@e>pzjj{p+IY?P=S;8v?mQ$sfBUhszjN$l?>&-@ z<N4A)z2ea3@6r2gZvA~b^Y)XSzq+PBp7Gj$$MKWlxqlg#SA1o(UVnr)?$MX#Y4bmL z)E~T_v;Wt{Z?*m#@69h>^ZmKd>%WnSd+npw*lmlbmDzA_zVfx-#(#F*?OzA(54mQ4 zpZ!>Q-PF&O*H3-qW0&7l`DMpZ;ddW7r^|2qde!{RKelHTpZ5G(HvO^ab@uz4Y<_jT zo&HGV{KtmrKRUGc{96$#@3ZZG+rPAme-g9L%e?+OGjXrI_L}d{xnBR>dGyud!>^K$ zRMpFEyf^>i_P75^YI{m+`@a6u*>G=u_S)y4%`B`h$m@NOoBx5+T|PPP{>I-oABx%; zTz{~8+Uc#&A5>4{kFh^|^?2@o%ecop>hm}3IV2hPQ1JY|{NK;Nh(F>?uQ>j@xbf@1 zn8dyI*=xS1FQ4=Msh_1?(q4P@HQ&?!Fz>eiIy2#GWWrv1?=|1kl|NUSSA6!IeO|7- z;=s@4FW$G8)wQNu{Mqu<RBq<$Hz}{5Zhd~UU&nuk-I3}!4+ZrL{z_Wsx0>%b_W9zc z568Ftf3xwMjax;xc*J{<KO>X(+Fy@&e_l3B-Zo5L_}cH!Enoln)Fpji{yKQgYi~%v z?>uNZfBR8kxesqPoAoo_dvw$P?H^mdkDrRS)%EtDG5s@h?R4q$56|na-)VQ~<MZ78 ztn(hr$QSgg?>Tl>{LQZy;@j%|>kakfkN@_t{Qqlnz`6bZVv_gTORxE!-hcJD-0FVe zYrl;-^uC;K{`${n<GT6DvHOp7UwgOxko%oSy7S9de~n*aAM;3%J${po&Fs7WJ<4|; zv-zJfwX*)+Z$58vaYWsNUlQy0<vf47;~=YF|FrcVnaqE5JTAWV@7MEE&TIDTwKqJA zOgg*y<EP%~@AuYx`1ByAY~Q!}iEr)0|B3##nOPL`P&V%2qt)B$y0z~-{E$8E`15U_ z{m-PnwzTY@{<!6}^`7I(avue+$8Z0<Xy)7P2My=dAO6I*zG7aPMb)0#`2L9f>1u24 z8-J|Kt^YgEb3@&Ek%-TF8=u`x_&e_=2v=X{zxVav&o?!H=gmy~J8$OWIp=3T<P5L) z<~#d1SN=z__l51#?{E5g^5@$>ro}I9mWzKViYflfcYN~O@*~AI51IS)Gk*J896Y=I z?Jrrsm+Ox+&)ZOUe$Ixv^D5$-{(h}iJNnBuSnK)s(?OQ^68_H1+*o&>=iXQL_rGqo zzODH?k8@+)`IL&&&to4PEq}p3eaEqb<+|?+ddhbk&&+skK5O#xpRRjk<ag{lzWV*m z%E{Lr3;XTQuPh3@o!=N-b7Zeief6HYCfoVyYwjB#Jep(wcb@0Qy7Ol?)}7bcIPW~y z>z_Fr>&}C)%%0co?|*%GRA&FnRygtRyvPR+*v<N7w(mG*z313b{@lNO>kIl9Pk#E@ z`^Hb{XIHAv>Aiev@${E1XxnUIf#&YIzslD7M|I~tesg@<`>B`jFZk1WEnVPd-LL12 z#%t>3432O9e(M)ceqrm?=Ul7L>t1_5lkfHSGcRK6zpU%m%l*FmzOmu8_cLY0Ywj`4 z{~*<Fzp>I}$6;H!4|3u5Tfg2+ee-A9&bPMudas{Woc+q|SC{sib^7M7lJg!4p8v?1 zUh%njcijQjzp`88&g-53`{Dd$=QZEc+rIvbN!V*29#MNOqP7f_N3Q+;{36!A^7`@c z4Zkn{Ha=KYFSg_H=V=!IS^qv%z4sup;9Y#3<9CaL%=aE26@B|hw^-Wd`NrvwC%)$2 zVK;lO`R++;?>`VYe?G6Wt$5D?VY>$fspqeESj)uS{C54f@u8}Ey$$!~TVDIUIqcl; zqO~&bH{6?Vd+m2Iq}~eO2(t2MRsFPzGQQczh4MeLecy5Psb%iphU}UHA9w1UU!V27 z{LI@2J9o^Q{@C(*|Br^lt8f3}bl+jQeeU)H(Q_Ujt<;hKIPYIy#ZQ&j=DXV7uK%9i z^Y!1EjrZoWUi)3#`?ba_;(U7l*ME1C_uBj4`|6nVeevtyHQ%4hy#BlMi0b_9hYp^x ztM69cbJV)#0K3hjtCeqmJ(^W!Z~e@yN^kwsr#l}0QuaHa{@eBXwy)eWkCo3A7Sx?P zu50&b);~2F`<uUd)+hgd{dZ=<UVH8}-_tw4{>$0$>rTSgjmN9%`6Aw*f3T};-@5sr z39734w21fTx!(WSqa71-;MvBbqVEbS98bH?d88~?P|5Z=G0*+{Y2&>z@;mDez2?4I zS(x=Ydd`D4hrYCP$2=0A_hHUIzaI^gUvIR3e_rJE-^irB_R}NYpXUve*A0_rzV`cb z!`FXvV(*{3{#EhXSIKLTlJ}v(mdYzT4$IzqEE^Yh<eL0PDSwNdE1sT~z5%JMb}gL# zxaYNh#gUV}Ie$5qR}>psuRkIi_vp`~Y4bn0)F154-TzGUTg|eF_vbCI{Vwio-kek0 z8huBre&y5Xr#~9L{*&2wZ~jByH+5IiTdsnt$C=4{?X&kh`MmDq8=-GM%Po%CzWdnX zUUTTH>f2vgdEd<qj!%Am)pxH<{tmkxGoOC;zFDVv?xT!<MQ6I;^T)b;d3nFT{(1f~ zZAVSt+4{cO>9dUI*meIas9jds`uNJ8qqfgWckJ16_k2hAkB-HsBjoNLdZ+*M*Uh?} zi9O#fj_=xcU{_tQ`!(|&|JvSOufG0%?`!{x!@nL(JM({bS#4M8KbhBmRL@1mKjhqg z?`!n?UoXPS?ls;sn<u`#?DOR3xzC>c%sumJ_kmZ{y{7NkvhUemv-uwJ+2-N@+1GR5 z?%DaQ)wJL8n$5I``^&jr|Gtt`E5AMB{_&e{-|T7medD3!{o``-A6n9{Z+ZWkGrzE? z`u6(0eNQ#dt;^Q?_>bqakbb3{*^YTPzb`%dvGOdl-_L#W9~#ojH+=55IP&`Rt<Mu5 zis=`WS)LBJ_%m&8_+!5EibK|Se761T;QluI184sGjPKVCwtw+kS3d7Kx4FEnd3o9A zH+t*(BWnF3YLAs~{rbxI;H%9CUTr?|YV*NY#m!fr%dI}oxcdBqyt2Bw%Gv*U{44s> z!xz}^IV$?@BiHosEnlPWT=Z}MoF5&pocBR+`TdPHyLR8b-}N_1=IFNnjNi{}-P68y zdjGuX-%CCll;5ph_wMPli+j($GCuao_&CU-;@+$2%~#X=!4?UJ%|BQRN&;Ql&y0^h zoSGBoJ?8<}`@(MbJx9MzooRmVW%?0ezW3SRC)Z8?_n|?&;&7eiy!fNv=HETPZvKqw z$CkVH&(60==P&qtvN(Fj&qs~VJ_J7h{Z#%4sF<DgT`K#Ywava0|K@#*`k(Z<<?!m+ z{yPqzY<}~{H}&~)i^?rKk5ui~*?3Q1+Q#s{nECz9Klv*Tu*cNi|8%qY?H^OV!cWYn zjpsaMmHWswzo6ILe#>j?I}c^g`A?h9zwvYOGo#<4*R9W=jxYWE;(E+`+x1@N{&KH= zJ=poL^z_%7^&98Cm%aA+ZnEut;cK634^{1(e1F5=uQkgf&X;$7t&L8qy>GVTVP$4v zRcLh`+nxjLHAgnz-}1S6_03-b+bs@@{?#e?q5L|#wK(Q6XL-f(I_sF2yXRKRr9Brv z^YqEix*fCG_dl(Ay)$Ch&o|p+%Oh&vZTMxA^wl!)tK|Q_x6AE>*Vu9Iu|GWTz0m6O zY^%@nhRv_OV>qAj`SlHztoyT{>wn}qFLe63#WBfug{_C>FU!CCAm+d0@LB(yza9K< z%8#thzV-9%`<s8)&W!%VaeeOdFB9|XKJ2NRGW)#tb>*=6?rZE8yWg(*Dw+IsX7bm_ zgs(e~fl|-r<FAVQuRiDGKcimJbJxFAcXoK!^@_g9+0pxsNUr<P5q;<4d9mmATlewp zIH>GbpH}%JV)uOE->wzKvexwnte-{MoV#{<@$*j~^X#gdUeA60RQRq<%*?Cc@?rIP znbqf^y_Yq1)obk3*Vy?-)T;S!`aOGwf7k5SxAu7LIqJQ~;_xS4y~6HhV^HFG-k@$% zy=m_Je{=qQXfT%9c%SKg!3UAu|4!VwKJW1T^3TUU|5=$=_hnDztl8=Q=JS7C@8;fP zIsfi|uIziJ*Y;TNc{Km|n}RPfzkgR9`DJUG_;+4cwzYjl&)+()f@bbD_k&fhy<f@Z zf2Mr#>-xm+`;Rlr6^PEcoA*Jo?4Q*6f+Dli&n*s1$`!WySG1a^Z-2dg$6?uX=MyVG zvX|8ziD^pT_0DGc`J&Gs_+!7%TWw$T`A2^&|Ge<*(_d?@N1QKj|622Y!@T#(*FM`O z+TQ;-|Hi+neZp&=?>_Kr-_(fv+u7PR&uhQ?Aou=b!}RN$zaHHD_K(#0f|}aX&vz`E z&(r>V%Ra3+k45Kw5IcW!{bBY!HGOmE8&5y^`PI(6x=(lht(^V5{+U(9yw|#6^JT;4 zL!<QAx8V7PVe>8T%}?wPZoK+j3Y>Q2mYZ+*`Tk>r|BsI5=ePf^$<KHleCLtnxxAF0 zLha8N+2466=3mi0yZH7mX1yEvN7VOJHs19=d*_k(u6tE}|L&Y+KmWD+j!o^x*UVsx z9>(9O`YM_Db>{(afpF|qaqrdVe5=pPtv=8B+<e>5`5#&)^L{TE{&hGy=8>F!q2>0k z(swTUcYppI5zn0WfpNL<>2&>#pTpmXKYFoj+kd(8Gp6+`*G}i3*FAk_<%go{bDw__ z&9kdsTKO}g`hV+>7UMOQ`Wv3@Jyf;-TEzS7=T4W$)g8I~_{|^A>;2}(*Khi*J@3G8 zN%_LY;%Cv1IL$3=*PpF#X5Vw%-R8m9pE<P`ZvE7q_wdc1Y3B<YjcX2x^64kM4&QP3 z<Lcc16XU+k3twOO`N`IG{PV7_FaG@Vb*#K?{<)&hZ}iVr?GukU|DEgg&vhH;z2|%V z^IoFuebAzhLsk18ubsR8eRR{;TJ3_PpMxHKNc;B3#Nwdzp5xUvj~K6;Z-3pqf7567 zUo#)RYWmV^J?G&cr)l98hwHR$_P4&B|8MJC`Hwrx=WX6!`1#)FPrP|@f7ia=`~1~= zo$&eEYwWgznq{?p5w&s~fA##k_wD}t#P|0lz<Gyh^?B308UD?eqi^l0+H;_~=7_q? zovwdYyXT87-?3=EC7*syt!`nTw#`Ga_c!vpyQ6PcK9zpEhqc(UYTdmj&n7=VYro^? zBl+0*HrxNF?EG~n>1*YISH(wO8UIP&9arlXQLDG%*O`sK)@=M0ll*n(VcE|w3+LV3 zqqgUWy3Ow1rzdB>{g*W7k=%3(o|pXP70v2*9z9dqR(F8?oAraMxo`iZr9WRkBl?Tj z^|jA`tj*i^ea|m(bN_qKst&yR+;H{zo44EIYTF`e)4;aGBz}!d{(3X<>(0ZkK6kJ` zGk*B0%xwLS__zPASsXn1R#(5E4K!j?{$=yQpRQ}y+va^}xZE8n_h<Ip`lS7m_wF1# z_x|IwoqAQ#`uy`g*Oz~u{QRx;ojn!HUq7%v^Hlil_fw!c&-6V{_C3*e^YiQd!*|p) zR(5}_UVZr2Ueia9Po1-WbanEXi@V?a`*PkmX-)mSiI3;}6stItJtywYv-=Mizk^0= zYB{6p59#v#yZlal_mZcYdLLxwe-LV)zUiyzyMizA+wM2URh_?j@9g9MWwsUT{vSVk z`G2WxRo=a~&pv;j@wFyB;(U4Q*P8Vk=DpXw_W5q2?S18IpKFg)?OXi)*3V!2jz-+y zF5_>b&zkox<NSH4{K97O@<%@psor~>koc36|BLXR^Y4~-y^t=i==<y)z3+(N@6d|& z$p^o2|GYEz`On{XYo71?(=fYTzT|FoT-}MHuaSvgZzg@+c@!Mj9aqzTB;JlkiqgW0 z^k0W%?>)4A_wke7wz@;6b`O87o2D+m@%QQ%>PH`@u30biyrNTm&%xqv*&i9j<+uJd zer8k^CwJ%1i=97b%ubgtx2?QabLi9M({J8qcbn^*^GE!zJk5Nq{$9~H&)s#Uy3z8F zUfg=dv%GxsPu&j<;XgXE_w3uf_Wg%9o6PRZ-g~sT{O^-k`TA4u)~DAtoj!fDj`iA4 z)oVX_<2KecFFt+yYxVnI2Y1{3*fMwirn)wEo8wmYhpqM>7A^L-PyT&!@$<Bj&u=z^ zT0H&{wa4dg{yM9?$@D!__B_>VHqw9UKOg#UuD_;|C*m`QUH<dezfBJRvOBwRU%%wF z?;DTW&e#6m^!ED4TD^jQT)*qqZTyzK;oIxIHSeFQuldcpFXQ>ky5KvXYUe$EBlqoZ z+#{y&A1%=}PkwJY`da(O_xBHC?W?Z0$8Y+b87cqpO;_1prq_RDUjK=*e0}}YM?cH& zx3!N8^CerqZ?T?JbMu}5^W_oGr&pZ+r5YD^^4sl->)Y>rWnLFqf8gWPx4&*)w6#BC zWq-K%n*ImI^?P1tzyHPOzvlkKXIf?dF7B@Tv`&A2()Z~fY^80@<4Zrk$zG>^{_XX< zHvijRKbXDF`ux%DI@dpkB-#4&Ui)6!{I&LOa;-f3n)k^DH~+ETUoUC*(DK@M&BMR! zLL=@^7rpj9wfk%Biw*numBa44ui3Xa|MuUN=Ru{&>-Ub0U%w~x{(t|T_uu~g=5hPJ ze|lnFR(I--+ny)yZwj7|%dEe@=>4s#x#G!lr&m`#e44GFzh}?!Uwf+8oUcCg(Dv>7 z*blb5=kqPE=zm@@^YeGX>pKp8+^Zx1(XaT&zPI}Fw)*u6Kj*yGt~sDP?}M26_idl~ zD!SeG?C5`bl|T3YjGyZZ`nMbX|M{73`ky+fjn68N%t|lW^w;}bcVR)iwf%8Hy$^ir zKTg|k&l?wW^`G3=d!VEttQRT&=fpep9kXKRC(X4#ZdJc>&ey3$ceI|@Z9nyqk$;VR zVQHzg{hy6@`_J`nI2ZqzwRrB|BiBw_pFcf+SLGMu>$YL{tJm!FkNC`2ckb&M{iCy< z%Vf{<mdT8(SYDZUHo4ohpZVJN%p<?-TK8}G{qm=sTg3h2qW3;nPI_=?|DVG}4}Q;i zbanNc_r9H9zo&ul<ELw<^T*YF_;mB;o0_-sNpI&T*4|^i_B{4s<NM&=)xU3kzWmVi z-oq#VrpXt!soOmI^yZHI_u4OeY}%(kp8W0bZ1x+KA1!lh+lA+dPZO``^tP#9@YJ^N zqulo&E&LW1)8}rlnh-nx5!?B~UkRtbN7V8})UrI^_V>$q=Cp|aHVJ)S|D;5m_iz1r zo;eJ}@BX?z-D*v}t??t)@6|`#W#+cq$tBHKKc;)`BcJ$=AEMFwkMY*mOU;RE-oJdu zp=uemY4fLSjyKr+o3sAvxBCyL?M!>VHRfJ*+??}g)z82EdDmut!)s8pp7rF<_vy<b zYR_%_6_bQq!hHQ*bmUj9WZa2&=_hNHY<`>0zRq;q;<)X)kBsX-u1dLQv2?y1f5oAP zrZ4%+Ep~3W8-KV?(@#F@xBSNgb5>utc3S=X>h2wtHG$WgpZ`kAn-_Cuja~4X=bMkb zD(<}coNe`aF>wEdIc)xB?;AgV)k-D(wLAM^)=!;+Pp{3^i=G3mz<Vfs@8Q{egM6C{ z=UY~1N7jE-ef!U9&VxU<riq`rxjy9I>}~ZWn$J!@&$CsZI6L3=`Sh~S4~#(BDD`Be zoc9_#={0ud5w-6&{*u}7i$^d0_v-VKVW1(|`nIqC)_<7xbIpfGiDv6{^$OdY+oSCs zamat<bDzE)R9YT;Sh{At%<~-wgySAJ{*{XPJ8ka%9ZhfN8%;l0T<B_UU$HLP+<*O( zsxN!?ZF>6a{^X1e|GukKt+D$aQ9G~TV12ylj;^=mhcrR9*-ig(!hZeQ53~N;<*a@^ z^L*HK{oFYAn8$+0_vcntgzlbi+4q4_zn~)a*J0UpA6u^PusnS2{gln|>;II$`E&K^ zn?I8*S;NcsezV!#HoJV@=_6+kXYaa~`1NKos25Rr=#}x#X>XU?1+TI5USl`CqH@{a zfA<nV)r6%+x*hw|{n?*q#oj)bU)Ztv{$`uQtZ@&7;~w_aWzCtNSzEf}p!J+()8q?( za9HOblD!vq{N4J*yqBf1?`_VXFZg`1xOmy@^p&rb!{!Tz&Hwl{XYVhc4Zn0Y{L<O@ z3o-(-^Z2Xc1FwvC*gdzO^zVb%`NDqV7`s!~!Yf+CEmrB=e>A7g%I2Zq^6QK3Z63T} zE34Q1eYD~L`yJ!!pW3#?*;U2eJ8|}~^zL`I;pT;(GxEZ~joPsJtYP!B!Esj&3C|6` zc=EEoFSZMwV{_}7{qgEOpc60tN<`m(#Cz}2&tzTu2c30pcVh1U;?7U|Zv22F+<Ze# zWAV4sA6wGRx4%|@cCVr@@8L7)^EY+x)_l5i@6=iG?PlPn7M5<x%(?5qLAj@5&e{5v zUn`oMk6)J0``A$aqoG^<#;=>XZ~nB^InB9u;n%5xR&k3x6Q627eG{%-zv!*~{<UxA zKkuwQ-+g=M&wItC)#m;0A5?wVvup9wSDTN$+I;v`ar@Qhf~(JSu0H?6Z`*#Pu-r25 zXU>P5)X%pbOYrYFe(=ya`v;P7ww;xar_>qQJm6|KUuJ*jp>E!d^@m?h&i(&%?%O|e zcKXZ<FE9K&`T4hXdGqd{EiEX&9=*oSdX1g?8oU08TDR{T{$5G%xtiX0H67kTh)c7p zo?~<LS?M83zIU15jUS23{~(iJH^1_6W1Uva-6OxU3){C_%#W@MI9Ip$)AC#YX5V?Y zJT33z-k5w__3ve$4;I(ToBO|i{j1^X^Ny?O7535V?UdKpiAU5v0}nK=+xY7aBwaq# z{cQR0=;fTalYig-^P2PM!OLmWEe?6_IV>G>(ZBof?5KF=a~~P~E50#U*C*^32;Vw) z|8d#kv)s}8@;2i9C7&NSuCqS>wE1q$H-XK+csBkzv*A}v!q=ULFat9D&A)GF)DNCc zzp;la=CRQ6`h?2n=M~NT75#^2UzC4W@L_e?zn1hJJ9n;~ubsZ%=cn=8Kf-b|tN&(i zn{T5XyWVy=f9dCo#h+j2+5P9;46<j<hF>v>pe7b5!j8Q9+;KJi%j~j!zj`+QIy2RH zzSGamIdR!{9<im@XH@oc?>Vksb4d0t3;*WdC-W0)m+m-NJm=n}PpW+XPn=s_&_22S zcAet<-Jfm#>zd!M)SI3s_ix+ld!Ij*>x9o24V$kUHa~ie9e)I<Bha=#`}gYe9IMZ> zhRwGOn{PVrui@W^y7wN+ecust`xoc>51j7xNtK_f%jzxvE~z-A>X+|YUvWVC>6`0X z$B!!K{O{S>GjDo-$>+t-p9SX43tgvveztq`I=kpKcI;p)&dDWTUwvL+^?4q!720d; zve(#I&#}4v3^c8IM0sApUzuom`)lv=n}u!a*F9yO_hR{xvuoGxXXCGEUVY}1?YW!z z2ZZD7&z)O8Bl<_hb?NgLh41Y79%Fm)?Bl7gZNug>hs{4YIcM*$If-8_kH0cL{A%-| zSH-PYpNp+N&$s%#p#Hxr&+4yK$=Kd}r~kwF*I`JtRWWaE{NuJdsX6zK{d!f{`rTsB z+NbJI--z2BeW#w2^ItY^X7;z=(@s~P?_R#^=aZeavt~c{FRwlH%6Nrt_<U&T8c`b- zQM)aoHgDrEn}n~HNna)H4nwM}>V0Rk8<Xcemb?C=;d;earf0puzg~W9P?x#a_phLR zvi*kN**El$zU0pRuPisC_^VH}{(PJD^PWEYD8Eb2=6d~;XD8)nUrq12n%;T!xe$18 zMi=Z)_BD3t+1Byko{rJy$Oli(=f-Kzc_`Jt<FNXk!?VQ=Ar;o`==zWIZ~QH_IQUam zM}NxZ_?3T-Zma*2v)k@L@%DL>pYQm2t@vMzIsd;arO$W%Fg^{kC%qY5H$ubnb58wN z%fqLQ-`<a(nf!HT;@8NBx}PH-9*w`TXWNb=vV3OK`YjH*?>QWp{5|}lf6rm-sCdhB zg^lWajxql>-BHy!cYnn`NS*bO2~=mrzj*d?{%$$j_3<f{1=G%MKdAd|<F7l(UqQ7< z#YRXi@;steZ{xr3$F$e{-}^D_y7BzA>*`mYech04^XNzFwD$VM@5aXk?H;i5y?3eK zaoBX;2Pt#z=>5Ux>h^w`e*5R@-nsEc%O9w&yFM>nzx4CD;-5z5{PFLq+QB1<Emxm& zu0H=nbld!2J{v*RNKDe#od;iSKKiQofcvxJ1Fyqx>`7a{<#V*mqc>BhrSCYvU2{nL z&PD&;&(_iLvUwl)mX~k*({VWbW~K1E58pz|>UoR3qpw?^{~W&i=Zl?{y|dHTm)0J6 zReb1`aoyat;qy7cm5FNDeBLn7l<)V5TE2){w)M~dOoP={`))yMtE%3o(Q_{Qx2xMc z`m*jzJG;!>)bG<b#rLq+9I!3c{eS7&Y4`J|*UoOQf4b{?%-^lE-RqO_R9E*Bz}3~B zYl!N~3R+#ghE`X1&i>;5f9+lR0l{_e@_sTse>43t=lOr<Afu(fs?G0LoU<~YZ?iqW z<nz(lXAVYw`*)_WJ9~}Yb?^}4n~lHbZ1`o9_;u!=W>}47ar)W*Bkp?+9*mE=_ehMt zqMd)oja$EN+TZ%g`?tknZ~ND&54rRUD*R7}pSrm|>|gk{|9|G^{GW0DZgt$B&9mRz zhUb@ku6SPcVNX>zmKtj<W{ve`eQsQ}%wxvy7C*$T|2L#pd^JBKz5L7Mhm7GCXF#I| z-O}G)7yM$oUH{X}+CE)x^7E^=@BVqR^KZEM`Tu82FYLaT1R5Q%O!``R<dyNS^4tEk z$G~-oSVZkQa1-Or$5}t$6n@%nwqE#L!3VzG^97gxXn9`ox%`YY|Civ0ui~!7cU$i{ z`g5<Yy+-=}z@PVT|C?QY>+h8_y8rmEN1s2r`%cY=nE%UXFaQ4(T50Xr{`Hmdp;yI? z;Ht3~Ja#4<Hs3aEzVJPx`NDA}X6v8qhtyfUD0SBF2w0tEU-s`no#MKCDbL>*9*_C_ zf9uyz2a7-Ene*R!yz6t!zUybx-y36=v}^3jD=L@mT@M}5Z@znf-cOZ^pZsR)nXd0R z^3gu(-UG{f518*g{;=;$yS2=o`{(9=;4%Nc`D^jhH`6u$t6TqXaeo$9f8g8Xbor9K z-{#bvIO~4?Z2R4se}Xvctw8H|aESgmQNy+8U;6CpQrmYNyg6I<ePQGGA1(en7SDf> z@O|?A`@g&jo1a_MHazu~dG}@ySGmPciTVBK?^e#MzjL;H-f90Gl|MYM?|uGsIhJZ` z{<go!1Hb3*In`Hmm+v@kt9L)^wfdez>N0=3pPo#A^RMWa=f@WBIbvVh+4lsVt6N(X z)3dGqSd82G)8}{nyjc9%()_&L`>IcSel|UY6bRr!jyK(9dof!8CvWHcv)*&u-R2SJ z_5AH$KTd!1&&=ZB=lZRC4ha99vZHdr*Xs`$^9#Nzoc?Z+zMs**;%}<;{-nHz7r!1W z{vvAr-ZnhG^z)DGb<hGKe*4!~#cfxg%YbV~uGQy7!4)&8HMQr5`RxCK@`Yb`ckf@Y z-2ToZnfC>a-SykQX5YEs-+Y-pI^K5O2f23sjenNR`P-C#>u<~Z4S&mbq^`TUU1$3D zdE%32`_H?bzq|6E>~-}ucFpf6%}?DQ9<;^|T+04hv*B0HM&u#7d3VoG`sq^f%@4V+ z^~!#Rx!=p>$C>99{Luc=Zat^w{=4;w`$d+&-}JY?BH`Rs{+zuvpZ5G(IQzIdsI%ps zH}B64oSm)tH-5e{KJv==aQ3s!2Ts=C+7o7R*i^2t-M^yU{QuECA06z4*VSG9)m@+U z{rW?e=ljzt7tZ<X^|bo7{V&PSPeB#e{N136i`$&P>4Kcex7Rk}>B{z}r%jEhHH)at z+W@LhEEB#;&bj+7e7-T*r)d$jVHKxq!YV%AhxWGqZ>%{YX!l6A?_46J=DPIjRAC>t z%|lbU8|x2V{eSCcck!)%n#Gbf;?IjdH$VS%CvV=o<6mD?+HQ{d|9y(|n*Y_OY{TYP zud(xws*n9`$MSsZ-z(`|;3!nRcE24oefO&B+2*TX-*2mwE$DTZ*?;`ghnh0`Vws1` zaSuK${L*e6^O$pe;pf-e>Xy&>TlMt)*3aS3w}1A2CK7Yt>#fgkn)2>d>ghju_OLbf z{f1wN^0sB${CVd^R-YGIeO?t@seoEoH7R!Nd+O$&%`OwP-hWi_9NTH*m<OzO4@KX- zSbpqhegvfM+MoGTu>Jk^&uVubzIA>3PwLsUxHDhReg5+(&#o#@@8PqXs<HCma=k}C z_4U>CCQ#Eoz4Izclfd!FPx%G*dyW?0dCW6ie#=+UxQC*Bb}2u_o`1Rgkh9;SR^snN zM)&F4etX~4KgMkLVBXGY(>H$obn)}2O?h&0=Stu2+_h)6d$_@B@Ho-x^GvJHZ@u>U z`?iCxV3R_t&p+rYvwvlL^kjSPzv4Z|y=@+GU6<eZRrlTl-Ffv_J~7XGk$&Xt;kEk> zw*8hYXjy%K6RfipzUei%v$gIGsIwJ%r{>e0xT|Ny!;O(UTWgntXAoAO7Y>_$^6J}~ zuQQXrMm{=v5L|a%{3^fLKDM8I&tdkMnmgCduS<Mx{zdpvNoH;8jzios|F%JTTmM_$ z+WSMRu9(Ybzt3Bpy|c0=_j>d5tED>O^G(5XCDv=~`lI~8J=fCQddM=QuaQY#Z)Vtj zzWLy$I;`%pI1KJ?)po(VTl<~scN~tsvmw6yvj6RWr;VZAt(CLq+ibsH{Q1wXb<NT{ zQ0lH-3*UxcK<{qJt)F>bPJRBy%DDw?&C)mj_E;QMw>dt0BBZ<Zciq?PkNENn|5Z<u zpR)P>ia(pT{eO0>%-*!PJO5GDYs;Cte?Hi`Z{_Ue=Eb#;@%ueH*M`p*44cor#;zFL zdPg(`K1};L=fk67v-MBJ%Ia193O`hy_Lh05dha3cx);ljK2%<_p7HsQ26da=OXu2e zi0@dff8%HM9mDH?!nVcPRp{ltedhRV*XNjf$Ihm2H$|?x);|BaX5+6n8$kWKN=UnE z-&|<T<vKgOF+1kb5ASK_6@BJE8opc9sQi7%$G(00XLXyyXBX%Ejj{ZldTM{p^Z6f6 z+<9$%qwdq2zIE5<UAEr&^Tp1{9Y6n^OZz%A5j5ho^U$l})nC8dOa!&wL2ZFu-E-I5 zC9kn7u1T?Djg!xf%U*k&_uRfDq#jrK*54<!Uuz%bo%i_5#cBG5KSHh7ACmQZm-f50 z=H0o^f8OQo`y6xc@Y%!FyWZLA7oWbG-g-5?8{8dfzIGYhf7M4BJpcG6`%C-wiuUR| zk3YTMR(D9d=FmlR9shT^-=|;p?^2I>^u-WSb=^7s?fi_>FDfhJ&F!o5-aUVI^KY#G zJahgHF}2&kjZC=>zvhUR)jG~s44W?rjx*?D9E+dJ&epeo|Iy)Y^YB;nHoLk7cki>Q zSJ*|yJ?O0Sx?^+gSN4Yn^Bq-lo>tG<e7`|`Pu+yM@rK(!99(BUZ*lvM%J(~eiJJG< zU;Qe#8a(}f9Z#2QU+!o7N6LL(yZ1k^{?Z;j=dr9_!N*w8K;d5#i`qS3uRi8_U-*ON zwEwA_`V}kg`v0)KUEd!4Y}VghPe0xJ{Ky=Xga0j?{hYrT)a9z!@${AP(O1QNSDy#H zuC&u$1L|CEkEoT~@JnXnFP{3-kWSZc)J_*Oywhbj5!C5g3K=J?&wz{*-rx51)5*`T z%J10xiv`tP-|yH|wnWx0i>RFj>WBQglVOV#qPCaLg@Z#h&UiknpC8IFVgC$sxfkh& zuAchUEuRXmy8Jio2{>1`^ppJUe}PAzKL%A@?%=9R!kl03^{&q`Hs^}KB|-wI6H>hX z>laYHWpfWpy(K*Ry4ds`hY$Me$``iuSF|ryzwtNpi}2$QC$EL~6~{c_T>tSv9q+oj zwCDQsGJY>VllmcM&7D6FcGgXrz1+Xdwkpp4=%-h`-`>ZYS=3Ahjhca`-^IU3{@I7r z>DuQndhPqlt6$HguYO&h_u1-!RKLZ))~64H-_|{|IK;l^z{B7d{N+223CBHt_C5En zpXKkbr<y<iDQZ}~{N_*9Vy=1bs@_(H?XG;jv!-o!d;J5{PS;GFovzI{_oSWQ1nzXb zLFsfYkAhTO{+s`F94@{A?sV~kE3SVNU(bF1Qy$doiriiKO?@4-S$lpP&UGr5bL#zm z9gem+ZhNkvQZG9Gp=jL07k8%R7y8-D&il}DdHH2|xq>e-yZ2A|n`HBV`Q6OypUc66 zg#TvFZa-gqw|bqFdH=k8JiV?sNUv+oad@xGb~B{fntvTqZTaT@ZPnlM8&qwjN9;Rb z`TJ?bq0RQ&A=Osg6Hv9)4H_f-*E(C@EDgM7A{n%1q8O$7s#>-W+<pD{sK)HSs@)@A zzH|QdJB~8nd&sP(m+(4Wf9q%IUz~-%*sq1RY417k&{#KKGkt&5PuAT3KTkuetvz=@ z)mHe9%0FJ9YHKx0wFO!8v-a(J@bVDwz^?S#bUSIA-4o7c_k*_SvajFvnZKefTs#dl z49~;A<Iuy+FZjzVz&$RhTmMd9zwy(uc&5$zxYy6r&%b?r$EJSo>jyfhV}$jYzai5_ z;AVg+xR-1jXFT85PcP}S)k7ZkXjq4<IPh67`>&M+U)!M_F8N5vAYu2-f3x>*`n_^y z^q=eNw$Gcqzwq<H;=gR>{{OFiWn6t;aP|2Q?{oJ4>H&}6%}fT(2k$%v8VCigD{9$( z=6Q>_`1VTG`uy+Vk3`s~Z`gB0Fz%7;y$4-&Q8NEFel>j{16px47gB3^--6Uyz2I8w z-jins?RUJhng0L9v(5EJ$ki6OcC=n&R}P+EpR?gt&;Oh6^3T@@?b$Qs?CJxidWABP zu<llYZ~czL2WP+i!+E~ov--BWb#wk2J)OSovwua(yRYZIO-|1*-1}|LzN?_#*8Uwo z|KuW9THROE+d*TYpkdt?Z=s`vA1D44si>8N_O?=ydt32u>prDN$7|+&kXe3yV@>Db z(>E(c-xYky-xh!3`8%8Q)u2(r|98(GF5mIaR{wtHPRt$xKU$^r=?kpV;(}IMqPqU~ zQsI@>PDG{kXZhRthSM*8{<I%7;d^s;<+uBwVZ#3#kt?mg>tom3Ij^xZ1`q76+W=Z9 z@TNfM_Mh^K{{D(1!t2(jynbAM`)_VR)AR6ckp9-s;MeO9GT(df>b%bV$8-L&**yGO zJ!Ac|UvZ#O!r!1#Lf$;N{rA7dJ)3_YGyo&N0e63EN7vc^9sL%+7Tk?52!#z3?wAD~ zCbT>M3p`Aiqhqg`zW;%9nf=47xsYMP#M4jrK0oRXs<Y~@oc-MVTKL*$$(l1opa!Pp zanPg_q6Gk5;d1<K-Ly06hc;*5*du0f%<^4fFMmaEIOpeMnt#7k91!N4?_a;;@JaPI z|D?Wo7gqV+{@=lV_UGhnadwq)wWrQL_TMdMbA5i{=M<CG7(D{Wn5$j(b4a>eKl413 z`t?nfYYW=4Z65K>|G<~O?kuFQ6_@b$=={yUUl%le7LSV4NZ%jyvoz=bi_c}X2SD>$ z@dcmPKL695Cs%V4G)lPXDdq~m4A{IDDAKg|q}plk*|F|ycDMJPhYxs8yURROzW4Bj zc1_){&cYwhQR=I6TRw}&Z~Ytm%&0<d-?L}z=f7URYxB45^~KM>W=~Vke|G=>k?K3i zUw0mV^||G0`Ul<H{-Bx}JX2UZUu(_#;ML&ytmS6wnb&>nc>Fq2&JW(ja)o!XlAyzb zuW$ccoqrotQ|)_P^|ta`toeJJ>Gz92fB3zwJfij+xYV1s;g?Tc!ta$B0SfM7?Q1-n z{mmKN#mdX5Y~$W@Kz+|4*}qpT{&T-xeVF&&!;<8mg6-y;z+J49;K{81nD;j4>)$+E z{QQ}E-n_q8zCveU(znIcszua_fg2hq9oIi|KIi+?S9Cw$aa>X_Kk2pho<rI)XLaGT zS^5h>vsvOuvsp$vPU!y^IOTr+^yZzF6=m1=K7S>XCpY)<8gLtSjU6|5*v<^>$9Ee+ z!*-H$PQP=nU1qU!<=Oha?-ihP_kN{q+jmrY&(Y7bqw5X`|LxgPx$>*{yxZUr!nicp z2;sTp>;7l<ev~<Tr{=Mx^?K0g!sneoAN|2uSuw#YD=}zgmFEeotnyM{r;BfaR8~c= zwGWHNJ@_CxZT*zZ@oWEF+xGvD)3*9E&)?bV>%DnaeLnQXvyZMZ{PV)ax5hx0l_RZX zj6d@YX|%QXn(=(zd8uaWZTmhpRLjKN0r#-(w!wQ?>zBYMv~qL*KUIALnb4YE0-DeY z%A4oDuGt%PbZ}SC+vOOcS#h@Jo5jw#XJ5A%%fwuJmwrUk?xE$qJM$ntti7io6I%Ju z!9m%a|7V}RsmppM7Ju*Swc;XHb9tNV;U%9<%3vL=Nd!7reP-*QoC0^S3e-_LSgY5< zI#^whs;U(-p|w8i_0#6(kFxUQV(ykc-?^u4_IV)!6I!#%>Z_kke(-c|ZXD=X`p3H? zU^UeTQ_#R*%xUnz;QFnQfx-Q&=hh!mE?&Pr_xH)ouXn^%`>lTsO6xr4^XF%RyB?xp z^U)Wa1zE=<#s&AyKAYVeedocOchkP_I8a@4Nd3-5{{q|H^Z%4y3-6M)IsWpsZv3MU za%FL?)^lR+L)Rwp-l=)MbI-!r?dC;ytK;t7z+9VDA&gd2&4blcM%%v%$2}D8dzV^i zwEg$#m+D7_^$M%R{yt>PpRzfAwT!qqbYarHGiUqf&7QrxvW)xs<mYE~b;ChZX3Syp zK@%|BH@&`^-f=a(?do$T@Q59#+wb=-_w)USwswyM{der^U)!Ib`+fUC&UyE*e`50g z68z|yK6E~7Ev$ES?#-XBovqso_kNVQd+luX`Kxd5*zDU2o6nNP)46(=4(?pN%lIyS z=l-Yv>`Sr_Eh{vKZ<M@~dgXJ>*7Y9~W<NUE&8|Oh??w>KFSn=S1BjsyV?adGWuATg zzv0@?qGRvR+{s>B9e4h4-OcwB>btIGHvj)$|0;UUm;L|CyUHyN-_*_BckJr7mj8eE z9r`GJMpy5HTzmeOpMk~axYy-=Z=X4L|KYEuZ~ogxez4o~eb0_QYkAx2*Gr$j{2C+w z@y*`<e@mMl{4%@$Si)Z~<+J6zN2=%a(mpfE7xsP^-~LlfUqA6(;m5Mi4TsBT9G1RO zJ?%|;m-_ZiwYmi@!FL`BeXr;Z-=22gVwr!pwaudszF!XW&bxczUU*?#&*R%SezM=$ z`23OEx4kuA_ITZ`EJ+3}@l<<PQx?3r>R5zr@tS$wYvxI>nP(byo^N$}|JC9m<K6ML z&THmz#%-R@I`8haZ(9#>>V0gGt~p}8XQ%A%W3shwF?(+Qay8%n-L#_Z^7b1xm*;#g zI-8&W+&@3jUj9S%^?B*rOP)uc&wO^SIeKTFP5Sn2JFA{W*lL6Asa`WrHthTZv$B0v zejBRJ6@D!H{d-2jSDg>j{;v7(h;Q3^-E)P_&3B$L&wIpt@8Qebx3#RbN;3PdRxPzS zDk=9-s(eoP!$*B({~wi=)t!m6I)C%+-OBen_cUAg7hi9F{#7>DuI9qG$cLYtpNXx0 zF1Px*;Og`Ob!&gy<Tdlaezo^+-S^!7efG@1IbT1QZ>s&e;|O!#yPVG-&V8F_Iq#92 ze!&m3Uk}-)R~*TXG5z+}ulQWH>HhA!`*(HUoxgecgLUh!&r>fie%|~1&%1XvF`y+M zIUB3`B5eC3Y}3DQ_<Y6q*sGbzUt^NK-bwhn=Bur(bxnf3ZQT8<-%NKdzZ?HpK)rl( zWs~uq1MYhc@z#3H*>|_fbjKlUnf-^KvCG`M`%b#BdHeTG-$UQ%?%NLP%+=oc`C#X+ z_16CHp8smNT72Y{r0BQ3Rp&NTrGev4H0(TY*m>Kq^M3bV&p-d}{9o^14|V4~7E`y_ z-(DO4Ks4^*OWWLiM>T7uY#uQlf4@Zj-G?uX-}cS;taRt0-1ax7^$TkC<!$ukpPW0) zzB|uWoWJn-<@Q-ujSsz=ne_F{hN^WNtHL5|qu0!<UNg^q&AfxB?rpwqAOHB~-kkr% zXFm$@?>O*u@7v!}`5(m2zfb-w*Z)Pb;H%zial143E<e=NyO;Z!)z4D@sPa6UJ9Tv_ z@25V0seI?>vEol2<#tu;<e#1s?>AeW-gR~Jkyks9z1n&B)y<@@G8?PpHddXJOa8t( zz2~a&@$TQZ{!RbUU~aKP{%=9A^`67F=eT~?1>O29TI(dU|9F+^jsx5>`>sFJK5M!D z%AbE_|Nd+&t2^@kZdIJkxw-Fc#rw;jUlfihpBL`GWoOm22wUkj^Q_m*V_!2*Iqduk z&$4~6u&h0Q|Gd}z&GUKZ*&O(`^|;`>g6?MZ+kb86J-Etz)4cEH<Oh7>7I!Z4n^$ye zpUW=zqhMXXbKCFWZ$?#dwnyj6+g|rCeg5)t47-2%-lMN>CVZ9IP$jpa%5Q$+^Ofl> zSB;Opnwj`DCi&}{FSfSPd(!NyYyT(QzAmV4QL$`q`T;|`N0#?&T52En*|F-~OaGg7 z=Yg)@{rt~y#pk3=>Q~I&UpZ~>@|66*r&X^jzvYzQtJItS_}t^?yWVZA;+ysPe)ys1 zH^0BKJo;+q0Z_c@Yy`#IyA4&_e$AVwd~efy<+wFD@tdFD{#_*V_(7uC`Hv#{ANleN z9Op~5%WsI2^(*Xmx2bHrd%rNQqr3g~-|9OXu77F$w)al;IkR^*6%$_{EB=>MZdchE zY3saZ|9sA{^Q>X#MZ?Yutxj*gTHJp1bHml*E8oBL#AUs|y?MT5oZX>sQy&UFx2Rfj zR@>&d^qt4HeD)spcOE=QE&Jc0zT?+~TKnsBJ`0_dPyH_c@xZ;)(>LeaRJOj}`g}*( z^XBKTroOBBr*-DPo#Me)kqKX862IO_`kJ$$iZ8;JKf-qT`fb0j8Xu~zTK3~Wozb4U zEpM}Xvtu4UF*M6BZ2SJBqkqRj{|{2t{=X7mi$3N$U-(CGwtiv6ty<Q+4{x@X#hrM6 zw_@J@b93L@JYQe_{Nc6I*OfonX1{))-gtHMu~$2fzPgzVin+G@EuXIzcU>)RylQ;) z`xl*$Pd0uz9KGkTZeHO(i{Euev}=xBK7PCAn_6|e*L;b7i{pjf_IDokKARJs-M88N z_D{}gNgMt9kIwPWTfKeP=O?-A+|SQG_Uu(;^4B*Ts=h_os^@Q?AAVk7b$S!XisII* zo3DKTl4DZe^tOJvrQHL@{v9=oYUTGHzPJ6Lu}%G?v&J@;)Q?|vUmM?Qz31T3<2n0| zYd$ZnIP$vu)?e0Y?)y)x9#{U#E5BEzckl7J#ou?`1KY!9{X5Q9J;L^Rgst$Jd5|d6 z4Lk34|8ntxZuQ&$_<uAkf4})VYxb{)Qp+pajpx`N-53A3%TBb<K5uVS%mZ6K`^3+S zpUn~9bN`;O-PLpVAI{pVCck0lp6`2pEC5wt@<q>Iw#UkEtYVYjxD!;FJdd!Q9%0K3 zu1s{p&daTSzTo{!$!*`}ss5h%=;Y;`cy5`8BHMQyv5k9t^)e_^U4F#ZZ}CUvZ$Wdq z{MPTGbHpG2kSzPhSpH_`j~TW4^0w>k-kn?Q4;oIGU-Epj|IDi>rR&D3vIyJiHS;F> z-~Rhb@{z5r@SZe#?%Mx8x36=l?>NGo_d)Rehi8#*q|3iZ7Jk%xE&ldxE$_UCUoM-S zH+jA%=JTm@^Xrb)***Ba&i1_B>vP-ZT|U3_^MlXpq|e_J&sjImGVDCp>gQ~rS|q*w zs_~CSbJx!k2G=5;`<ACSZhw>BDt>-jZEj(ow2aMhScNtH4Y<O3w>G>hc+Nw?^@YbP zMfK)of7iFNwolWa`~1!6cYD6a)E%9BJigSnBCh`Iv)TP0-`juu^Z3tie|R~2{jJT{ zo{d%KHdg6v{AVK?Q9m#1ug&k9ub$6O|NZK#tz5yEn%V95H+(<yQRI6?<Kgoc_|115 zQI323YBRLTs&Blz|JO25m9+r0Nb%=1>wKH%_sgC?ERT7=p=w)%tvk3t-~9eo<txeL zuV+9ORpo7{x)uSgvG!m1X8Lm;xW<y6t!{CcyXJ`YoeRs4ynMbUyjgqC;g8RA>kd5Z zezQ-|yyAClb^OtLkK}jUtDMLGuI59`-wSiq?Tcy;ys}LC+EbTvfAw>o)#+_ljgP;I zOaxVocOVJW{^39I%l!EtWwu)!{OSGXH`DqLT>kM{pLP9SUVb3RZ}E@mZ$bNV`>o%P z&Jlme_kBm|`k&|DRR4TX`LnoOPA+EqyzclNpI_>qeI=Rr)n_B9O1utkX6S;eMCGva z55m96eeL=9CUx6?%X^Pi<q8(guisf4{}{AFQ9I|}<B4|6>-J^*JrsYt+REnW(djw+ z4ro4KT5)9Z{Tt`|-|YQl^LMTF{R+Rj=jYtde|>RIe7`x4>grztth&1OZT}JWJqHhJ zziiLG^HBC(;Ww?{b)VdK?_cEK@%S91x~jVgt*)+?{rlq&t*-vu1XWk{h0ia>$Cl3v zcb~mFz4PkkgP>}$5)zRA(w-v)<jd-Bn-5ODeq-M^i$k(`g&)dy?>{cQr%LztF~eG+ zI}e%K;}^>3eGm(ubN#`O(z1V_<jd+$#krkdUBBz|;^)8O-`V`#{`!Iay6xa9kvZ%< zbJ+RJf?farHE*bLi?9t|Gfy(?yeTLPq<3F`lir`cetWHKVN<j4jcPWT$I9~_pPdG& zuJ&a?s;m8J(CVsr?taUKpz7*kXH35BdA~R3tj|C7yi@tdeqHsNdCXzwKP>sSx5{s0 zmEMM`Wf8XCYvyHx>tx-q^Md&|&kO3`%lJLZ_f4&3U*QL}+0QGwrdPC^?^xj9dw6~H zdcNg5jw{cbbLQQ93;iQUXTSYtn|C9-s_1vzJKOZ-1<wy^#+uLj9K7@M$(3hcNhW>u z*#NR--3E-B-{jjq+ut)EK8?<am((llTfF_&o<qfZ4%*&({6YH5VO~C`-StO=V`?w& zo1cBIvh!K~=HLAl3Gbg~zO|_=vbvsq{^QHLKOgM0YPOy~|Kgf?oMGpgRzK%j{ag%O zB_4PMiZNT^_Yd#y2Zd!#g1uy%`L^|@>k3;ZZ@<0gsN}uJ%65<1><-R+Ynz$BH!0?! zW#9hP&#HX)6Tge@IJkM`T=7rU=JTGX7e2Q>|1<J#Wrf-Gwa=g4%v}d6$ECpb^j|GL z@Je#t;d9~VHN(!cUb}x@WOaI{`uipF_a4dS6?T6vzxiD`?xFI$eYc-&F240U=+}{o zBbI&peGxTP@l8lgrCeV3A!hFFx$4ggZL99hxsF^@J>QI0QyqDA^TEyPod4E)j<f%@ zk^B1j{nq!3KY#xHP;%b;oOur?+F7pKm;6`j&O=_kec7LF{VdlXR*s85@J|0mbcN$} z>GPLoTIbt}ix)k=*c$sDT!c4w-}wH@^584W<F95WeAU@dwQfUI-o`4k2wV0&74zTz zZ{V+}3apO*PzS51Y7amws{QGZifVs8xT1<Vb5FSL0;Hl6-wvv%cC>&hs*TqE|F3@) zT%G=*-YopQ#OmiVtDg(4PDiWrr*HYLbMw52zg^1js0SR&cN|rH_fcxS-I;xbKJ&%O zD~>$mf6*>&vwO<k^^f*Q9GBntnSV#}`J+qUR{wlaSt?mB7kjPr`OZ1JKi_<M2BSz_ zGtV~c{K=<p>%Q`AtWv8uTPId=?bg09i-W3i1<m~x?dI~S_jfEl-_d>WmuvV2aK}pi z7NlckpZ45;eFn5+6<+i_`uxkOcPk4-ulGLJ*@)S(S_SP`ZLCs@u;t#9YOlTL$Bwtz z-NknvJP<aM{~#j&fzN+^+I#I6!Vf>VU(-ISdG15U^&OA*aEZ^~@>@K7`{(3umnzS# zdw8z;{8Q7rl{LDcZq?S@dGCIHpI>nVUbH5F8n!)Gi~Ft?cZzSl8~=cL-Pg}=(pS&_ zKjrP}<EnB6UovO6U*8C;q2w>aYAAJR4R!za-_@^g{k5#-TKBx_ZDmny`MrvB`47$= zmPYMVnQubtRBfy(Gy47V<1gcF>pio+U$;1NGCg<R10MYkg6j*M=L;>j-x|le?gQU( z`^`TY+vjio?RMwE+upaeqSc@&{O6T*!R2-p>++tRn>>5BT>_}T;kJFl`>VxmSB($9 znwk7{%?40C_zsdXql^AOf7!mhqAz;RgFoEgY8%5VT9?Of`6;&iOXi0TcA5Wk>UJEy zeCD2baa@b_oyXJmKHI+O{nO^>PuJe9tdPFG_W7f2?`o>7H)9m3SBv|ve(tzxykqZP zciUu}-;>^gM;zH>9&FnDK6}r>Xq*3=&Q{x8N<VP*;IG#54c|*Dy1L_U)HDX4^ZwYO zzVq*&6Zf>wU$)-$x%c@KzjrlXV(#CZtN$)33|tCaE$#(1%r_qeRlqh$U+3Jt`VN%v z)7SkB&-w3t_9Gj6{8IUKh3(}#j^Dig=J(0FZ~k@JDfZQ8?iGr8z{*#j`g!WJIpJCV zO0Dbb%B}4meb2MipZ2ci{mvcj*8T5Z?)toE*YvZXe%sB2uXhr^+Cakb`?k+W;rPY& z_ss_<&2!?bWghZvx7g8t_x@wfxZ26Jk7w9%zAI=v9Df<so4RxR=jq>@;z6CMyXQfj zsa9+M_wTJL8eXqGV}Bl05P-XEm)SwB6|}lOZu5NGd9|m%l^)^blS_FoS<us8(Pw@? z?f#C%{*B%DBlb&~S9D*#e{0Y6IiK0i?oWQ6FPHOP^JerHf$P!dH@ENle6DzB(esao zW4=e!+q18k_wexB>aTN>zQ!bg+7#0wY_CVymV^7ipsv*7egD_J&Hlzw9p9HMQ*-{A z<-A9r1s!@Xf**e<zqb0Y=DUv_>it`OTc6`D{8+oYzF+$7&p>cjO788s=Hol^Y@RQ* zo^Nw)%{;}h^DL{MgR2enie4`L{cTW3>fZrKM@lLu?xE$q2XgNVEiUn!TU6}1YyXII zU0weD^QO=DxO|qs_1E2I!}m{|W%Fz*7roy4{D&u~5oUXH?)mF!pej%-!Ztj@7P+Q> z8T_X1YtM$NWk$bGe)#1Mt(xSKtES+a$K}_A_h{Q3|0tik@5n>{H~W~(E54an>p%IP zXLEl3yL099KBw>a{9)$0=<}!3Z^ocB{2Q(suX$606pj_2f`5Tl&Wmlg_&>AO{*kEN zqnGD%?W)c8+t()9Jd!zHzexVw2e;yLt4)vJEPnH+WiQXX>E}zIv!B2E`A+4hn(K?7 zpXJV3hZv{&<n+4|T$)DMii7><y=EToJXuJ^Wb;69`u+{?7x(VXZ%(iH=RG^UVu^p> zYWwK@T;&zb-TQCU^ar1N{h{N!{-)pD-^BLa_<HQ~7u9!rzQ*jiGS^+dc<=F7Hxs|| z#O2*z{hS3<U!*r(-F)EH&O_inV!~G$lmAoS{$F4@Z{MMN;(un<?Kq}+?;%h6{*CXi z?^xvD`gniTdNJ{e{=<vU_RlJg3%Ff<=>Dyr)-ju}KbdL<T7bB|==tL3zplQssqK9Y zYNu|+Q!lOG45^pu(vj;Ww{N}4zqaf+tjzb{&Hm1Vo3G#2iatMT@o(?k`UA4ndfUJQ zepSuZ{r6sg*1w*dd;VTd*m;T7>7WApYH>5Dzk4(B>zj>Le)p2TuTJl{YJ4#J+vWqC z?QbC0OZ6#`dTD?3{ugV%?PEJ%@QZ(T`mEx(bwB67`SbGjo9E1R?{9rxQwXY;Zr`o^ zz<0g(`J1_B;pb&mr?-OaDek|z`S2^rJ*UrwpXUraZwX5F;NH{H_y2Q#-+CltZt<i0 zEW6E7_C1F$m*4))^~=TL&+@Ncg<Zxrk4)cLtUq)#{_Ve`)o=dH*jqAh^Y>k!?-lPX ze*W=y4FA0A@3;Sa(*5VR|DL03pZ)o-zwf#XxH}3NhqFBXYUhz(pF<M<R<457P4>6; zRmD7Fbg$oB`NOgLyo`TgzqrL8@xKMl-Zqa+*Zs@A|K8;Jp0LmRZ~tA*e-l(U*}bWH zTlq;4R5wMJ%hg@^%C|bb`)cu(n7<~+H}~Jf(RuoR_?zj^9d|*)egC&05BL2$4jJxa zSO4`szJZzVf9m(%9S3jw-}tTdO|1SBXx(8jDDT$ZnyX%Y9Xd*7{B7^Q&t+|2=Wmw` zLl4%PX}|yb+JjaeK4N+Pf8%@ZJ%_Yyc-8*D-3S}>Q(piY^eaXh^!vXDJm|Oo!MVfw zyYg(s<BOhOKDTC`VA%PP;(vB&vmQg6{jfUf$0l$cW!(s`qdv8KtL-(f=zgxgsj}bw z7tao>g<rY%C%u39K}1}A(@&=5bEZEM^xt>t{b5igwSFh4l6noQr0NgP)!&y1uB2A( z{FT`UEm*_OD~Fwr%!l=%gl|KJ{46+OLw^5`@0(w9`rY~$!jFC&xu$)PHSWO&6|?xv zbCpY<sc-%K{QkDj%60FreSTyOs-%9bv+m#beAnkazxF{Ysf=}XvmP^tofll4{)O2( z-ZnkL)_4u5gAW<;^MTb-5^w&=`G0to@<#gj7texrZkd1le+!zo?|8I_?YR2(&(rO< zKt}xjo&Z%+_GQms`p2-_mqJJUOv3HYe*BVNHV>n=2X~;BZvc0o=HG^Opfqoqzk3n< z;L(z6>yPo?dsLDH=|I^}ox9)iMzz;BvA>7DUi<uJ`nx@!WB#3*dwhS{UC8Lv{*CXy zo_7vjQ!f{VwUSbfn{(@%>F>3B^^Y6!`6Yjr@&73F{sWu%mz9MTMz60v6!{M7;@<o# z`@D4r2c!#Sb?bZ4d&}Q@t@-~w*!6MF|BG|||D}Y1hNu=<*2{~}dW<&ScjT4i-<$Wg zr`sFvv03|8`>^V{!V;_5;T3)6JC0Y&%$rlU<KV&gH~$3v3raydzb&7u&YFMko&BT6 zz0>QretvTD;@4Znf6PHGv48K*J^yb28VcKd0hFtv*UaM$JI}cKIpgZ*U*?zX2L~p& z2Q~lTx2?xa*A*1G&E9TtxVq+u`<)BwKg8c#F8$h7*!tYUZtB_T7B-XbhCg6C|1bA> z>z{kQ&wtk6`FXBbZqC2`|Mz;?%g;Md`ns~xX*Rg=e+^`vEy%9)3MK1!+vVVzBzVm{ z)p>Jf)`8Z`AJsj#{M%p9p-0QpH~xHc<lDX}c7}3=eUF1L^OsjFwtRkd*5fxSWp#(* z*v_B+UkIwKJnvQ-fqPMBAiXGXqImM;+h>gKsEzgNx1cqL4eU0LKIMF?E#a!Z&vyR9 zpEqXbKX}ZS317akPW0UeHt~gLzgs-r!`EL?>$Q7-LjJ>*G5I$B{%_AkpFf&;r&0tq z+P8cwC{YNnsh69Tj8W;w%{lz7{s6m;?cBZk7V3WsdV}{ImOOVZ_5S)o*ZEw>mv4;& zPgyPB44$&`%YV<QY+c`;{cYBqgU>*V4tqeEcmBz_%hSthkGzuf$z3<kFzo!!XMg_2 zB!PNt*TBsSX!l+RI^ef67kR+1@`iceOYnf7jV)-vFC9AIw+AxdXE7T*;CJrbxy8kx z0l()Z&o>uC2K=%?xtBNWywvLS_N$wZzq0IngVc{IvqKr~`|}1o+*io<T72&9d)FUG z`kl}C>{ER1wCVnax%RgGe`^lBkFB0J_xjv?+vU%TpC9}gYd&u?_l+3PRM^yKua;Xv zM^D8;L%>a-4vz7`SCJ2Ne@8w%%9<M=J?AkSyYX!PiZ1?&_T|Ra@s9I3+S511Y5IXq zfj%btJaos733vDJm@rp<^YnAYWr^kYs`d0AoqOE9OU`D!e%j}6tN;A=pHqMKPSW4! zZ{=Rs*U4?HViVsE?&M(BSo=3XdQ&BWv)iY`$NP+7y{W7$XpPl<>+k9t@6O*0{;(f3 zL-qgpIqCC1Rqs|-XkNEoGjHv)FVJ}vz1-(3!SgDwb{=`P^B{Og`a|R2FBP?hx351j zDy#2xo)dHD8RxkVO!6PO%)e+BR*Aj7`he?wK~30f`GN}0-T6m&@5Noa7rt?O#k;EK zm35Y&Osx0*++^(?;O^AFI=ApG?~(ce$o(np!g?QgZIyjryrMO{;#a-d{YO*mc;!Ae zJl=efzr3O<wL1PxrQo}Q{^++~wZ84G`LM_5PGv<Xs5_PQuBNK=Pw4OS*Ev8#amI&1 zGe?n$UvoBAy#vo2v0dNreMNdt`t&V-&wrHD|JcAC6L)Ig`j2e+AOBo3d;ig6K4W<L z_PU3rZ*67gJ$fW#7XM(%=OCL$C%3+N?*1*Va$el+x%oEg+@Kk%^^ebqUpIaI9{Uv4 zx^!55b@~RRzS^M!tFNAY`}^cqS-tCgf#c7&#Bu6<U}}H9>8DrmIc?MZbLQIbZ=5Ut zv3mPFar2Vr)#s1)-l?3j>z&Q|yqw~%I^cnia`0q_`<i(deL=10M9^FuXbQFVe4W{^ zf1kFkx9tPnvU}&syZ0Yi)~`DN8lzw--*F((#s1C%-E|i04}KJV`{(J|H~*&W?U@&D zUjE$u{8!yOl~vx?9iLTogT@st6F}XzIrmPT3qFq)mLF&Ree>~+*S7V_egy^D)#n?M zYmOA}*=Yot{N;+7bNkoT9S5W5%sc*U@3T4P8Fix8^~KWF{wMD(y1naO)x5js=9bSp zeS7!kAAHaOKZEV>VY4YmUqvQ?=E3fOqpZ*9x8)<(q~EjdUc5K`u>^bg*2=!+6`kc3 z&D_7PSlF!p`l_I-+UC*8xo`gUeRhh8Is5MZ<F>ua%D26Ldat-lyj<?i)zZh7`)6Cv zzjGIFk7^opxbJE%WVkQ;27I`$1~lCFF7bPRMbqlfx4##kwbZ}(XI9yNLH;*;_bi{Q z?*Hy-)mzJb+pXno!oL?j|NMK_RZxL|IWzTrGiYY&)yzlCpgvU;v`>|d)TeSxeDA5B z02%Jn&-kp$CteocC~dQQ|6Is$AAbR8xR3d6<qrkWa9=a#aG%`~=x`sT3H7t|#d6Dg z55=}y>|Y1%Q+>^`t6s5Jf2n_u`<%xWZivCYsdMLVn11l{r^I)E9_*~zVeK#Xu<Fa6 z$|Yx!dIEB(_Ukb^rkh{i{#Rbn&tGvsSua1~zI*?+@3|j%&gW-*=IQ@(vanR~b@)TR z{DQ*7+3yQaRm#eJ{AE~HfAHR+OQ1g0Mo^#1=G<KO{&HxaYF+}aKGlauZrlDd+dX(G z{I=H7%Rc6@$oqn}&C<8OXUAMze(0m?n(%J!J;xuq=GI-A^SMd=X7!=jHz1v=li*HO zHYm@|e{}Bh@?CaGUwP)G6~pIHs>C8}v)9av29Luru1^0^Qhk2XU!RXpmTg<l+E>s8 zIxK7b$5&~fhF@l3ySq$WPu-4#o6~Rn);iZ+SW|5Mzrp%jjLqeJ)BERzpD%hI_;lCj z7`xkZ&(BN7(W#o3johh<M(I>-hjyyEqxZk41b3>cI3b;?%CzVH^YfvDefkBUP8Ijv z$_<5gE6&BG6oaN|!Xj+VBW%A%*jlfdcd+|y&DS{zU*|w7d?Qd5wKfM*MQz{qvtjv< zhVqJELXbLYBTAPl_szef-f#bayHwM6e!f@yv#4Bd|DmrBDr0ZgeAWH;SAAaH?E3$m zus%S=(z(k)Rla4|dDUz4movRSAG~&cx_$KDKe@T_wt62M7T>;UQ!{mMdf)OL$GK(x z>BIX}=l9*uJXg8)+4k+f!`E;7UHnaC&#|w!K0n#|ZqLU#`_Ij7Uthi#dFFpx**wr( zB6zU|Y+;K04gdb@<r`{!?<If+{5<nMv!quv_E)q@|C(a4cgfeRg4S}2eN)czTkKhU z*ZdJ%{{4*it8YaAQ3LHlHsAgEVDVS6@_Bo%eq~(!yyL2I)$H2!^NhhW9B92%lRNe5 z@D&uBp(`k2bKol|rY{3^rrsiTrs~_lovHgT&mAt`nP)5hzU=ws?=x_(ps0Rp`%3ch z&Dh-k;9Xn~n5LIQ2mJQ$J_{M}OI;JcV>5Wb@ANI$fZzMg^W|?=Kdt;#SHAD#oSFl3 z`{%vp-}U)u`x(?3fB3{6KWI)g`RkdFNtwUnA2Hv1@Ida%VbgaX_`X~0US4bev*T|3 zMfD>e??7gx;?6;5q~gl{?b!<LODzWXrDj<7+r0zzrCK0;sRX0}zxkjx5`5qkGT^s2 zHy$$J_hSlp!0&E5e8BHCe88_J-n#y0=5G5`{l(9(-UD@}<`+JHnI6kNzZlw?f-Ik~ z&qrQ9@%Y>7Kc7v*&Wo+Td0y`PyY$~yk675_H+<)@IOcA1e0CFLLTX(CWI}3v2Bbf= zfB)S5KNo=~q^5%=q^v;eC-%QN_jvsd(E5o8+r#T`eaE(XBEr^vPpZB9o{EOI+22gT zBYrj)P)7W`U?YC<+kb;c{1iYVe&1%*9o{#+`ut7vyOkGq=h-~JU-W!({_Ly9M?mu% zG2og5y_zq7Q(OJb`0#1=+xv`S9?7`#Z>(&6UeR2==lH{^FWaSMYOcSN|Hu*^zVZF@ zS;cXSemcMXr!04)`lqe6|Gb*}pw1LCs2#>uZufs-B+7h0+v?{H;3XQrly}G5DzBNR zX}4iM&-!p^{nUOFX*#O^t!-u!q<&hT^;wlqJ`X${HUCDX;{Ni-mH&dv_kEu8|IFNW z`|`V0adJ5r(^3BpA=gix>irAk?>(AZC%66APxo7p=_tEX&o;-yr=!**)ll)!>8Sd* zpoJ6ncYJ=b9kg)b{>>Or*)0oRP>`|(+jP|8T<~<1%>%*d{TtsezJL3-Sw-9PrDq}Q zCayx)O_;*hP1xT6t(*A&0<>;I9kg!Z-<xxb_wRtV{Oh;Azgi4$`Gcbix&)=-W5n(M zjPf78WW48=bBEPX$6+;;=~_q)wKWG^L+!s=eMlWzL%j#rQ2z@+!+!JM+5DXj9`?JB zXV~xmM#!+=ybR=Fzj;SMO+D~*)Yg~n(l*@ZKHUGmC=|5TPyIGztse_`=+A1Qb-&+} zU7us--I#mcF9}C2_3!w*a8M_|>H3@W#`J8Io|Gn}C$(`y-9=Bx!U-$r!inX#eqQc` zb)?RKI#TN8&kt(Gn9tiBd<)!>g0D;CTb*8@WgQP&hcc)B?7#2atoJs}7mc$y`)%vh z?>i12yqs(IK-2Dl?7Vrm?uB1aKlHE^b@4>vcYVL)=f~eH{XC}?w0L6PwYkTa7u#0E z&7b#^|Hu9F5BRo&YsCHt+h*e%;32s=pe1;JE44QKv$^`K^4sRC=j|_lyZTs&-=b>T z*~7-Ss-IPKRqr|Q@av0q>6k}?>kCV3f7dOye7<#7c-j9~K4tai<~14bj;o&MbGP!b zrG2+G|M^!{?a5yc!zNVbo;??gKAQTF88j_*bKClpH{bq~YPYEDJ1acrA*<aZ(YzPI zkG`;7TYXUU-oqblX7&$0>@2(AA-%`8eee29^Ul8n^`rDa`B$lY-kjUeTFR;)S1mPX z`tSMfux`}(?T|sg+QzfnEtdK>yW2eY;r8XQ?7VsBk*1}dZwF6HvEHeyaJ;_udD63U z%f*dWqpVB=FAMn&Udh<ZeY^UK@v+U~xBfk^=$~G3fK|^NI^w4f9r5#88($$<?cct9 z$BvC>#Vwxh5$Ufe3jF<Z;@<Fi%f*YIOP@b^^zP3WJNLC%#~(?<m`Vu)jriUCf9o%J zs`TTdquc(g+CAXqi*vG%dCd2|pnvo9Ti+-D-~3zqSBu5I&aYktjp7!2R-O%?RUEhS z=jk_peyYCx({X?I*F8I?Sl_SK(|dbv@#!7!Y}V^#WA>r$oC`lM8FrorH2A&w()Ty% z&FcEwYu^^OSl@Z{ps1|AU%lch7ic_PWyfJwzI@PlI=By2nEPB`KmYskH>qFt>}s;M zuUfbM#ktGcJNeeVLFq%q9mUm$nhNeiO@ObNSbYOB<o66R<o89)?7ivpJ&vElZ~vUV z`W9%+FZL{G%<mOw%rEZn+~e0vpksd5H{t3-Rh+KttN18&`}&((-}cEIx2RZqw%Fz< z_*kb4%a1+OT^rsWeCM&CzgSuQ@k&L#xb*Mu3lGO#etiQp;<tO9HUGNjyFSi|zdzSM zF9AH_2VazS1hkM5F}-KL<@?*4=Xvzw^M9Wz=q#4W&4o=%&A7;KZn0w`cv>ni6*?_t zegix$Wds`T>y2G+d)&Mbw7hTGyP7)V&EVPTHS@H=ZF0nT-!tU#zWo;=<9(mhW+TS? zcC_wQ-@6qu;J2^$>~xEo&ENsQyiCY|U*$8<yj1kg&mXRV=A~}mz?hfXvv%(KdBJPu zaj%)D8FpUC|DKcmo}<=x9*I5A-}>Ht&mni4+SzB*w{QNw^h@W*j$)bn)9Q8{mOg8_ z{+dks_U)gAzZq4;-8(o}-X?sfwg0;pRbTe(oQ&LwdY6N(6Lsv{{=?NZ2bkpwf0q5W z+tm%3nBs#@Ox=!zOibOr4V#!M-wK+Tx_1`TiFya>MCshEEaATnT|PYzw1Q>>Xnh!H zmLEAB_3vf=wtv7p@80Qq;(NMb6I1WEK_{lHBOnt~cb>VQwbb9SeXn{=|J?nDO{;z1 zB^Ot|uPlrQb)w!sKX>^MXu(85{cO-OT<|PEXaX!U8MGSn%|_5_%){)^rReXsA}>X^ z24y7h#MFDxqR*Smkcp|f`H+bzxg&KSFMfV?@SROn$Ln*&KLg6`q9Mb4pduTzIBX4g z>b-i+yvgl1|AI$NKg{~8^Wn|kZR<t-3Od>EJW#&(@GLthCmBC_<abTKYRBI72aV_4 zyZLP9vpLhV|2bOM|Kj-lv*G^kZ#LCStnXL($v-{U?7lnCCcPhX#l!+o8x~&ML&o{q zp`9pscqb|X(upeHh&<5uqvP)W3Yp)jpk;j@K{b=z!MVr%OKdCR>`y*xpa0>#{l{O+ z5$n)Dq@b)rXT3Imd6fP6x^2IHUW3$4zgC<*ycO1kx;M3M$3a!Ok5b{~o8OnuDvn$I z^Z1*8SA*aDn`6uBU%L03P30`mqCWrf=MRlh7xkrsrpd7_>Z`x=&9rhFcwTD$2IP6E zdv_u8Qu?4ped=#Pi~6E(g65>`Z-eHfEJ1Tpw%6yXp9dX#09w>HFCW*UKK%#({4erD z7xgWF3t80n9WlgLeSyEc;+GSAi0|91e8`$UrE<A_H%edctmw3!zwZioK1u|%YOuKX z>gGeBrQvsyzuF{y<%vssA9!Bkyj}9|`HyYm9x;mV__GGOrtdj)P2aoBzlWxOma{o} zbT52KUpRD0-`cyN73f}|WFG(YocMZNE70TME6~qFr=xx_!G`ztW!(3V%l;nzg|o0G z|Mk=dO!@^C^0U{QJl_-aS^wtG&)0AM6x?6_y7FUH`M&QlId?0+uw9?~{Hk>Bx_PQ$ z=h;?2=L1h~fV!fS=OT4Qm%hJwp3UFt_H{Y;9fx)K?&W;{UeOdD58m9-WF7P1!@e(v zdG9^y`Ya_=fAn1ZzN7E_Z=QZp`8BM(?n})6vvZgCzJBl)Wl7&l>o+!EK@<HEpc$|1 zHS<Ja-KTw7;O^7=EwJv>`i=Q_E-pWC^zWMZUg<qYGZP`*r~kX=?ysB<?mq2~&9^;& z?=fgSU-jL}J;l(f>HTK>RTJ;+>u>&otEL~zP^zZCu&T)};d}WU@kfic|Ng!sas5m7 zx79npAzmtDT>ZpAtKN9WYng4Ujc*4aVUF(WTMKk`bl_6lSw>d!RDRMeMT_*9f# z186GhICv`RtHbZQb)c!J`s0wPDEaN5pPW>WS#NuMehH{<3Vv7fFB3=IR05iw1g+#} z|2FyI*Ye!>?3l+~>i0KSb{p?G?p||*x3=m0jp|G6k?SSGEBYR@->&IA{Qfq0;rV9h z!t;9Y!t?p%&mW4%Y@athe#=gbaVb^Qd8j`Puz4tU=(2P9TQ%2i!WQ$%J0ZsR^r6en z_k)+6uiy3gNj9kWwEi|y@9Bv&wt1-E6A|-J$G_En@rBGosYB<X__splp|oM+d%L!S zs;0WrpsMLMsA{S?KG*$zDRg{qUpnsbz2%Vcz3*>p51xO~o;~N0?74z(#lP!%wSR?J z)Xn&sRoM95qGrcg(4vVC%+>xC8$OrsNLzn00aP`8bOz<w`X}cu%kKt_@6{#Y8Q%+r zj_--1jPFf{kMG$vfyVdd-vp2E{n3Vu@BO(09^Ydxm-~CD^!d&|OReYsJ+%fj>;+yh z_?&HZdM{`(!_DNcbN<{y?m6w>R=c*K$=l`uoBT(<{JO*Y3f<=miuZ4+yO{q5yyiR* z+I6~r>*wYBx6ZTwxwrNC&G&bHUMsfR_4&bf(3*33ENjje!`GaHmYC+>JkO<HmkwDp zApz?({W}TiH7!QzHPz*R*Z-FT={5a5I`{j$)BAUQ{_&#f!=8V$&%TcT^xnSkllH&A z>hPt~?;+!E&p~VW>*sN;vG?CvH}7xG*U$AEAe*HAWqkf158osu0ox=s6}q19|Kz*- ze=P^CIDZho?!SD)wX}~5E#MpP?v`G8<2Ci)#|ORa{P!yKr1pF~*38Z?=T>d=*p`{+ zpfvxzipK|=%|RrH{o%p>ue*Q$-jf}A)_$|y=Irp$Z^6%PpWoZe7FKVQ|Kb1t<v-T` zeSdO3Xbrgi?ym+G^Dpl|I$M6uuX?_+ihs_Z8`PK2aKH25hsn11I@Q(c6-QX_#ov6z zy=O;PWpDp|v-}UQte^RPjra5WYcCV>e`;k>ly!Zxv(5jlSD#xP*OdDxwEgN!zq|Vn z-E=;qyY8cyI{(&Rg6;b^erCJ#=vhhG{#iR!mzVGTYGd6T{oeL^ddch0#yjnjo?0e6 zwVZpS>ZxS%)0xRnBNLuRCO_SI>{N08)b#eL=>=)$<WKUOSG1K^^mX6A^-D<qqmcXl z%~j3DpE5r-RL`;L`BTuoc=L_FLf>XT;*<Z-w^J;AE9j7@M>%=6HoITXef~)%&(>;r zWToH6PiHoKI<xUpOd{Bd!yqf3cT7zexK%qPz3K7&+ket4`o(t~S6%ln;k9{1ue8nY z#aH<&6z0oa=imP4Q1Q)LE18F%1jGCbkJU-aeRy)`n!UmITg4@E+xLC5v0oo8Z<BxS z`L)ZRXHQLUo0{G_^*NXJdEKyi)@y9o*VwqPv3bmW<L4>kgQqqhcsW1kANShh*)o4- z{`tUGUeV2O!GEsi)%ok5DbKGz5q|V!;<W2B(=CoZT)bvq$lSkLSN)TJpV!Oy?f>)L z+~;4M@@n7j+_NEie%z7L=arR#tF_N_X`dGZTjmb7Y`OU6zf;qDrl$8zO>dl<zV_>x z^j`JlTPxpw6e+K0Z|=VRlilXv>C1-sb0(aZDc^DAVb`C6Zt0lK@!gXz-~QLVa_)RX z(4>b@UhS7XJC{VC*L!NUW6NtcuqATZ=as|eT};ife`<O3l=0zH#>Y<;w@yv(o%-A_ z&8}Kz{=sLak1YB0vcJD9Y;w0b{My(k|IS4J&eQJEb<%epv8DHK{N-5s?RBXA<hT3x z&AGcgDev-)(#Mu|N7t6mTYY->*C$--_#-O6MO3zhZ~1!4_|Pe1ur-?xfvkBhrhQ&E zY#wvojPo6rJI`wGn{;>m0ZzViIbWIFD;l>~^m>1~`QXFGY2oe0H3yjI#oRpi{)YaM zr;%^|*yhd5E)xZv%KN-Cnt$D^U0-8j&aU-emlrlqF>GG3jpfmQKNXMi#w9(COniDX z>FLg+r#^Q~ecmwj`4_3O{kESwKR#Mxwq7QD$AQb6BljLN-gAt7&+&(kPw;QI*s*M` z{R7T*^7*gRS8cu@^L6v>pUUrMR+sAD_MaDX`|GJ<qvF?lpZ~JTt1XM&{Ao_|)5xT! zJCB^&eDKufqo+0>2icQeVrv}_PNE^{b~|4^-%z>s1KahAI=|KL3;MoS98u0YslH?1 zUG?gwr&AwDoiF(0vRc1D;#Q?<USSRMZT%;^qt9=a-t~3u^G9xZwsUshtBkvI<JGCn zM@|(tPfc&0`kYbwyqxy=4{F=?f9l!r$p@Sj)_iyp^Q2vR&r$0=hmY>e{o63T;>g9y zHFEc_yqiAJzllBO!4ft5I}f+Mdb2%H_PP1yzt7Js{k~_%&S?9pb@>mkJ>L#$vo8+| zpC`S>#(Iqne?+BUUh3ad#z#&S_f1Xjn)+M_k}!`y-}*EEqnvzUKYPsF^Vj_IbH7JF zmN<Vd|EmoDli&xxJg3F?TJJgbbn@DL$0dK4RvcJ;`^HbzV($FcRc|eK&5gcash9u$ z+Vb*(y~j^&K77jfM9$t%a}u6f9tPXf3AP8CHkXTU_$j|t&b@rgZ&tyd4|&gh5PNR1 zf5F=LhqG$3=GYwlbnBte`@$a~tNT}NjxSK(?O&mIyT03e*6X=z_1@bqFE4!E{QPX} z-KsM4>x<9q-_QFluk`6mh*gbK(>td=XVX5<rhT3{Y@Th{yu`fkkp}ZQ{Pl7^dwmp1 zuV~k<IiS5~569<YoIl?bw6n|D_5La7eq4RyZ`L>Of}+6N|2wRo#oW6Lx^L*|-aEg( z+*@aT{%Y-=s+#!Cb+u{{m0}T<dK*5)BtPAG5aiL%4O5>#sVm#}iD%=dIj7I4AN_bV zC(hgExahk=&|OupyN&bjOg!IkdU<r+<wNhTKaxm4zoBZK)o;;N{<;5O{JSRq;jZ-g zkMTRc9xMJfJ<s-s_Vu;T|EyoT&W3x9P4*g_>k*ajHhy}u;S<llW8cE(39qq9kElGi z@sm#B;hJd{dt1(~KFFF^Sm3$(JLp{AqZjYr`s?*+=A(k#%2bQPmU17s%HM=P{uESJ zw`;>+;b)gB&;38QR^BGO{{6Md^#ymU<Nhwb`uX6$pPP;;-%EVD^Z2Ra5c_(2u|JdF zF1LZ$rMKZ;aOc-~X0OuidVlHXeztnR^}V7>a&`OvobNLqa>y5S9ZsJlpI6w*zUR=_ z%{hO=O26G+Sr=(t|5LxL?%<rJOJ7fYeiDDT>h;ba=G*1|?S73?*#6(}_seT=X?v=; zaq4p^?en~0^JMLe<}=5|o_l8c(;r-S^{=%%Zt3^2WBLx&`CRGex9y3Fd93R<FZru7 zpFgDH+W)4`wAlOK?XTB9=e)dDeg5nJ9bbR`-vzC>Y8%#u&od00XBjq+HEf<~*u3mD zHs2#E%OWb-_@DeaJy|~Q1JC;pGUDMIzN*GOQtexp@s%z8$>m3k-z_Tjem>-L=il^O z^^N&aS-S_a#k&8_gU;sdX5amFL($&PF?L7Ry7QZApVthVCkeJf`|Ib=+rsRj;d$hg zam9?g?v-j5`zD>OZ~I=+SRM1|)v0ZD&DVDv+`KyCZv*?MmxX_APV3j~xV!wYpx*ra zuOjJhraxr#mrMN1T)f`ay}#sj_W955JHH<Moc8qEWq0JNYwfS8^M8G8m<p;Q|43NJ zSAtTgg>TM3?wTXnGBHzOHP`-GYv<csJGcI%`jL~zKFxY8wA`Ym@#^$do8y=Mx|;j{ z=Hs0In)mm9o?~}!?R(ql{spfutM7Veqo0jZZGF|Y|N8jl<eYk>W<a*>=g7w=O>^R^ zZH~&`d-&jF**`}A51(e8kyd|Vd|Xzq@Q2f<!@79|XX|wR)}{W|pO^GJ{Y>hIJvFPM z<!$rlJ-fDf`EI^>4|gFKvs<?P{qp;{$m{Rtd9=^VXrC7aN1FAXB)e*v_}kA+vuye1 zWx{GM$<N1Re@@v^Gx6#6gSvSI-;!3#hotY1`}+FEzt`zEYqg%4)oy!ztoV=2_Is7< z=Dof)`T0(}gr_%?pGw-@zovbjL;JjlHpm_>uszXhY|0}l`F14QDf_L@{@i6=wx3De zV#mCz+%gYY?>#crJDJ{mczQ&f?70t&{1u;=toJAH7npv1`{(aFQrF$&&fQz{Vb1;& zYuWY7?pEo=J-Vi@Z>oJ>K>NI9*gVa!dEQ??f8H8opSSVTousFgM@|(VI92@1X!rej zKaW%tGS3e0(~f!Yl6P&~W68M3pp$u*K537ZF)91kpuVGS=Gyry&(#%Q%};sWza#zK z)zi85m7ln-Yo9-<eYfiU&bq15^Y`9bWAi+sa#}>C-o{TkSJwZz(qFyCraz+6ZT-g2 zQ=fzUDL>P{FT8m6b+5lW!v6QJ-FMjX-ebZ39jfymY%QC=X5${An8%WSad}@^`SO#V zPu+2}F#C6FP5JA}Z&us)eT~_3Z*6;i!QRh%Di&WwDruWRiLmg8+U@vCw}{GR5tV5X zm0=Y{!Jj}qdf9sqAEj-pJK$~e=*6?J{`)!KPoGdf$gEfJiR;s0*|^o`>ZGq0{u8@h ze=er#eD_*Vy><W9wdeVuS&;pAUxDk!c5vNz()vYsUBv$Sa~nZ*V+^DX@aTt_*?--8 zkCoqjY*-zA>(@)`w{_Vvk0Z07_108qy|sD`tllcW397g5zpZ*}d28p_xz9h@=GFd+ zyIu{hADh45ta@sB@KkZz*XIi@!{(`m>MkBfZ8ZdW}u>oO`F9)gN(}*?a$;_?=mQ zKCq=%bQjOLf9%@&0$)2*zqs7Ww*{TwEoyqNx?jDi|D)lq`ku9K_aBvhX7zu;)u(%( ze{s&M{jlfe?yqkSuXA5x)7&2s3(BwOHhhXncpABCe*AiAuwBrWmHgJ<Iy3xR)VFW0 zTw2j(yyvi}-2>6Md-EzEx73vFILyo!?_Ia!=*Q!4>P&yDTI_6oTYp5hSkFH1_0x-= zKMCj6{_?y&_xY;g*B_UkfwXABWo*>nQ~rN<96klExGqC0uE(OEJqzdD+%qkzU;EBu zvHptg>*Xo;b|}whoWAy{`QM(q>yH|qi%b6+Q~FIiWdG#1^0n7~G4HE>Z250abiPgc z{PNcaLH+*E%~!!~*<Nr_z89P<KDgeFuk_pSX-?u(%j2guAL0ER`RL0zv-ND^JB}WV zU32d-hx|t|_xMe}r#>-0`tY^xdY*KP<IHgn`~GId+`D?te}B$z^)pL9BOs<`4y zU!S}l^L<`;{PwCJ+5i3tZ?9;d`dm)?yx{BSxfgEN{rYPRioB`MnO@sYef9i(y!k6o z#q~Z7T5*-HZoj|b_nCqgev6|IrBCp0-vPS&{a5d{y46;{--euj`{$|o+doZ~toMud zezN(wEjr&;{C?r<hvl*P8$aDi1owlIo=VoA{}w!t6I`8eud%uQD!lI1aY(gw_wX}Q z%UeICWgh*sMX9#(H$kecdpTc~`QE2K=iPDi?Dw~zYOC%6=<EaM?f0tJ)jzrR+`g<9 zS~3VnueV8FV^h2asWEUBl&hQP7ya7{?{NLT0qJmk-wN+=?P&#dxDLLXzTv(|`HzP3 zGh2Vm1YN!<ZeRZTp?=JJo9X*YUSC{)=2USPxF6I9?gvSMo9)$WY}~(U?~lJ-`{j3e z)8pSa;XN*{==}$??>xBqcWvDP=AU17+>5GvnE%F3wy)r4*y`mL$@_WuD~zK4AGni! z{$l>!U$;L0DF-F^pL?VI|0jT}N71l(+G}jKM^wJs@JVLFCz*|(dj4lTfBpSDi}rb@ z<@Jf5;~yx;J$|5nl0Uzoefy7w&-*uhoos*er|HiYi^|zgO^>Vk75*q&tsk1c-|OrC zZGY42xBXpS^Zi`0)SUlU?02l#_dRCbv9<pGuXlakV>9<EsDqc@Jr&d+Q4X8O95(Or z<=g*G86N|6hc+KLwfS1rv(3jQ@81aPa~a<N_qq0`-m_4gZ~3mE^KkhD`MkpB>~GnH zn>PFvPv7#{_?b~f+`N-(`{$VpZ=W~!W@&-gb>6Ufy5Pp9`x=|Yn{U-2g{s%zKYP|* z?Juu5TJz1~pY`nP9nUQ+X5U?YoKx;2&-(xKDj)aNc*X3y{OR@sF>{OR=~wwzZH{06 z%l^i{*PCzGYCSWvZGU~NxW*4uc{y#LH|O+MXeZ&$wz{IOzn^_tq~|0(otX&g5A8g3 zs(5wX)8%zG588hASp0RL9bO~1JHAm^=Feo5`l~z|T7MPALF%vnInUo0o{ri4`DWG6 z2bT8xqxtn7?D`txl2=>gxEb8CO@2BP(j7Vk>JAlmPkkN;Dn}}+6d|?OM}26w>(VRJ zc@ItP9@z4ggDS6>8|UOduv~w?@vHIGoB8X0?Y{--bqRucU01%IDlRevrF;E%*V2P= z_PRK&<M-G5ZLIr$=EKCFPb$9Vo2?hU_dx3W|GTfO=RCHR``8iviBm80`^kIRm8v_A z8PC~s{uQ^(zxKJ|kHn5oPud5nz{)Z~6<Ex%we8bO_kP~9L*{Gz?emv~zzt5`uzBoj zY^vAT9KP`_e4Ze<s+J6!Cpzzs`p<`K`5*b-7krF|R$=?s%FR!LS7CLCDy*&&T7_xv z_`3M{udck>I#tmA(y43L#m`4{zSboncfNxD{;64er9WCmKlfksp5yL!9y5mjPkz0; z^KO1`vd!=K)z2#w<}+QF-|#2v&O=i@`;4!)=WeV&%p4bc^_;%x^VK`!s{7WxyH-B$ zboP#~FHK|LL;4EqQeRJf&Z2!@GHhP)8XNC5Hq#?2&A^3}t)F}X_!y~mx!<|vJnAZ% zjrSb2JSU&>I(&!Pd?|JLO?%3A9I2Lhx7Kdexw?aA-U<Jk52?cT_kpXhU7#Gjb6)iM zb?>W=JY4_wd2Rvo>g^WLLRb4dB1FNh#Sd*|^I1Q4et2@wY`utoVO#l*L+pDFo$i9y zVfH?tI;<B`hgEh!>ageA;^b`g^WR)sZeDn|a$Vl5YmaBooSNPQs$8BkX`eR@L+;Mz zfeH|T*Wb@u#u?5RInKYeQum`w_>SYcav#Ok%OBfQ=x)cXXP@-bH$U-vc45!v{wUk< zxqrE?%IE!d-@fHv!~1&`eZkxBRh-LvdaXHncb@I@?HhM}(%JB7%|>uP57MFCvG(nH z8}*3Fa~r^e1CQE%>J<Lsm>u5Cedp1GiDB<S$MkkDzI_wi|N4+TExgTm&SS>)1;^`5 z_2g5ZFW<f$(gAz_;2QtD)o0eKmzUhFj=OvE)n?=Q&#uVtFa<3WvA<C#_r9>-eNIf{ z{Ojf0{#wm>V0+*EaQD>O_e|kCj$C$+u5DJA-~Q8V$3gb>`@jD>y!%FN*_!@>kDRyl zkAiPEJmh_CeZd#c*Y~UbTCcA^!1>z!&ch$;%IxRPPnf&^AZziwduOkmp8NdOyFA;I zvGN-}z1#SS?|tHH)At<N_cX8B7_X@e`;ij&BPA~4^Nqx_$pLe}8?WknTz%{B7dhcI z-y<GRdq3;`>1(g6gV(%|ee`MKw>oVZyHj_P_P*D>_S^1}<onVC>^6J1&$WLbalL=z z_qmUi-!D9{`rN(nw_n%q{bze`!@k3x{UhrO<iG#ny!O+0&gQy4_n4}`-s|@te7OAW z9<l8^zW?fvtFMdS9lvB}W!&BKYtM6CcR&C2@7i^Hu18e9-}vlq;#un-+^?_Os7HLB z^Kf$I!^xG2XOlZk``KRGZjE@q@_1Fh`<m}F{w=+me{iq+n)kf_PQChdk0oC|@qJ}m z@x9+nukBQ?)tc>ixYx|0cFo@V%z6d=&Br5c|77nzKkvK1!=veM_AZI|D;ZYbs(o$! zhcBD2?f?3#Jnnx(`WpF<jK}MfzhC~zdF>~wT*UqZU!TAEv-SG^kG~G@-}=|OXqD}G zaZvX7X}x{kzrB%_=@FIT5uf7{&sKMvo|nC5!(L;gUt_ese#2+eewJ)G)@#*K3452D zUaP*7u=n@_`P~1S&$mU~-+lDgJ!QU%`^@(qytKbrx68k$biR!Hp6g8cg=@c7^qxL` z`}e)aZQn0E{POvmT~qzG&(^=xBmNr4Y^dvN){m%v`Bi`KKQ{L@`5(o;$Nj(fy8mD6 z?~Qd`r<>=_UwQ6t@zt+?D~{f|eCgX{_w#4>@A~@ZehmBnys&%1Yxbl^e4dwlcK4B4 z&xNw*@!HK4x0@;cZpLxJY`Kf|H~xN^w=Ckl=HaS-%WKtl9x>nF`@{cxwfCC$cOQIt z`0exVgYGp4cKP?0&i}A~hJK~1MP1Y0`y%HH+p1$$&i}q4=)d^e+MK=r=3cj7aqd@O zsm%ZFcm1EMM?4p=NcqdOet*jI^?U#IUcdiv+E?~DwKspMdw>5U@cNHe=`*Q6{I3gN z@B9(JUC!>nSFzW%?>Bss`_NU{Z*gsp+=)7igSL7fPVV_|a?gfm8xPKMZ~r=vFXDaX zCk5;H_l8HR`c>EM{Ql*C&fb0I$9~<Dxz4}&d+dXj@3E)<{H*USoo_2wxn4HT{^Gsz z4++-$j|$JZ8aDqS>-)q$_4tk710l&W_!{Vp_Vxc?e086C_x*uinsIek&z;}!ZhrFJ z`qu1g*DZd|`ucv)zrEM(t2^e}Pr7~W^P`1%wpBA;?|uI1VOaP*?=^d**Hmtg`221o z_*%*@9Jl{NGuVlZ&o)k~^f$d$eQV|X?>nwn-An%CVQv33j(yGhw;T7F*GyTv{>S_F z&JQLVzt2nhTXlNFyWoec?@L?N{WpEzeKdH_VQZTQQ@$HKQtk^cv;V3d@t2ivU*7x7 zM)QidU-S3;<I9h%KTw(f+gI-GJbBO!m#VLWW$F(9njTmG^eg`k)#;xM%jVhCu6cc~ z_^ZWsIs1ES_6V=p!wtC?`Pi)IY}xZ<!O7sd@SB>m%134$mw9cwG~#_`=T|xHHQ#SM zZYX}OzU%((W54d%UaOP6R_B=ech`BA*LKD=5B8q>`03=gecmyTRsH5AePuhor(NuQ z;rp-hd;h)gEZaZtYj8~M<$K|6rFDI!|G3si#y`HfeNFxIukZK%6HH&jKQHIIWI<Eu zKc3fr*z{*q{}FjToqt}qf9dPZ%Xhxpc<;NQ_WKtvx5a&)mvq+p@T~Mc)AOQWmx^Dr z`4;h6V&lEw4?Mg3?*%t~l}nF!U)a07CVbbny4w7<uX{zW)oHK!yDj4T&BVLu2Q=T8 z9&wkkzkH5=Ue<fdgVHe%PHul|t6R`~J?{Up*Y7{{ePxfSIsR?7&1uj*tMz@;*Z3EH ziG3Yk^>^y^`;S__M&Eh3__}??-=mw~)&)PiR=sZi<7>~)gU&y)KmY1fb@SBncGLIF z*Y>EN-(Gjt_{gm1GV{-H&p*SREhl=-ChF?X&nLr5YmQX)GheGdV^+3*QZ>7N!T$25 zuX~Nx{CyVjduqh{>dvqGr1w1CyUn70*52oG(|>dvULI{*weary6V)>wUH$&1HZ|g} zW!QhN{D}C+KQDsHs(Y{H3(x&};953cP(9-L`3>jdPu$=B+3U`u-s|@>_U`+CwCrBv zoon+hPv7zNV)5so?eq4YS@V5v^3%PCW|jAvzL&jb^F89T%*T^^q^#ek#92J~ogVQy zB4O`xq1U##8}21Hf0a|$-~Ku2-NvK8?#W*J&KL2!H{$ov^&9?{%x8IR$J%!?yYYKP zZ}pyoSB>A)mPehp;j8$_T&+Jj{MOG`{2PBgH+mgx^LHVrpz?Zcx&Nr~wfv8a@_YXs zd_8~PsdwfZ?2diyfAdG?^*`2Yf34T1zq`3QcfS<-`a;l<%Ij<DYa=V$BP!2-oL9L# z;&a@iuF9~8&p98Vg@9qg+2mhVyXW5v?)f^eXwCPCguTaQ-~D{|>Fc}idK>p`Ke+n; z=K6U#g=g-c{~qz(GU={*RrA~Z&-VI!%*lOkepvF{$A<774{fhl{N1>>fA01D-+#Hs zY_O|Xd{_NP=T~T8KIr(WdJhzq6@S_9Y}|MJssGzQAAHN?=gKF}-G4~4c<!E?*G@;D z@7})SYsTxU_OJWCM^yGleCE67W&hcG!!ygnvz{}-QUUKZ8@`D5E3a4ms}b6F_TA#| z5{Y|{tHynn{dhZf?>_T`zwR-;zJ3~nrC!@fulauW;Ys7Sw(<qd>hCvvF1I*xbaT#M zne`R?ntklm^4{zBfBF?|lm1ui`;Ql2`Q!e7nOnAh;#b4C|7Xsf-}o**;qLxpy05QW z{N4%5XXjqe-+%fX|BTz`iht&9x4XOZosIte<kF|HiBIn)f$FUH%GYez*Hrr5&;AW_ zF6%X$tTo@a9INuLUi1A%;@;y=YRl@DRVUWI7kpj4`1|dux8_H0=^x(Z-&Z<cH11Pe zwv6rVd++`JR;;hHdBpl&@khhm^iSN?@|=DV_J?KT9%#Py-g8)b{r-=?_<tPuC8uAX z`Mh0z%kLL|I9~q|xxVK7mVe>b;!oZwfBLn*!dve&|INyxJ>|6@_Warq?QfS9cF%at z9`T6JdK;hZJvb}9+4MX!xD>eV{<h|<@*&R89;tP4=@IW&9;)isUh}=;i14}C`ZIs; z@m~907V%ql!?)QrcYb}{=e*|knU53y1nsHn+xuSi-UF`l^RvH-EwA}6Cjar`YxzC@ zUaG&TJGlO>?a_+n;+dbh*L=?2lloWc`TmW6zs1!*|H?jp%V+Ov{sk6M|9M{jejf3B z`>XVKp2zEUe2=L=wf4OI+g}}D_pz_pvwZ%>ud~W~OyA37&ttu2lU)O94(P9`ECF3H zo&Ef^t?!0=mw8^>p4)iuG28ne>)sS^o4?Qe_^*4S*Xm5K)mg9k{bu7k<41G8OV!*v zCtcWd`1#H1c@M?(3(iz)e3Z-I^Y7Se`v>#B+ODh1ey{zf>8|^8_lW1qE6)DnIbWCl zck1>1pMGt(NdC*mU-99W`}+FA4Zn|``S_ypuj}@Cbtk{RsQjF|TKhelHmJC&UQ^i~ zzp?JD@u6AiUH;En{hzg(_KRM#*%t9Wv;FHlwl&`^lJ*||v$|}*>i2myXaC+4cwPNG z;`h>s-?|&$1t;EJezf}DYcc(K`R{*rXxlt^dF<8Y^|$}3?ce@g<T2axh`+31^}Xe5 z;vYZa-**4r>-T&9El7`BGylPh{%iJ!t?K(q>pF$6=@+(3uiyXm*Yf)tK35mrt~j^v z<+bMgoq4v;?{C|6ue@<8sH`%*wq=QkUkN!A?y7M}%axz0#FyEtU7{d}F!<Gk0Z zb2i>f{t;_!f6;d1zViOBdzG)%9sIr~{<mtx`|7r@`@}1bRqK9a%>U3J9kc(yz3+_j zmHf7Ge^1^Euh{Z?h5eyd{vX@EO3wR#^OyGe`lny>3(x(MmHYVMYkyq*pW5B=5_A4t zsb`$}KukR1dwjy({|(olO!$A`+hle7lD%K|{FoTcU!N0pPcZDB=CwV>Yd{Ucn8dTi z-LNnf%$ED;|E8|WpYvL^)`okJnO@tz`#AA^+`lV-?{U4Zjt13KzhyVRGfudhZgt}y z?|ai@FS+0TeYWEOD_>m7SE=`v{MmafdiPG>d;Nap--GAh{OS5CY-79j>-i1m<|ogM ze<b;O`i};1I9A(i_}B6J^39(Y&%dp+s_!bT>otBR_3seqM(d~gcYl2eU-v!Y{qDo3 ztbc4>dwq|3#OHa5XN&tx&kMnFzwR}gsx{wBj#T+y%zsl?)gQd(d&EQD`%`<i#of0) z{Oca;wK~;nb<%5on?!uKe9-az)?;11`t0ZB7Kfg8zpY)q<DqSeh3($G{ij~XSN@HT z*-+QsY#i|)5|q}v<B$Ae$uDdy{m**s@AXxi>lXi#eEY|*bl?94yY~J6UiPnL=UZF- z{|~M`w%=t}vF=|=>C?T3PE~h<>Z?8MYbyI9KKK03ftFO|XObJepKUx=<uASV`FVBO zYt=RhdyhvJGzQntKeO|?;OlDkHSg!nJ9aI5zeM(anb&r#*M6`2*tO@HMP29K`?m8Q z^L@9lSUY$Bqu=Tlc9Zw^ONRZIS-n4G?pN=tg^R78Z(a3Ru78dFqpwHK_4}oNzx*?J z&1d5~o9vF?oVm9C>DTLV^#xV8>)rLHK7YcKxA%L@{EKVPpGyh5SG;DA_nJ!mjnD2r zu>71+*k27U0@ioVU0><5@!7=0y~|}@+pgVkFS+-t9J@@>yJu(KeK(7^Uwz=#`s26s zZMwg{wiCQo8)Wk-&S+2F%DwNowpX-no*r4-ExxB+cHW$$_l|=DvnFi!{V88L?>%IC z{fG71&+M9nzij&Fv!0j7{Xh76{+zSt)<?=edXjU^{<xL>QLFmy>8D=4**3rC%O1P- zX#evss}5A%R}Q;ZnDN^5JxlgI)@wH65ualoL;G5f8%+C8?tD|Tce%i8+p`<*C3k(5 zliu@u_ow39b)ed+I{S|8iGOu<z25)!)%BOo_j~>GuZji#=SO?}J}lYz-TH8_&2j6P zM^nCUIO2Y%;_uq)_a8ra^KD*VMf2j%xBiy=6M6lICqHuj<3BRn?w@+Se(yh7y~zE? zA6l-h|MIK(^Ud0lx=!I|Ru%W=+`qPZUhIKVft`69KILuv^lsy`+9R{dOB`?ef1Z<c z*7&gc=R@kB56yD#HSL$ZR(&gZ@B6@Kwrt{?e!s94+wth$!`8Ly-uG_UXMXh8*GY+g zt5$A!xB1Yn^J4N9>#g_H?A-gF@p{FPliF+K;*Y!&|12l8=QwNFf2P&-z17$93q)`I zezoUc^L6{3E9UM`tL;dCzUiOkwV#^TetOT@xbF~i-rj#}ugk}uIcGm9`myEy9ntfB zV)Jdqr*GKxDK6pZ-ea@Avt{3ty=KE7@p(?nrEm7&&ek=Xpf!~`8}9|Tew`P!=KGGL zRs9#2{;<0n{mlOTlEc65J-D>(--m$TKWz`5Zl9SR@qK3U-Q`C#-<Njw?>Kl+`>pNv zisot?%k6uYE9VuINba8hYk9<9$$J~^jvuYe*+2DqeDz=JJt=?X+@Ej#ckwm9UiSBk zKcV$-!rlE}epSzm={mj9o-g^j_4%iodA7UuM82=?np)lls<7^9uc^Ei@%av{$F01h z>8|@{j}7-O`;`8(*>Iq$U-eq`n-2%d!^2DVm$!c18*THn_WcJw{)q3A8}F6ZsNSwW zUF}=&PpkSotKLVZ_VwF-Ny%68OYgCozjyt)*Y*{ECu`sSDSfTIqOR`s{N%awljiO} zV)<Iz=Fv6KScdygrm}kt(KdU#?)pDJ9`SsA;klT_N3NZ&K7V@kuCG6`)>*IlUVGqF zbx~>b`pR~2N7nf0tmn{@tG(vGShk$|n(rnFdzZg71r=R}*Q#S4y4|nzjb8tLZgOpY zr#Sz{`PaQ|9@d&ie9zqY?(*Xq->Z&P%fy~O_x__u`1+0C=RUOkeBz_hrth0R{mNcn zufDmif4TS;P)M%J1%>3ijdgAO6^*6;FTKA1pz$m3I{lRA@_YW>dwo8wY<@uT*O=Jj zYt^rp-mQ*{J^$*|?qjE_+fCowUfbgyV|f0-uWj?6&3pjOVT+H<I?ndm)->Y%%Z9IV z)oZ?6>~Flg{J}itYv21K?n~dlaBch4z3*Al_k3r1ZO6Ul_nMEhzVAA?`TDKjZwuP^ zD-KMSzWI~)z2cFVt#513Z2T3x=AWuwMEt`CO4sgJ{f%D#zeD*Ne?|B5;@$Jl^=~|P zzVP@jrs?8aKWDG6fBV%xFX#9Blc`TD%Y?Vrec7{bW%T^}XV&ZqUbAO<#OHMzp50A4 zTik7WUK!HPItyxN9h>Fe_jMi@sGK#uRvnYP_qd?@oZEMr-rG<9`<#DUg`wehi#?n6 zzE{pGEb)GQy>?l|d+WoKuiY!|liqX4I_B}C(rtC^;d|O``}EV^Cx7|XeC6HZe=O%C z?GM|=CCrVl`YUa-Y2U%upaoIuL1SLgH*2G=xm(PR0##vcrT=Bu&G`N2+P3*N75DBO zTbsVU)b^2WCHwZxyFU4CcxHQe)_11td8*fJ%wgkNFE&0Cym#;2;_o7fdzXv6woQw8 zzwv6-zRf$D-!6|o&%Gx8e74P}|LZp&%&wbP9`W5W;coh*oBzD;Wp^yTe*5>_$1KZt z9QNMxz&50!h_hOL?e+a%fAv?K`o;ME!<W7@lRqb|`CMF+P}?|t`W8r#zArrc%kQ;* z>feKN?|fE|c&`7^$Fd^tolo7B&%1ZbyK}Wv`}2F@Z4s678=u)GoUN`hzwQ6IXXCS( zumU%^SNPe+>0iH3jd-uQWB1(k-z^SS^>goebT9DS_s@HS*StSlaAbe}nU7-YH{2`l zEuAlG_o?n%#aFiK`^uobv1RjrO0S*wV9NXX50&E*zD|$(&&ED|+xIIOwst4x+^_!o z(Dtoe^fh;j{XK7|C(WIocz6FH)z{$`d)9o-2MyTFzjOMW{E4++WA5Bu+y1=}G<LrJ z>etU}j-0A)Hhs^QeUE)j<+qB%HHUuR{0A#>+f4hp!1dT=vDdcmHr#u>Wy9y^cUB+% zb&vVlcfWV}ue;fA&G&bb`LOSK#COk)?=~N?e82UOa$eyNwd(WHcOEJCRq`|6d;Bu~ z#@{RQ$zPxENUv>Nefs9_ReS$!y}ti=_O<c~vFQ2_)8G9RUh_HKBKfZj|Bf%erpNsk zG=H+`hqkqU-@jX5?|uH1f9Kbi{IjR5kDanUKC8UZ^u1K}yzDiV?>>S%i(+N_o*5pT zb({xWjRkjpm75;%{$qptzUr^#@4jD)xWD`GS>`vj?+Z^=%Wr%q{Acgm<-b)b&R5TU zcy#t#Tm6DoZ=2fgtL7C&U3-_ang4k3^?O|X5B{?Gvagk6V(xwO-gxf(hI8vbO!}%V z6MOL2_PGD&UdtC8`XyLy@y~tt{B!;r&fR~|Wa<C^BzQn}{@toi`s?^3-tRts%33FP z?=#uNv(`svrMH=$=YqArtk+cXsFzmfKlZ#U^MCbS|M!LmtNKmzey;nu|7KNfe%IH% z-g9hkeOv#bA$raCy+^C=yW2e8%lD&U`TxA<{1(T)Ub|P`*T1KoY5ls4_p87Cx*qpm zE<fV_!=F>v*#F?iG9o+mEqp}w-~6)u9>t&c{L<fU_d6dnBzx)Asp{UT-{nB{*q-M9 z8|%&%H<_N71Q!hA*KDRme9qW#FSz^byed%7tE&Iy|66~>?o=Q7b?*UV*!Ayf6?->* zw>@lp4^)UDMr2n*M`X80+SV+%n|{8!<HIAZZ*f6u{_(8de@OIoc*U%U|JPpMul&nA zZ)4pNb{YE<@0NcSUh|pTW<y=$>(#e@X0NY*{I&gm`tR~5A=UHjZ>;5?XFfIBUp^`9 zo@CfP(QA9cBR=0tI$PXsdY%y+u&)=E?Rz#c;q2lARsP)I&TYT+n(q=eXTHrZ%Ip5R zH+s!`+eh>M?`vZW-}C+D;avN>>c@8Z_bK1YZrr}(TGgIoPjA1ieP4LE`b9yNX|?=8 z<u`x&{&9x==Mi5s|M7!Y*Ww`qiGr`A_Z;1Oz5dIu#qLG+*Zd1Bd9Ce(=l*`a>h<rB zT|1ZeZ~FV>V)5pp*FP7>viBQmzvl#XZ!6Dld={H@wz$*uJR8`x+~sE;cbN9S*jQ%2 zce&=Z>Qfu;CHH-m+y3J~xxU=<y8O<sd!=PQ?~~qhoPEvjFA?84<<Hlg)IRjl`pw^E z6@A8c9((=W(?0dr`poyquR#Nsj-}W7S3Um037H~@e=zYY_nv*z=I;OY%RH{WJ^Gq_ zL0RtW`8F3p!(aP@=I&%O1&zm6Op4~8|E8+@>%Q`cO8$zYd)#HR<NOot=RA-Thn2H8 z63#9@T;<Qc=DSJds=4dGOC;?*E_D4)^)Hj?{r_$2>yCHZ&j2myjCsIz{nhjL+}B<` zf8YLX)#vYyb6@>=uFLMf{j=$N&TD(pE1v8<|MAz{Z?6|0(T#ibCN?bp1Jm}3PkF1q z?|8CTw$NhpcX8Xp@1{T0lq+ak{$~1PS-$Jn?2W!}eg4w;?yqCTzjU_SRprfldu{Xc z8KBj+pe4Uj+UMu~I$6BF?vqTY`uPVt%jW(2eP0N?x=ycPi?aQmqtbUCvQ2-#@hkhD zL$-bQv%h|*ESvwq>PdU_oJWl5JNB(xyZ*zRzhOI$e|~=Z-^OPTEN}1ldhYWl);!y~ zg|C~Rzj6%=N1E!s;y-Wkuam}ghQB8xX0f)udcXN+e#miIxetuj-*5jqdHRi?ynKbf z7+0S!^tRJHw=TU>x3E`xPR#vRx_USAyPNrM{d?;D_RpN1J@Zyi-}!X`=<JO6ch}U{ z7u-cz3OjY%{C{<3$A1038B_X6C*=9_T*!h9+jBGh+uddMoI1yE?^3tpsPUfT#d~fT z=g*mVUWk9k!IQiv_{(=37yT{!@yp?D|DRng+b>$&9shXO*ExHxt(CV~AGO`CdhToJ z+Tz!_dp|*@o@XXM-5E63Cj08iV(3~7{h9tB*mnDW5u6>~By0m3=TX-C_-gSPY5pgd zAN*>YcAv3)$ML7VYvlG_e78AqKgW6dwBO|wr(-^w->lmCJ!a3%wf^@WRlTm<So->5 z^jW0k#X<J=`QfjgKYtrm`svO}KhTuEa@f3&x8KxdKb!pE=JDJ()^i`2_$zwV=PTIX zdBAu5N%4V`cRtP9bN8HnVaH+V=-R&WH`5<Inp_t5f$z1w(f6s(Kg`YB`^~0$dbE92 z-2U5Z&zGkmO?8LbSLR*ypXYw{WO2Y;o8|8}euk{r-hO8Jfy(sHs|q`>Tl|@F^}EF} z*?W&4>@BNvvJ<}ZP?9g-sSb3=^3D9t)&94Cp8TEjzvKPAs(JhGuYGT$Z};HZV*XwC zs`czsN|BZrhuc@mUG<-Lao*pP#a&;YFXREQeVclwCTq{1p0nBQ*?W$kOkZpFNQ7N} z+i#h|ChJc#AAVXk?LO1?9Y=1SU9<1t!RK%Gu;mwg6tvb))pI}p)cx+S2bF&fx6iA) z0~*}nT#d9W_M!Sq_46FBzn>2&{d6Ye`E}Szc)6M9`PSR#f0i!jcAryw@Ks^@_vi;K z{(NEj1)g@?GWYI$ih9hG|DnO!CUrmay@$Vo%l=K;={mn`??;=zJ<<28<La)hJwCtq zZsOC*1E-2VRYtG3aRx0)tC#cI_$g+U-@K`>p4@~c*2Vgh<nKLtDgLHTwy*G~YV`ca zqIv~?QdeKM*u(nsq1gM64c0ODFI{72x2``|Cw%9zY~GCQU#`*m<?}Y{?*t88r*5BD zf3);N=w_sq#w+XUYW1$3KY!b;^ixi#I%q*PXeIphH+7rW-}tlqM}x7=1DWgbTfb`G zc_6hse)BKB?N2rzkIbs<-Er*T^|y8>*RGlOpy_X##gAQY?H@1~$A7z~&wjrkwz6;k z%WM4e!tWQoKDqtusp7V&powT9?eo3APHqmguapZ>KOa~6iD!TEbI78?=V#OpE3YfK zF<CzEquBe8eERzi?kRM$Q<f|E6T3UUDErf4S-pazb=)?8m%X+BJMXLik9Wt4i%MRv zeg5ZnUhOC5>(S?r@~>TIBOXz?ZsVsr2~TgXoNvSbO8xxF{u}dcoY&a%pM|W0d!+h# zWx*HS+2P&7dydN5JyMqY@ap!N-^a`LH`XM@+&}i|bYVMp%)M)`Oz&;DFLi!@^6zx} zjepb6Jbke9$I9sUw(Hrp+x?#TdhzpL&%?rzmd5U1FyBTUwA?O4{rt{Xe}3s~{1lV) z^yUN8&zW2Q?KWF4m;R%JUFPqlcjiCkem-PN-=Q{NMt%F{Jz+bJnDXW4f0ezr@xGk6 zyY>2CQ|Io#AXEQ#*EgAe$JXZC>bq^1`+MyxpZ58^um1c!)iE{wgZ1n1d7Rhgx2Hu^ z>V>GE4=M$FIN@pKf#_$KAOHDnw*JHSviU;p7JnMA2FpC=d0*Hn{3-GwUw&Z)`=`UY zas}t>l-Jc|Jl|fw;rH5^kKgpKE1&ngzUa04`PcTlznXOT{q5F&YoGAcGU@NH9{=Rm zNNWkUzIw7Va4u|ZykGWn`5FEl)9p7^o-OPRp7ZC%EAKgvxa14Ftv}s-WVHED-;Sfq z=iX&}efjcD-9i61dnED;`oGV5{W5p2O@-e12iF!G@48nFS|H@F9#Q|l6I9=TcX!m) z-CK9{{QLRUS5IyZo@>)=eEa9A;-;z3dHT<!_g%N&`g7`zquz6Pp;epl>hC*L<}35P zPpNcG-}pPVqKkdbL(Sh$E7}ilj{1M%&h>fA(~DnApMQDt?yna+E!(5dpUb?q{ybu> z?AOW9<NiLeT;(^<G2{8m^Uj=M^NiQnh+F)!{P|G#-UB}K9e-Na+CLC|SNO+!b^G&8 zzgx=H?C(4h;kP*aH8!WV_4%9ahivD~zx}P>l>Pk8$~#rhD=Xu+%gsOk^<Z&n-D>2u zvai(72mXB`8Q<{tBw{6Z)Ytf%f6P}s=Hvg-@H+nXFVB?c<`zd@=C83kuKRO|#qQNl zw;nNFS5RcWdi#!q{knW^xA*T^Fn7N3^ozx%ireL4K$}hWcSO&Rxl)R<eyjT?Xo=sk zUw@6m=h|>z{aG0e-G@~C?D9iFcJb|>58t08pZAgH{YM%7y5pc62im%(UaoF`=b>Eq zoAM)<@8AA6d*$8yqjw&8@5r-NpI-8s{rqb0onLP*jr|@`DYx-ck6!ZYso>SJkP`US zlgQP6pdCWrBP#nUPS!M?zE!)({>C1mIS(Y?ePEI=><q6@xmTeupY8h2r{xD^-xXH! zuWp~d`FG9@{X?JaZ~l|LXLQ|W+t;G^J1vf`_1Ak}^}4c<b-P^L#Wgm{Yixqo*ch*| z0j(M@TUu9lFE3R6{M=VhKpU=-o=V1_e78N#j&t7Mo6kZ)2f;U7uMnE^^osrU{DNld zn91iE)xU4qqZYpHv$xEn4{>4hAItt;y2GM(u6WGRU;SrlpIZ9dsd`&k77Fgm&x)QO ze-~`w^oYu^h{|oR)X%T|b@KBHt4h9AlR;Z(_I>Kv`032YX+K3Q?AM-MeM~j(@rRPI z`;R5cEBc$WZ~f%_=~K|2Zn4Mw=R>jSJJR>7uJg-(u6}*fU*&z(uPf_vx9|JBXU5L2 zFRa#mpLhCoR4jNQ@`g`28$Z1PRij@gr<d4%Q$MeHZGO8Ecwu9>(dU&PKk=BYmtFT! zPCb3gua01unEUUFk8r*_k=}OtcSIfcokv3H-?#o^V^81oS!&P0qr7kSOxY=8JKenG zb@TJDy?3gt%5AIefp^jz1g&aK@1L4pA^hv4@u6RTjYH?!7+?MQH8SxjXmwn-`?Jl5 zUw59(KcFjDSeCZ>xcS!Kx&=+@=^LvWy+1`h_)s_Px>R~aGy9!~n!jZ~@Lf0G{CWBr z-Ca9h_db8Ad*|1^&!48{+4{wnM^wsf_%!FvscVqM-Ph)~2d%N0zG`y%Qme{s5tVrx zKiNEbvF1tp_KK?P-SO?oG4sw|dtTAXEi?b_D^ou1Plt8yJ!UN5v2Xuc{=DSp=WpgW zRqr{>TkM;k{rc&-;xcPcgEjB{wa?Z&_>73C-DKa^Z7xRCZqK)XYPbLFZ#PKoEpUWw zRE)V>eaGhOpZ^~VSFN4md8x}p&}?F1&Rq2)R%RhRUT!PRT$NX8Ce7ItB-Ir*MKMcs zW>H44M4+$NvKHTq7sOxNI9=O#|LylmySVwc-|qTj{O<kp*I(z&ocrDM`aHYvpQnGH z`~Uy`iMut2w;g)=`rY1xrhNR9!Yz)U)4uXve$AcZhM##iZr=Lr{f8v|uS=`5v&+6k zzRsD;{(Ie7@z*w$PjB6+pBnSO`tVB&XPbZhHplkHK4Cdukob4@y=3QuXMR<GI`rx8 zmHScuEN=F0`TsC&)%(Y+at}L>s~^#xllc8#)gQGTM~Y(}bM<e3`0<$H_4HP2n~JS{ z=j&~+^P5{7ll<Jf@jJuW_ivZ~lY4F(onHTcYvs;!7a6lZ-^;#MweQ@=##3*r`ZmU% z*WC6xGGX_;-mk^B;@8f9eY1Dk&z<x1<ce*XbFLS+yscVh@$}=#g8FFNIMr_-Wy~u& zr|&pCnf+76ajSiOuZ<7<RNPf3m{-s<*?H>o9SQq1`L<pD^YFFF{|U!aH=g_GnEknI zt~&erv+e8SUq7{a-mbCzwdK(=+w7d{n-7-R&UJqIyz1MB8Lut3%y}&taqg?nhS>8W zx4+JLsM+tI`L)(IPM7baQ2CDf8GY-&E3A{B_fX(@%zTdD?Jui*3z~}G^i2)lk+e_h z`0ecan-Ra|Z$Ga15%v7_n|+D7cJa?Y3TA))H!(XlareBfPw(W1-&nW&v)k9(U%wQd z{VJ2RdtUPCo%1@M#++xm{dG>_?s?oc&p&1seo3&6dpEnphVxzWcg0ig6%EcYk9mH_ z%;(JeAhWx@_-{d{^&O-8T<O*s|DOh0?bGakY!LZ6Yi_go`m@tt+t^m++Ety7D!;Mr zIoIv;&mXK^wf*(W<lXZOx4pJJR%W|=TCpwn>7Da<x4qtZpv<=Vc*cKjIeGEr{8t}~ zK9K3(uz&F<k^X|V={t__UQh3vZ2sbxZegdjjNHOI)?vq=SKPYKAim@1R@-&QSKhm` zws_LIv%in8FWr0YC1-Z=``j7Notbm48y_mOP0qP)yuGf@ZuzzIf46O$^ZI7QxvwSn z*8RQx^-bdLdCq$tedPR5qHbHqsaM!_xLtGpLqom7_YY4+&nc*#cEdL4`s(^cefme_ z-W7D%KI<-My<5Jb{^(=7*!1^vvp*-zb-#J5X6w1l<!jF#E<gS4vt;7#dBQo@jgObv zYQKJ7b?w^uuQ8Dz`xgJb`B_o^HYBL;+@JZn{rQdqyz?GD5nL7jfHUvogNdi^et%VQ z$GqroL8tqU!;0tbFZsp8e#ZQuF5kW7KiR)otSPRzbZbxK^Dlq1KOan4EB<;;t;5dP z^PJmWTY_xMxxRUG-MZ(ee(jvsJbC7P)PT14>aS0<%qwUYw~$}4@4MsM`nFh`TdtK2 z-s{eDw7b8oT554z@|*Be)xMeeeRJKj|2+|TYyYS)a&2+ht6O(|UWht>&C)72_ulF2 zyN;CEKEJW<xzO#eZ#KmGzdt<dd|f@?hQI%Mbe>lon^wI4EHo26?l`{up!S;@m*3^( zPk%iW;xFuFwyERkH|N`Fr}^#!&+q(azaFw}w@BWn`b}>2&+f~mHNtY+4@zdouG{?o z;?|!@bJgXeXY-$rc`dfR|H|v%s^{cX`<JbnZ+!2;NxkoP12!D^*}d)71F>-Z>C<;~ zKjGu&n-p$g6;oz+?xSiE|M~vOv3qLT?;OA9@~xm^md$~i$5Z5|r=ND-W8=?P@L#F2 z#XWwi^PD(E_lj?_mdD<H{Uov5{4^(D-qhfjJKyW1<@WQK-##Jy#wOz2#{UMDU5{g* zFg@RAbNK4JqR!pvCyU?M#;+^*5w!EzO}nDz(|@0`=J8L8ezVUp?$Lv@MeUPA;vPPl zyQz5Ey87ZtcMhLxPZ9quKlQi8za?)T{)wq<Sk0XxUoL*y`Aw`EyTzI(g6GyvbdI^F z;J)MUM49C8>7OLn|EsH8{M<X|(IY?0!)NWEa+bY&m=_^$`K|hs$no!!x#!pi%zK!{ zzVpc4bDw0Y`zLD4*afaD{ARNA;8VFzOxu-r9?jFxFZ`gj^YF>WPh8^dC(fzw_~(Ag z_RXHqvW@@EcJ@CmKP`98UV*=0>S?=o=ccsZ_&r7D!3Uelmd*O76!rEix$oE;)3@=r zY-LaL`;(l0c~gR8be`~-Z$B;k=8xOCjsMjvyB_a9&3f*?^7(?&^=r-7%oi%3eY*I~ zT~M$n>^wG8-F!#QlsyOD<`s3{U4Ft_#wPe(!6%!_)^73B=hS!pTR)-t#!dgSjsLB7 z_CMbE=HVZW%7)d+sq*FOr<~vH_2(<7=(0I<^JP)T>CI0#w$GoI{H88W?(u>t!gFG@ zmhbqnY|g`HT9r+6-=DVpmOpK|#lBFzf`8tXZL9B}QhjGt*|&FU%)<|PJCEH|`+k2z zom5PorhdV1hMh;>IX_Y5lhZ4=sNAyW(9y@K&vVxO4|tcTJ|XtaeusCDf23|||6Kc& zaUI`;+B>p3{2R+#WFCCTsBGE%_^H73nKBQ*{VnRbd-;hWpPibzg=Mr~;m1hJ!)IGR zu~^4XD}GZSJMYnt+eM9^qvkz)#a`68TmE$Mo4+yZ3VvwsJa$w53ETAgsp32SPQR1% zectVy2z_sv9JO+b!l$Bo+a}K~-tmurYW0oEC2x}cZ!D5O?mx+Pj(x1$gIAWD`ge<i zLM4W;K;oqGoV{Uug_SF09)9aB>baZz#E|cvp1MV4|C~pUQY;UjZGXyHW~cZ3hW-=H zzVp+$=fta=FZdd|^T=J%Pcqf_r)tZ@sb9C)?U`3l*}dmLuJ-mDv8TLc{zSejD4M?K z(9!zT=fN@mtL+mG|9YADeBY+-zqwEB`nFHEo%3FWzrb`t?HyY`xupDZ%L5NLKM_3l zZHjZuK9|0N-P>i7%j+w9nwy`nsJEZi)DyBie75iti}m{{#c$@T_!ri!ih1ydr?PFe z{|VK1cFN~BmN&*c{E)Qs*v*){f}gsTjki}n729oo!dvE#$Gd`}*?SHhmE9Cv@V8$5 z`-bA>Z<7AcFOolgZkzPA?)J~VPZ`&3n^b!zSDSz1_GXy}1?iP7n`55}O!uFle8(=d zZ{zNEn_~w({0cTrpY!O^)S|Z8*G~w)kx_bn!#-%<qaV6Ojh}^|NUpo5I(^6N6UA?y z7PTHWekzsz`~<I_y}EwEZ`Peh--SO><<pyPJtszUeL>;cH#M_f-#=mdMkhu5_x97Z zGXJB#75sCrY*@Yjq}{uJy8Ii<*R$tE*fa8(Pj|Pt9mQ98bGq`KcN)iU)K0NEb}+A~ zX|nohO}%-F={qXd*&ICA{Z!`n`U%S`zIMJflc{fHe}2kXCRSm3MbSo^<DYlNJbb$S ziPZP?6Qyl-ImSKs;$GC9zWt>4o*mBb3f_PJ#Kr%9%G~oWe)a#?{Qlv}#U0(ReV^LJ z<*HU!d~m32SS*_&?_V~py<*>LnFmjWp9sFQ_vQPT!Ebr!rAOb#p7+eXA0JIUC49#^ z!0+RP^P7!ro_)T+Iqy?tRNrgiEA~fMm!D*nyYc&l#iPGRg#0V)*WXAvys0qjUi<07 zs+srt*Q|JNTingtY8uB<)SP~PvbcrpNnN?UE^&|QG|DS>Zr^k0bNI<~JFV8A{8z;9 zzJ6+~jYY(}$5$6_YJY8hg4w2a@|(vWFMbl>@1M$i$J(*a{OV)V=N8T}pDMmqotj?J z=zb<R`Tgsvn&q=s+NsQc&|woa^K$Ik|Hg+bE92Xrx|Ge?p|*ZQ@lL7Wy}7S>Htt{g zZT<t*c@LfPgROM?zHFPad6(1YomI0Be%!Bky`sl>Z%+x=nbTJ3jn;c}3f!)*xc@lQ zp^bf)uXXX9f_aPIue3YdJp0MSyl%fUKLoaaVZW31YwN4IvL*i*;~ssfdV1G+>hy|@ zi}xIvs$P9R{BMrB<)NR4pU5odpPp?KTmHxRPJvzVoPPnIuiw}m8TUX+zwndtQ|&wd zH{SWZrf#0roHuJ8&kPWMyeIJQ3qcuuJ-O8%fBJ7ZTXxxOXXx!Og}0_{&yfCk>zV!4 zvL6+>vs<_QRnL%qEXwyH-X?J8;Xj|%Ps)Avov-7cce(7BNbc_&&x?7dKQ4V!%xiOe z=G^lR&u4%8(meS=-<`)FPsc5g?_t!7SNpx=Zn)f|iwlbyE}NfHwy9n%^XTa9Cmig{ zPZ;|7O+UWF!rQOly_4n9!`@GL`t>KPS45q(EX$j+{6_o<)^p~UdlTBU!}SWc&$T&z zudk@}u=^>~b8_0|J8CBFIq>%KQ?cFWr%t!1n0V*mlc%4!#LFK4nscrGbJSDYH!^Cw zZ`4n|bNr*>ruNU<Pcz5tb^lgazRBjuJ@+RJ?ef#zEwrBqOn-h_Fi(F{@|!wexyKKr zi<&0CKc%U+ZnE*6J1YJgJ_lPK{|73rrWZASwm)qgb60ixj^FETj^C^O(!KD|!`i1( z>9<et>TRDczT>WE-p1YCdyX{fms{M7jeGbga#OL~^?LuE?Q^G}();#(;%|$4@!tyS zCfFRf`fyYKZWp;E{i)0{`-0^jzEQC}@^ocU%WdN)61(S5Ic{MQ?pILMIOox$B+J8R zW9B6+pH%!NUfI8}ra9)pANNl@;oDEDzI!*}`HkOuV;+9++j;D!{ZqE-ee*1I3U;r& zlk~mrlMp-q6ze%}6xJ7P-27(F`LFjw-z7fp+|>Pd`N>+D_lngP@+X;N-m3oIQN7gW z$i2p*hQrQJ1kd$>3QgC(g6bxj<nMPsNwCXL5T5g-sH@xfDUbQ{6T)xis6W5)f0516 zkKs?4o=-n*922WKeaG&wdCBkHb{=}@w63sh_nilyu76_MUOy%J&A-^VM-S|a+9y}P z?0m{4KKrvv@v*P>quwPxcihxn>;LZYkHAgspYJ|lTsKeW_m1i|n<Mu=KVfLspXP2+ z-753w)77Gu+Yx;mt*0Hgu<-ILC|Wb;(IXej!)L3Xa+di`EPgXz&%dx{TFiq#mp}1@ z8}B@Fclr~{Z+cU;W$J?06_!oC^WaleW#eu6Q@UmOQ>R<}SbFE-lkK0l#J@Q`{v7ka zUgLe@^Sql!|EX2k@9KZ7o67&3e**iCsts=*zf1fiP~AU`S;j6-?qQBb`;MAzG7rD~ zEb6&C{baJt8*Oz9O9#Kg!VPmCKI8qwV(os~@>~D(<re3jFg<@iJ$=Wo2{Dh}E&e1^ zoqk%B&tChw#qNN-g38W42i~R@b>GcD?JaYqsC}~h3GJ9a5oLN0e*O1(m-sw<Q}<u{ z6SXq`L%$XL)30n;UHzhUb^q>pHb>@Zvs>KplY97PVNu6v_Y;D7^2*0=)K0QFcJT63 z7WMNJgJbptoGTDMCH%&vsPFIfQ^7LwTH+S<i){{HjeaUro<I5djq3iGhaXaQ9=qB8 zlx=!&W#euCle%T+r%$)o;qk8EQ)p#tw|2^Nr*;3!pU9Q1n;iS*zRLH)n(j9b_e^Eq z@vHaE<9Gg_1ggtVGV=Y`?k_0I-+NK;Q4zQ0p|^jZO6<OUI$7q8in_(a-pL~3{lW7d zKGXcfVtsy6@tgOW{)K;pb{_b7?-Nh>?~|(U;?&P?EZ-6H&|qTr99d8+tbNacx4)l= z?OuM$TV{@8xy8?xJ%^5_r#$D5`G5Yj?VA{#-8bf+u9d0x{#H=8)#kv}@2T?T=BJ(C z+*M<@*yAks@J)G9$Laki1oOg+dSc!4b9xImteo>8MceY|(QQR-v)fMyzj>qc{Koyz zd5?Z@7Bzlee#$t8wWxEq_=(~-Z&kx9EU!uzn!Xb+YIc8qntM*1%JzzHp_QHD;U{F@ z)rQACd|0~avuo`CkG@6x{`nJQW40H`udh?CuK18%*|2zbioE|nZT^pc?%m37m>zvX z`ObYezK<EzmWN*Y74@VuSN4eaPZqv&JJ#>xhsdI~<JG5xZE8E`Jg&;G><a&Vl2uMd z<$OWOj+jTDO6NcRvDkU&bomL>ck@-uD@s=FIiPvJTJF>P8L{`SOFm$HFR!<9{rhXb zLuD&ZS9CAGVlAF&e^+)@d12M^H;Mn>Z|cwe$1wf-lfM((U;jPa_t&@Z{5}4q`|kSN z^C#QbM)(z0EU`JbH~ER?I=?CD7Pg-AlK-3RJa*dnDXSh=W#{7ar?ub2DSh8}r1aOW zJI8O9KVg)M)8{WN-)wVe^Zb*7ef7Hih5yeNb<M6nA#79l<+I7rgK3|5)^D5ke8-)* zbsv8`{KQn<KXH1+N0rLf&*`W320gd0+IQ#pxwuaZ=i^oR3x!TA+gQZ(75q-C>{+gU zLX+>lvVXyQk;<;s#!o83*KQZQu6soD_1gauPg~D6Pk$oXS7C2kyXIAP%%$|_(r=d4 z9NW>~zQ;cP<`3?#pMxG-Op%_m-z)CH)x@H1cK4IsGP2s`6-A5o9J;%5Q}M=i)4vDq zY(MOON^f4h<GaUCr>F9N*PqT_QL^;S<4<ap9k-*OFtA6zs53Zp^6ygtasEllcPff{ z<~G_K`}g<>%W?mS!E@|`&lP;NschOUe%kWew`t2Q?)d8!e6y--JH0XH(Kn6CzPpc~ zaIwFikUeLQe_lah*Pa7sUlw)G^*`bLrrzyc!Jo>?*3Us@dKbU0*PB|s<M*;RN$<0E z_8)$KTJBulH1-{PLcbOM_O9%>d;cUO-#?}Pf=!d0WA5m>@3`smzW&&an_hCs_0g6G zKRQ1VdCouGIL0<=-h+1^i~9C1KNT#)R@Asz{Dg7LJ|Dfp-Ro_Rzsr8Y#lC)e_8b{S z^BopZaSxtV>^ypI_Y>7`>P793FFz4IXRl@*|LoU%-R}k8BX1tPC;r5aZ@*5p#qV7< z2cB+EmET@-!S+brVw(d8{htW>)#<hG_%T)H;WPfCp1J!^CcpU~?N?CazxV#kM>WQl zho@@$7i^z9Cpq7}vg@$>Db{mx+UE;?s_Z=S_VQE9viaKK78Mg?9zS_n)ZFb6_u$#q zqVBnepQwJjuTpMd=N9+y&)ZF(Mc4hWej@kIZ(8h|T9<c^KRn*lzS&9c!Ka#=$KSes z64)I-nOUaBS?=MJ-9;VUub*(3o1Zi+tJCV=_@CSI;Kv}p!hh+Ohp)zdVk!SWwfN0l z_xI&~g%$BT58O2S#54W&Y1O>)NzZTGbzN8R;Iye<*>vd`8}GOWY5qHpp7VaH`tAEf zYnk`@>kH~8ys4S`x_-x<!?8-cZ+Jho<GZg}ZDH*x_ux~=&Esz+KMCxfKZRLlj&^@R zQKJl~7Cv+~rf*~L$>cZj%IX&T9Q_LaDO(=Cn)`{Ry!?daw>o|Q!iwcF58llG#529` z-g}+G-79U5zx(@yi=BT;_MA5g<~wdg#yv<2-+A<$@Ke=q^VP~N?m5RjtWmL!fBfsc z>i2^0UN?{4Yb=s)Z$BY-u5Swaj+*W_kH4{0cHAvL&B$k`&|fg=w4&a*Dav=|C?CIJ z=`WZ3zq_dE@%j^*=k%w8YGMC^^0jl4-@8?I9d3<z@TRP??R5NU)x7^2=QsW?-+Aa@ z{Sztm@0Whh<<&ErF23VtRNltV3YDF;`y{SC{1JF6+~U4(ncmZ1_L1)%zLVV4z4!Ua zTA6(j-wM8QRW_UsKW&$nT-kAVqs)T`8kH@Rlb;HRtDh3gyQh8phNY`q^8XUcgC8S{ zx<31#mRy(iiKRS#Qt_KuE&swFzB>=x4E@A2{rYLuyn2oE8>?5xJbW;{sCV-HQ@nas zm5sCOPw1Atn>PK%eV6z3Wfu2>;vW8C++_Uxmwm*$hwl<Mb?<dQT`OZ3_pQJT6eio= zJpT6clfZ8M$;>iuK#|iR^XO56<)O3EPb93}Pba^T*H^bV_eA9R`{~9pwI1^xyjxt< zw|DbXO+I^Vaf{sn^B#T@+Ii${>L;1q_or#gTq)|EEPsMmuTDq5;Ir}0qvzH?QT_H` zq1@u%>OF^k?oWAcwC=y{mw$o$<+eAE&eQ&0xMS{{hi?{sVwm1Pq4tiQn_SX&&!UFr z<)@YB*tqi*esr=t<g0PKqH5Z``;w1;T`p=0H?}<59CWUrWTnl)$@!-&=f$h~fBaOn z^T6NPPbKB<YE8HJyVU0R=KH5a<4THptF?C?JiPlUt6ct~*Vi7soc2lRI{yUgJyjd_ z9BOVqeeU|!Ub(fo{Qh$KyDRLb{I2+!bMx?D@e|B8RWsi_E{U(~NM}F67$>9CU+|v8 z^2p)EPdWPgrzhK-ePX!ozP@_JS4GRC&HX1=-~ICM&YbT@r&S#LU3~QMl<gH>^*?xO z@0a$;?yKK_;B4Kg@EsNb@8ZuD%Bt`e9{B!V`OT-lzeTp^hx`?po<Hrmg|%B;LVtb! zhC_FYr+#05;ooZU6V7w?NAwl!nLa0Jeto{dLCNP+A2azErateDwmIB4m;KuZ8TK8A z4A&L5Nyj{3U02W@z2^wu?Y_0g%Z{2QysmNX5AQj?@0xR)vdpKyneR1E9e(`v$Icx} z@efMM{~m6XT7Tm6-K+O)Lo3_<&VN~bYw^QdH66#FoC}`1p6P4(6V{(Kv37^KKRwBO z<v(xrKZ)J;*Z;Bm$It%$v18BC)3#UdKj^9X#q%}V?qKn!4>M0ap7@LT&cyHYwwlFH z<j=eQG4x5d_{#lTsy=<W`D%Mj&+;dA3v6mu{<6I@LI3(w?mO9A|0nT(y<WZ2{y1ah zmpNO1ax8!Hu6Qc@`hfk--k+*s?T&kYdQ<so{`<xMSgQA5_{jS`W;TC;%e}<^p|A1_ z{p_mu{nDNPXqHV~<EMuae-+E>R{s6&p8a{=%sqzx9sYk<c8K%mlh!YjmshyS?eVCr zT>Pu{&ZPC%o_gQepZuA(_i6W4`yG*gS@}*L*N>d-Ug7j^Z%F0G<gdGTD8;WioxMlt zeEhP1|8!rS-|)ZQYTw1U?9YmFldTti`V)p6Fi&5-{~Pnyu&jRRU;em_X73*hR(9Ww zU%CIF<j<0PNWc`xKb?Gkh5Z59%KZCVe|FV;$d-+FefP)juWH}P%i*i;e=?jm>G%4e zdH)>$%H^Gy{`wQ^yT5L~^_9Ms*9X=2F@Jh-HT$!m-^sgwr}Y0{RL4I3$=6*qebb-Z z{P!yV|Ehm1)!wrAKQwIp$>9EE?oYk1+bz`R?{)ht_*3NilaGz3W>5X){N_*C@Av!5 za_=2>{>0Y*Z|y%W^(T`f{!Rl2j9=xhlhdUC_4h}Ay1J{T^Y{MCAE&af-2Zyz{sW3X ze>~V)*`ogB-s`FT+XMD@YkxYrYtJ$5Pk#iyZjWANfB4_~(wfE2*Pl7tsFm{t%k6yi z{si}@pSx<>#Gjmu_<Q#6Rr%!a{I~u;_^`E7zW+q@=WVa@3*78#n}4}K5w=n1?+=K} zUH*@u{K;PB>(8`59h5Jv5&c<E{dKy9n*aS3b*GP4c)i>2S@~1oYxNGr_;shncYIfW zyWhg=@8|Z_pd>S8%~^K~)$;pm?$j^&B^xv8`s+`Yag);BSJ#^b)Hm(5fBmB^KN6B+ z^1~qk6Z&_Wf1$6O{NkEl5ntPHyxj8t-^T3Ea&nW*Z#<R16=tD0UuJpT>0ldW{-CFm z)ieIv<(&+F{YmxRf7j#Ta_j4!pM0fX;9+N%m@D^?bLV%nr{DhEJ1V;K^lQ%FcBh;6 z9IxmNH<N$-t#-zrx3l)2uW0*gUHZrFvGjib;zh66d-y-j_|;JO`(OWOzN`F_?^o!r z;Fnzgv+nYoUxJT~_bjkWyR&cq)IGtU-FuGT_`AB#KJm^zd6N?%_Gx?i-LItg-2UDh z^=ZmSw)88{dv1T9y6pX>Kf=e<EBtB??x?-5S+o7s@*egbi}$76*{6TCy8l&r&-xYe ze|o>V$vy6}`n@meSKQb0lJbu=zw$o*R%`yhcGY^x?*-1ar+3uG=Xvf+sA;UOzy7hb z=l1uvU6W1zPx>gse#QF!n|zH8@1tGchyU&RCFr-ZeC77{**k@=ewQdO@Z5WRNA3O9 zYVVEhj(fkVJ@)o@(oJ@g{|z5ms>@&2u&ZnL7jzff{9W)#N__c=bM{y4twZG=eR3{p zdcORm@th|`-R$zyerun-{zyRIeo;-+?#oZP)}NaYe&gqiH~)h_e?2$l_>H-zSl`vh z#66gBT(kCGtvQR~xi}5=icdzChkxEH>RUcB=D{P=qRw>olcIY3lcjC!gYpW$rtLiZ zb>pVbx@-5p$lcWa*yr1aAGMqMCr^ILuzdMR#dGH-B-{MlG3UV_8Oy_$i=WDf8}B@@ zS^t!(T%1z4#g34?4==cO9(}m|DW7}zX}_hP``1s7wfWQf=3$w8oqf{#nMDa-+fOaL zDzA9FV&)0XcYPC$_gFce+c^0o>$|=FdK;@Z#XS6%S=7AO_=(u`;GKs*Z%%!F`%b-` z^6njW@!twQv)(-3-Tjndd;DbQJ$qvM3d2uw#>J|p-`MGQuJ9l8&I6ZcKNS++enNEK z-<Z6D%Jw^teg?fO`09RhXVCNb`<lCV{A_>o@MwLC{PyoRvJRgwZ<y@+L|}RJ3Bz+S zmIo*2KIM6S`?Te}cN*e5Zba&R%n{#t=xJV2^K<_x(J{PF`EGx|IQRXFKW~4((A)NY zarKRT^>PnRrgZZ@myg<i_x*=szvo`P{g*F)&;5tJ->vt2tZO&F2NIRNSF!)F^!xIP z$A91Lwz`i?4zEU#WbD$<_aP=(>^6Tbd;72cfwKSHYv=!t&-r@izfjKi{XDn->K`xr zuf5^!f3KYH`?+raU4O9bfA+?^|E+Sq+dt2myZ`S0n3%%<pEun7Uz78FKf~?6`bWzC zcW=B)jCLuo_MGqb{I~y}KVJ5qd&AxTPC4KAOWgjef3WQT=?!=P7v+54&vg6m`Xgok zS8urcUn=MOezDts*B>tXUz|1f|FPdlLBV&u`o&Mjp0_{an%@4Kl=%DS*|RqLH8$+E z4^OJLUw7!+zo_Q7e>aKU{v9v7?fd3A*`@ow{hQML_OA;6?ceTp+rC#b<-C92a^oGl z;kNJjLOJh`r`)&~e{$nq`JjaA|BH`&t8;CA`?r(r_HSO@ZQuE=a^|yJ-M{?v_TN)S zzg5m}fBV^5{`T`+#%<@XJLSx8KYGLNzt@J^c~cXA*JvI8RvFy*_VYp^{+;u~((L53 zHrzcQmGixu@Amn{#dFWyzqY+QxAymqYvm2Owe2^qmG|V<s^7R)p7!kXGMU@Yy;--N z@Ak@>|3Bo0-TBN7wRV@2e(za&_}lN(JL8^b&!4{IhMoM!4Yl(gCjb7Ubl_X1OUK*K ztrGcv_AuQ3{abR|_s_*S`~A6JKmY2|nOhrnV_kmp+h5ymtjkaT`scU9^WRqg71w{R zsyOgj;rf}{Mg6~R`u;^$+3rq?<KO-N((8x+)Tj0rG#lGkM*oyye||zX?%~1xrtv>D zpBl;)*?g-}JM^ta^XRuf*<Ejc-j%ui`6%nQ>$kOY_Ur#LfAMkCeS7De{r8n}_Ui}d z?5|&bqi+BCjkfU-3DtI+4t@Kx>_79{{ZD^hVyKo`-p_1v#E`F`&3(rK#dQTO(K5;H zkEN3N)h!Na_7%1n+Z<#)SJ=9I$5Ga@8_Qa+HMbwHIQ46V#P;W#b+<h~?YH>&<$3kh zH|CvZzY(W@<VIY5%8hyYA{CE6^XHsTkIFeeeU9|j`s#mA6RYdQAM~CV-)Q^)%m&;2 zx*Kfc^*;7|p7&TPyrMJv&gREQnD-n|zVpa1?h)s^4?OD&dgsnA`R9G-u<ffDn*+5r zhveiQGtNu+Z?m?4=GDh-Ukw-CyLMdS_OBT?YWB#R9^Ph`mGe5eGq?6j-HOkfzZxFc zX6JSTq97q!-Z<y=;$z$Frro&a{yy#P{cFb=ZvT=<n*W%upu^qbpyIj07H^ryZ2g7p z!f%S^XnrefbGA9id9JW;`Hmy3c^`PncO22X{j@bo-Y)LJz4|Y|mnGhb`(Jh=&VRT4 zrN`Opuh-_R|L>ghUSH+Lz4a$H?)@M5sCRw%hQ0q@ZrHo8sD91g+pkUKZ@=CzkY7{V z`Sxp8-`lSRoo~OEwmzRz-YdRiW7VDmwSAk9I`26m_^zNUyrOIF{DQh2?cz6%YTr3t z`|RTf0sexP+BuID=RJCqw6@>!T;YLV9V@nfotP9YADr_#xi7a?XT#cl(QRKZ#=rQJ zTg$U?Z9m8DUmhFR_G@nYYIuB`o!E_Q$GL9*dU1czUy#S6<&|X$?kM&ZwiwGiX6i3& z6~6OG#9Ai2&DQ21<GI3~<raro^*-=~?>M46FZuYZ8&x@ry|>yi*&Nb*y*TyGwf4`! zw)3{x+2p)dKCsO$Dd)BF;ca$YIj<KV+GZD(^ZM}4(BIp?K1__3XWaHxFgaRYa@*Iy zglKt?`yy=n4>Rc(c2~<h7ve8ycduwYeq*QabK`@yHiz}r70T;xY_HxHZ*#y-?xCXG zV+Q{Z9OpOu=3Uz_WxXS%wrAz`uZ9P=*`?jM=H8oItFv)!|Go2HDsKFeNQjnK&Uu~O zlUwVvVQoLizT{|m@0{0*zZb(|J26^bkk7JTLcOA=*g8Mvk%+m)VcB^J(;uJNnE$-d zIpzV&`GP+0m`9d*1+CUG$=6@q_?7cod#jz3&2jH*?#FlR-B+4_{c-mC{MwxL`OZ1( z^M!NP=NIR!&-cz*pD&%WKHoZLeg56B-`lTmx7&7oyW+O%+c~#g-)_0>`gYZA*SGU- zyS`mF?t_KSN3QZ6=L^?;5U}2V;iKD*_TV><G~Ye8{Pux~{{z?W9r?eHI?FuZ=r8DU zwmB*|@9~;zzak#6RR6#F`SO&iX!+pT{pXKAzj5t2)9qgoiP7?Z<6nK;^wsdlHan%9 z*UCq?*)6+q?YPYCUl9q>^4dAC7uUCc%YU8Rkz4Bm$_&TnxHlPF=f^zY39o31o|D}E zcvjN+bIj}&ZOJi@c+M9#YR5d3+P>o;-)+|D^RI5O<+Ph;)E=p5P`=jwxxUIKx3=QO zJ1mi!6fJ*l{g(P@dAV(01rws>4Yz%rm>4b3y6tOVVzj*Owyzf-s`j7f`flO({JPaX z#&-_|%RWkc|Hx8Z(Z;^xm|ff>#dn1=jB*bI<sJ(37q+Nd95c2#X8Nvf#n+8Tbk9Y~ z3%`2%`M%_~^V73)=7;NVto?WQcdwlN@0!|%x1WCrZaaVd*bTe&vlD**$!~l6`KZ+G z=Tp6N=C^;Fc58p_KC1)YD&KP4ets}HXTJV&i;bU;Sk8MO^nAy`;5!eQc9-3`aa@(} zqm25FLze3bJEiYDV0~B69lOnY+rFzCd2gP}yZFoFgUIzY{NJ}%)#TRxxN*(BBez!N z#x?i8+}bHOuDLho)|TA3*8bc2?fq-*{kgR*H?Fxi<<>sAam~Fmx7OswHTU-1T8sVH z|ApJw+1`0>5o>d#Rwj{uc}2tW8^?=nj&RF75S4pqcU<z3VqZZ^@|;J8^B%FBFYFS( zR=@k&ajy0oKj+V@_W`AQq+I;pv48#Ae#LEH1rwv?K{@>BHoIp?X~I71|2Dg}8`s=h za%-2|xOSW+eNK2Ex6M&ey@Kxa8xMDQy?JQKSJ0Xq^O(nc#{uq`hhp0+I%T)XM)$w% z$~|!H=VZC{65s2Wex3MGPHvN(&8ys6mK)dHdva@2%<S*0>uy-v&vpA(#>Tb$&(B-g z;g0o(CAapk<rn{Man4RIfxrI)L;uEp^@@&kivy-|4^{aJ`ycmwU^rgUa=fBP*yf<- zyoWs3E1IV7_&N8Qd;do+^)>yHkH7u&?tS}ty6U#`&#US$KF*%MpJzkuKchq6ex8=N z{ajx-Xa4>(8*2XrCI9}D+WGeL^m}t}?XUf3bl}_1(;T;->nG>T-|qv`aMbd7<bz9c z>~|hq`t?y}w@i9#ZOr2f=eX4?8jJ5d64Ebhw!ZUFwCtu;{nf{hAItHr<x{V?lv(@4 z;;8YpcK^L=`vq?Q^4PGpU*`5Njg4#j*>3-Ov0*L$_k63mXnDJBUj>t*<vF)~otPXg zue$B)#e`^i*==7R{)+__gAeVFTOM$J^O%Fbu#4H|sItv*#kdC?>kE2*%RHChFKkkt z^GGDUqD#G^uXxXa;5~;9#zx849;>~x$!^+zwf)iO-KWjImwecv<Mh0k3-J#)e^wYj zHU7jA{>1e0=jzwLPkX<ax8%M1`ht_!c6wi5f3W$iX?^?eilcvczsa7xpLhD>=kNYf zZ)|)k|2jPV_TBy2j)(i(qw<db`%$gJs`oA6|CaT4{@I;mQLolIFSq2*zc|mPW^pa~ z{PlPK)p@quovy_%zvj-rd7cf?|9-DJ+^(^G|8t`QPwifPFAK=4zkl>-<dQw#1D<~S z9vAlHA>%3QPc8fZUrN#s+jk@I@3-#>S$96(&t7Zu^xOADuNc{7|8Dx7GXJ+%VvhVm zoBA0=zkeG__VowNvy0jJ*LJ4ixBH81?nUnWTRU06`nYC!WW4nL`tKZ9ze{{C{AqTz z`t_^uC$-0>->BaG>Tl`Sr^=@P8$L2Uzrz0d)$#tIzY{)kSgU9KD~R1|9`LuLP+a}W z@z<}!p9nrS&0Fbz?dtR08UG9)OY+@c`1s_ttNn6oe@*$QxNgP!vg@^KzZ9N-_OU#E zb6!#JU-1*?G_UOUkb9J)Z+Y<PzM}sjSF6`gdK^>6KV|ui&Zqa<r>e7`WPE4wudlxT z;hSZjrE3~~%IP1!QQ2m5^zP@UY}@sxSF5ky51Ozmoe}fsQ$}THy80=(et*k}cjGhm zF`f6D{+VBc|G#$n4psTNYxb0V`TI)o-TZ}B&zn#Ej@Ed8!ugYBWmWuBV;e<(zrg=V z-uw3VYJXa}cJ<pA$Lr$d=B)b1`M7pYt<Ujr!?-^lc^`fVzRF+we&UROlRmPQr?1}s zL*V)88q0a}7TZ|)?kwJIb0|=8_s&m~?i{rInRWN$*MAG|m)G{KUsmUNSLXT`f4en5 zKhNH}UxeRY_qTlDya%G^@<R6=nSB1l)0eB(->h%c{`67u)MfXVAG<$v-TA%Z(>JrT z&y&7y{={^AZOmiA%72Dm%dcylFL0Bav$Cd--6l@=b#lvN>8tW<Pam)FoR>5`_t>@c z+q1LkIpa3|@B1s>bNiom&hPwdPj$EbEw4CKcC_r+wxs{wF^^t8FR6QZytL-#)K3!Z z&rjZqJQcj>$lv!`@ed~1{BL<R{YGr2?X91c6;*qGE%sk^FR`X&@8_xPJ^t$^C(pT~ zqF!;pEY&*c^}Mw|kKZ^b_}RRoV(YWj1%C79FaCG)`_%LuYVx&SKmV{jb$)XuWqH89 zj3<3H9(E6R*gQMGaqj&&|9U=3`n~A4Kl}0Fzw{?!<~#mZzO64>_ep?#{#5mfR_&NN zzn@(F=O_Q=Hkn_(&*m6+%!8U`HI44`r{Cqi8eb5%?cQDPDgKh>A3A>Rw6(h*R^NJh z^%JS^nDcDr*EQlFeB4^p9eeGu(C?V@eC;v&MYlaJ{kQV#+`j>zzZU#V?rVQM|DySE z$>)<}R(ziO_@Vfd!lnNh-<`1D^XN~s&5x#6zu(-yHo5(;?9adLptaobPpS;;jv9V$ z6)&_cc<)`*tbOPAqHpu3onp30iL2hz)IIfgS&YTfx=!zym_@%-`}XNni)Yrq3xC3K z-QxJq@Tukh!)n{IKV7^&Mf`uD-H~(CQ=SJsJ@+3RBI^1l(=8PJpS|*bzwo&AG2>4M zQ%|W!YrcOxt)}zw?ydh>-%bDY=Jl)X&z*y1CLN!yz5RK%;=Bpp*9YXCzh1RNDZgO; z^J3AsiT?W*{`>gM>YvDO@z+0^^0nme1n=xW{zQ9^Lj0qqJ%6VCYX2Tq-zfg%vDeQ@ zHizD+-rD~?_vz)|EAAgv{?uA2{M7W^iO<?s?RQT9#B=_n`~5(<uT%I7-QU%O|Lpo{ z{psNJ(wgE=H<!Jd|8R!QPOrav&rUgB;bpfY>gSw2{nDSrZhwBu_I=-?zy9k3>(74v z$jNu&aMVs)dHyp`_GVAzudv&vq<>QTQ%baKedqKiFTY=rzvKT?qB{QS$Dh|V^<$pO z^_`e*aVP30)AJ`szrK1u!~VEw<(Jy0=l))`H>&sjU7jBhH_5ufBkpm9^w;x+PV@dc z{C&qfMZLn!E@w*k6XrXU%5UuSJAV1mzokWmi+?%G6vmgzFaNuA$06Z2wsAjs%y%5S zDLh5L;I~U<>u2?of9qd<kN=QT+0ecGgtE*#J@>HlHims4C7xRxRP`(DHh!~V?uM^7 z`|=-sJSZ6VvEr=6_T#IMeXG#fI5+?OeXDru`F7`4elF-_-*M3Hoz8#7*Uca8zCGWy zZ{gMVOOES<+VSr+^$SnG|INjJ^IDGbHs0G)-Zs6R@^;eWnbCd6W#S7r_w6s=+-H8A zwepMdQ{BJHdlbt5Ek3^ap5yWNiP7ur{A!*u#@(vfas1lfjo-|V@RjLb{&;oA_k^?N z$CW?5QGd1l_524tH9I0^^M9CAbEfWXjoOX6dCP7*f1cUqyiN6XOYZSMta*jU|D|nz zQSoffc@h47>pu6^uex(Bza#n6zqQ$)<>pN?e{=oZ^26Ugzxxw@ef{I-_m398`c=^= z{p`JA+{3oNzIm5F_Q)@KJ^9hSr&jYHq@Q~G{QPmopINc{j%faz@b}hFmm89s>|-8r ze!KB3hk0A@HpAPWo?GqX5#N#W-}u<?HQ$BO`LF&vzJ2xn1e-(NzwU<ZEN_+T@3Q*+ z{T}zHoxP7wUN)&ueq4Rxr@l%2*^0LCE8Abca^D~Fx9OvzoPF%)($}x%T8HdU*|WLs z)!hG?e{bwxKQDOyqPn)`|5<hAv9+J-XYD^;(c}GUZ|T?O_?7$4SIAfYzx?Olu3yt? z4pcN3zhXZ2_Wp1G`n5mXd;AOjWc{_BRuEhJL;7mJ1b=~Z?Vfe}wujUn`O)<&!T#+0 zHLKUJkT;HdH0f7PZ0)b;v-6GYj@|n=%RWB*{eQo&!pCgq1jnyg9Y4umGCtus!`b+C ztM%*F|4i?BZn1D*l1<e*yZ_z)7W}e&tbC`w?NeIp-rw$5ulHD2IMwc6w@)qJ!0x#A ztKFqvZ_WpeWwP|oU-_8x-<q1QH8+pHoLBTd?{7`Sz2i5zr&P=SJ@fw2q<>=kam#+O zosT>8Z^rpg4AZZK*I)cIulMn^e{cMrUfefJ|6uptryX{ukMCG&_iyzt_n!6}cisOA zAN##gMrP^xV4-8RHdR|cSq0kJ2h4kXux`_L^SAoT?;i~=dj9@YZoO=!vt9i3^9A|! zXXoEp`tM6=Q4jMc$=_=&=UZ<3b<qBbed?b6;9s*#|GwSzZ`!Y}k8IPwh-;sXmtFMu zbm51pO4s>MZJ#?z+wGT^U1jro`LF3$R4ta;|Cs*ioyXPR7M4!mX5=ULT{fTeKl-oU zW4(D7?<c)qyDGn+aEr~N^Pf1*uUP+Y@z3_2=@pLk-{PM>yloQSH2bk(?X>g?$J#&k zUyp~iSF5kMZy)t~bItlUiTa1jSJyl3?=CJnzVmt8>%{xzFHU5G2leNc7ykJkQ2(jU z@|g3droUk|^A{Znv){4&SMjmm77O>sUEd#Z{eF;r`ksTvxBu@o`TwWcYM%_h|1|4g zI_2*cewCcF$Y%HUU(vVq{a*0DTU_%~@apf1KZ!ff*G%ItDB4w&FZ}hpM0ufOefRJ5 zmHQ4}UMX`Sy|RP*)oNeU{pTw>rGE(@6Q6&z=JNIoTh|`{g7-3g-zA>D+_|ZH`jg4Y zQ~77;AHBCcWd7F@8_mz^7E1l*!Fk7pAI;gb|L3he?b)B$_|ISdr}ajpDDU}K%bf?p z>~A`KPVZS>;qkvFwtnwA`yK0lrT6@{Sa|>Dq@Vg1{#h42|6Jr9pJw?k*2Ay<a7A<b z7xC!Kf1i@BKEI*=^q%e3eY)=-KU6)n`S;5DqklN%F3ayYb$jQlTABE+ndd(-^y>$| z%UxU7UnuVXGJj+A%6$ianm;}9>%{SjuGPP@{|P=;UH5Xn!Mz&)&rgqM?p5nazwvY3 zr+*)xTt8)dXHxp@jC#wzoP6tpYX2}i-L_xA|HSXx>&{m1P|Dv>zxUbd4_!5B$7|<) zvfTFTB(v%NDIZ0Chp*ljdHw#n*ZkXp>r)?B`0T$NKF@5{dgb`L3hQ(BKiONI`Pb51 zEB|GD(Dj7>?5DcfGymQ6e<H(Qr&E0<eP{pQ)=xRrUw8MYTP(9rxbyGd%MS-v$s5@n zc$zy)KPLSC#D0nVjo*#09)JBRo&Vw=!N<XKg6FSU-CrK?cg9BnYy0PaB)0F5zfr&9 zRq(Ou9Y3bN`ds>z_h-vTuHE~?YB#Q{+qC%E{96|1R_N#c@%zd??^Sxw?;VTxMTPHI zns2=C$j5w>_|5C~-SPZ<y+?e<!hNyf_H{E}iTAi$L}dNTi{1OZ;Hti4d7<at;{3JG zf8~AEKM{WAdc~%ock5Q4FTeK3_L%XWMfak^?W<Z|{qEua_+$R8`|;uLZGyfsAG3ZX zekJ_L-m~wvKdemXRG)oH`Ik<AfnV)MjkES!3S%zH^Ph-4EB@$bSdr8H2{wmC`N{(8 z&+O>8{x#QKTRwmJPpeN)w|$yocRGE?;(bf&4^=epWnZ=bM(AgMd9CL=_BY2|Os{O- zD?2s4Kd}B}MU(Td=HpuH<rh}T_m@qZ{v~qXp@+&-t9P%gKe(gY_*e9vs-9QUbKYs~ z{(kY-);ou9CQl9Tzx;>qv8vw7^EFSK)mPdb+^l}W`InCTO`9vn`<KuED6suYwSRz| z?cB#lyO*CbtevL5W9hxhMZcVnS^v7beC2+n`8Mu)h57uIPV=7zzp)Fi{HStvzR|qL z4gY?eJoQ{Zq_(47`J4Ovr=omuOKd8-esLeGopa&+n{_pktM)0&zgN|NvAL#m@^tO| zm+K|-3moeWul)Jz@zrwPzMwL*i@!GBIm-I=X7JVfH|q+W>pz%0U3@*uPX7A(iR@?6 ze+rmisrG;VL!x|R@q%B`bL6zlE524)E;;{6!n$2^{k(-gnU8tDkzZs}z3kIX>8C9G zeN%#e>C8{~?|bTT`pWt<6&=aH_NHsc?+N{?*LP1z{7YoqqaPAa{TBS2^Re&WoPS+= zzL#B{UQyH(b2<Gd)AK9h)fxYG_CD_V_rvm3`}>PEiu3k+zk9Ly&dre5D;L>Stos%H zs^-qc_cs1Nf22H9j`w?F_c_w;fb3V@zqZGu-_$z%Jvwhz{jWsJ<9}oLUTg-fQJs>0 zK79ZAiYDjR^^KpZR#nOOpPO?0OXR#qA0D6DJMowDvDi5m??1Y_Jmmg^FEW<P&wpY{ zZ~gSDb(Vedo+GM%Z+1`NKNnuxls<p*^DmKcw{5N**AM&O1CBBMhkx`g)#%05IOe_V zK4E<)>G{%E`4aD6l<!~hudC)$=*}a1*Pqxs@7m(0wQuTtD?b{Y-JkSNhW*R&YR&oa z3x5gl>rbzJw%O*uLGvl>3xC;y<7`jN&rjB?-k&%geoC-*T7TiESWxrjm~_mA_&rXa zzpoFj?OT5Rlw_@RaZJGcw`>0$`PMD{tGRYcf8M1UyLHl)Zu6fO-wAmCcJ)8a$9iRX zA@$vtqo1&?uWNd_dC$>v{!_!(U-={YSoNFusm<Y<^S2f?v2Q=E{Y&S4?y|2>{ySC5 ztgQEp1w~o7cKjc=uX=rTy5Mr-@zSDn`>(ru%D+7S68>bb{px*3Cfma@*~b0P<ZoH5 zd(qFYu;2T0YsEiv%Z2$K^B!`suZQI_=BfSvSA%ld{->I?)6N&T*LweN`N&rNKd}B| z)LHwz+jl?w8rb*y`y}mOI{6#Q<)22b`8Vk!OZyl0^}%uZpp0fV9g@*%r&hDWGup2G zucYVX>F%DLZ5#FOVUG0Es|RP@PXx!?>#6+PK=D>v=`sJQ^6d6sKLmbB@)vsVFJJK~ zL%mO2zidkKFCG5^@4dw{!1*lJ|F7uJ6ou(KzH30T*}Fx1B(nca`3Q=!cJ2B0eqR;k z-W~h*V)LFujq7bHnxVN&W?{`wk*noT9;=_`{-qQDD5d<V?qAc#ym~M6`>y=?o4(TS z_~rBy=ftAyr|sUcz^;1nFYDX-c`x|yEvebE{MqNizcG~uYNqiQI`1tPIevUc_uS_z z_aA-f{e*3OoJ#wbNV(+aOHZwK`OMxk{YL%9PjAkiO0Pc6DYwVT@8#y2roXjQj=Qgh zX0z=Y@o$z_iMy|##{El2zi`j~qUUR0otJ$7;{40?C#u<3?mIF$V%^KlHI2oux|2=* z-#J$3T3@r~)5C|e^pAW#{G`wB^xWTBwxL(pkFVUn^xSdTzkaJ~<`~HT{N!6S|EG@p zgT7zW{>eS&{ni&$U%2Pld^z>!JL=bf>+ZcFm1Rx8YL5xaME$=#<@)=8z5VX$r$FIb z_`~n%z1vsi4c|TL`6ssi-tu2;%im8e{-vW|P&Vn)E0?qL4dWh7`u9fm6#Md3b_X}} zpDO;Pvp!eu)#LJ2^~WkYqJQn}`E2d{d1u8(!JSL>mEIS0&n;iMKXK3E`?j%vMSngK zF6yjKKe<<TtDNrp<nNPDb(d%V6aD<$Vu5{K|EHhnA@YwNE>E5RQ-{BxVBMz&dtZSD zU7YrRwtIT|{OWzm^5>s`+Iki{9V)&5cYI_M|01rQ`S0J+Rp&o`@h)<^e@sUFO8Wco z`lR1SdH)`C`I)iqXH87K@4SoYKV{6XRLckapHe7pJ$=>wqc68VVOzhi5tPmRmhQ2N z{2bmh{l@=gpWZZ|N)JEHDHo%<{>A1!+oxWeT;KcYhsam`mE46s`^#5-I{H2I{i7FE zH<Rs7*UH>qaOdZQU$Mume-;1I{&cTDB>vG!{-=Vq)7&kV@3Zjz%%3Y0{^hvk&s<QH z%|FFi>->o)+~UWEU&+U6?_7Le<MQ(d-`VRE_3IV=Uv92xvwju*OTEYZ#_y%Sx-Xml z|59Gm_j~_Ta31?!{q&~fD}71-0+0F+flt52hrE9rsILEVb4}}E>#5c9!T*~I#nZoR zuh<$?+kCnH#Jj&+<aECmeDi;LbtWv2h5S5lv+D5c#VqIlE&r!jJFP!Q?$zV|%jdz- zwt0&C?5sL*{ddZs()Pi$s^`M5_$A-JC^rwRceT6erB|5m4asQt{3}0t!s@e}r=N2} z<sUs{z9C;bE!?7F!KVi@U&X-<wx3fzJ#`MbKe1n42U4NQPTBtDdXM>z1^YEj>g&_5 zKCk#?aI<i0olbe7W9_%hujSj~?_7|tar>DOe)jp}Dsgy5(*tKT_tpE4z7&4Kw!Q{Z zpZSNx)rLVb+MZ8uich8cPZhS2IHg%T&40sxlcygmU&$NGJ(^MXzUFDS!&kk&dAi{0 ztb+gPIiJ6lk7fT_{uQmvU-C=Hf1dvJFOmBWSbjbF+!UJ68b3XZ14Y{OQ?j+wtfR9* z`AmEjB%hrVpVA+HvgXO@TGZ<7?dpGzx@Xn@lCnJhm-$J9-D&4HvMX$Md;GO~%*nU* zzxS!v*H_;=zPS0RVePc#7B((B!&liS-8m}xS2s>};V-u3`4b=+ZONxsNwAD2^t5^V z3cG`w%}>KJn*M5NMq6J{r=DRat{();XzN!&GFlucqs10=R?ob%d;c|1b+#|M@}nuN zI-9OF-yf9Eenaxv2gaudWB$rLw)1;Yt{1$|#`ml2&mYc3F7uxz&v|kx`j<O6#(wO2 z_J01#Uo!soTIpYM&lEb=mhJo{3@#bh1=v}+fBw1S-x8a}=RaBIt@yrgA-Fm_7gpbW z`TR-VxVhagLDgC1snz*e|GGX3{hl8>uh#qL8}6becJWi-`fTynC-s4qF|hh9Upu}g z@~d8--bCAHo9`U|7(T^1eAWHbJ&Wy^?^k*syY82ezusi`FOhN&=lptPJ*z(B@s36I z|MY%N1;v?{-wWwU={pV`41Luv`MuD6fAQ8&AGd;9-Eqn&`E2#o_?X2%m-o(j{Ez=h zm)&XUH+NUqtiBp=H1ENLe-EObe)SJ||M+76Q`OpO>kD=SysP#9%MERRoDaSK;7j_Z z-wbQrPutG9yKc`ezt7e^(|0Vf-yQe!!Sz-CAKv_b0?T9Xp?OStihKQ&ijV(S@61V* zYFlLRi{WyDhDuN30=5|nOE?uH87{IkuW<?U^Yc^E)>fbI66P0nF7V&!`a*996GkB> z4xxRYpX_`-?{?R$u=S5x&i%BD*_`ip_4pa-s{g*VjsEsWEZ^+y4z_yUzTv-SzV}^u zgYyqM>?|kC|IM&(+kM}r|J+ZezPhK2i@#2*<oN#bZ0nzts)zsouRi#F*%8sXpM`hW zE5~2%pE-TQ((TiC-Jf^0j*Z`TW8{_ZkN5CLf9qd=#r)mQ0#Eta)AHwD$8q#OKO+0i z@_wuLw`S>k{X6-k<7;{OZ#@$Fm(`hU^<4Od&S~+f@7&K6Tf|JV-#67hQuO=7b6ph< z&uh5a8*7S|?>lbOCj9F`+`g#m`}pP8cIwXkY&j?A#BBDjlAqh`7VCewH|<-o#a)s9 zmvh!X=&Aj^;<vJSJNK_Ap8Iw$t~Ru}C1zf7ZuYc&%bWhbh^##u$6@|u*|hg>i}~$u zif-TeyoNpR#`>4G&s1gJtlX}@%ic!dKjV_S7E#}w;pZ;gto&j7_0Rv0f|)@Q3;kxR zX}WthUr|ZTD4b%n(qvQbR>dqApKA*Z1lx3$cWm@malW!Zq9s}WW?znS(i+}Lil^WI z`nse4^{;vN?ccxtw#$D0`C_~I_qM&)|9@2M*#H0ao7?lsPy6Tpmd*dU)5ho9#@Zco z;+9vof0y`u`JevPaQDo62fN!(3swjFZ>Zn0>#z1(_C3)SsrwH<E}WHL_~^7{b+CTH zFO9Fys_yz%t}i%R_bdDB&twz%$DdSorscm{{gUtV!~8ArpWZ$0tKI$ly!fkmt=Ii0 zSbt;s)nJ|TC+xTGd*<KQgty+musQe%*KGgkXJx;fFMnm6<NE68`;z)6b$zzq4&PsW zeR<}+<L@@7wpRy#-%!45*LU`}xA$0Eq}~6qxT=2HGxn-4HkJwbuLS$%ufMl(|AW0p zS$`j$UsC@~=<M@~pGuag@9Sm7&n!33u%BlqzWq&g^RGuTcZ)x~5-mD;?@IO^qx}Do zzrFA2=FD09mrs5D)M%S|-8W}fwfBC$GJF4vy2I72bFZ&hULUG|U*&kkKex)1{8!I> z_WPgv`RZZst@krO7oOPn*Zk{O)>+#NHcZKV=X>|y!`4^Fd~a>9kiT*MX8wz3yG`RC zzKQ+Rw09-DMf$!UyWj1;Y@K(5-@R&OwcR><h2w8_ei1Rh@w@$-^F3Lc4f%h9ZGWwJ z$NS}<@~26AS5B`;*q3boc<<3~OK<7tUHkj=X;EML{3+7kmfcJG&Gq$5{H^sB{Tt8k z`=0;xYUr%;50AWdrsuy>{${^A@4x%+?0dR%Hr;>tG3)Ghi=T^f-mbWReC2J6zmuvy z$KN%t07Y2VonO=b|CwFy{Mk@%zuN9MzO{yT6Ychh{@(L@NAmr9PPRYv?u)PAuiXB| z*Y=p~=VNQPzW;2uAwPEU-?n+N+Tl0;Oq{dP{HyA=x;1fk+`bFnD}J+4K5u2*f2YrO zW!856dsmv@*zI?B_u6mV_he-@=jSZF_kEW9i;MYBOsj+67ygO<+V@xVy{_I3eV@DY zd1syf_{o3M#>sW{%kmyJ{Vd6T%YXU#qiMD8%U*Twe?6}`yngcYJF|CnM?ZU;`=f48 z^o<>!zh(XYDQ~a%GyTnG^REKZ|3mY)U9Ii^&Sm{S%s+p9Twdk#=zpaGkALPqY1z9n z{l?C<RTb=S-S_;qNV&gn@vo}rv(Xke-1KhDu4+Aed$shmZ^if6zZHLz-c>1nHr(R2 zU))CXucqf_>c3m^`|FoJ>2HVMUb#EB^!)L!eV-28yV5)N>+dTD@9#$bmM!L!yJ7xr zk=)(6)nD#SOTRPwmkj@z@;9^hY`<|Y_V>})()vn$%j27OzS(GADu26r_43=<_aE9G z`?qC(^RH7E_cV+DJ_*TX@uk(v{x+0zFMm^BVH5CK*N<;P@wa7h53YpfmtFZg<vUmS zo8{Ik&&#j*DKWkMglTnfyl?po{_<;eCwKQ-e|sDI<Fo9_YOy$Zz4AA#Ul_}8+z5r_ zGQnH<FK!wZwVri9@l5Ym?VMjc(Z^SbmtX!n@wer-8~o+L>-{h6>A(9Jn#&gLO3%Lk z?CwFs-^bp)`kQQOe_`?Drww~ox?9xEsXG3z>ARTt8}ah%e{Tkx%zylJ@{=ih|Ch!X z%zwA=Z^f^eJDc9$b*}yBbGP_|)+Fz5%i<nStE~2at6#Z&!$+RC<rbBFcOHE#Idkud zb<UiH_uef1&HG-oEa~f)d9PBHj#vC+0_U-HziNxl1@S+J=CNA+uU}7_<Uf3{bko_r zE3GYjuJ-QTn*Y&m!~D(nFPsg}-goGx_EXmCVE%%0H5>b(dF;J!{oZ#!ci8#&-7J2o znYUs4dT1uQ<8E8k4a#ISl_&3A@wPFzzj6M@dr7~~-qQbe`R`NfCo<>n>6yRr-Fx(# z>#LQE|8{+s`2D8(duaUL@Xvg4d)(jMoc*ig@As9rzhC}03ldp>COnIt6QdJde);|5 zE4g#(BKGdw|4w>O_>Ls|yYaRE3eO(ju_k5u-j({xtk1ObC(JLGFMo5JeFgjcN53C^ z6)ie(?@IC=!+e<)we!C>)~>!*=X_pj=b>lMpY-fqslV}S<<~=3|F(RWseaSWzVdqf z#XbFZ^*>j>@!fmyp4Z*#1<>pzv*upC_h)9?U(5ES<-fA++rReS#{G}L1!8ka{WoS% zfoOa4hVOp+;OzwmYpOcFwZAMbJiV`c#ji)pcRhb_wg0K*_hs)MO{@HUu*UwLZq6T< z-?Dyns@p5}_<g%MyQ-u2^;K#A@3!}}-~0)(t?j7#S#5dj^Zk>Y)xqaCd}japVd1U* zitmLd_SMe#^-F)&_JR-TI}`F>iSqqll_#_A@0{<Af9L#d%ljAnS@fJ+<<Waru3Mz< zvvB(U`lYP-n|AkAySMK;t^5D?iQ`}EOY0NzU$w2hzr3yTed4Y6Gm1YP*tapD-{-FU zi@&)~CBHATJI?%B_P5%5-EG@1)qO0u8-FkG+{ZV3n~vYRQkxU~>Sf@q@{0bA_HX6a z?z<KDnJ?~6Xy1+5RZV|oue^27uzzs(kmhe$x$>(u-IHUV%-OqA++y$Ss^Xq^%fT^b z8&<n-`LpDhcZ%2F_|}@<O|+|7^3D5R?VXMJzyJJvx3*d=?!7KFhgtYRa+n<`hy8uZ zHT(PIvv<G#w)iT!?OV9M+|u95-$A9K-1<2CfX{Yi{F7wg`QANz@8GL@oVT`DmKU7a zSGcI^zrb1kFDE85e_JN^@W;YevcI|SMaOK2w~6|m&VRk8Z#w_v;BWt~7apvsn)K~4 zIL^dZ=+}U%v51{1`L9g#W^R{X{8#n8n3>$lzkKTICw1lgkG%2Sd)RHky*X>Z8BA{N zJiD;HHBB;)e?ERXaqr66<=5X&{_OJgLm@Pa{jK==^)e`nt%PK;nq9j-vb>Gn!~f>_ z8}pLSH)pLcC|k8BA^#QQxtaR&R{VYkE)ggEv$^}Zu(nNR^Ycr=cM{%v-r}z;|8QX6 z$CR%xTz8pY_`UgwYIU&w#{)IT>yA}-zZK8gU(xkWKVI>9#kZoJiTST|x1A63pT7#4 z$NYoi=dP|~*EfRHWATgg>|<f|nBn!B?#bpSuF3tXowKX=viU0W<uCRftZvo*cG;tL z-<6u4)7ww!RR_oWl+WOwf6(r|-Mbsx=dYC8)BV2ty7)=O>frvu<9iBMeXG64{%vh} z*8YX*@)NXk_QjvOG5eQLw0wyEyrsW6--C+7`D^3qT|V2D=|B8@V|G>ZUhk`WFK>ze z@b1Bk+Q%hd{|STYu+&ep_OASH@o!1h@qb<5@^It3^XsnE^i7{Xt+)Ec_w-j{dNcgz zFaDbdE)nN0-1lSAJIji%m6hlAuH3yN^<JIc{r+-p>G%tE?UUD^)Rhb0bpGBI=bW0@ zy_NIcRqqME@pDyG)v~IevX;j_hxy%@UDY->`^w?x+4hg_9#{PRjr+>=?6c7pKNiMp zF#pQcZXaYW^!7P4vNm6?Y27^kr1rOE`;J+DJ{q|z-v9lMMEjbByM9aG{ajeP*Jgux zX|n%cJJU1O`j_gC&uM1=)+@f+z5bEh-v7-ukMCW14r+MZf3o-JH~FpcdtAOxub*6O zvpewHjoG{U-_E{rd3qM4{`zn9_3D0;_YaPqEjn`VitwBN(Rcl}%74gvIOQ*2|Mq>a zb^rffee|SQ(Ybq9xaZt++grI4n#F2cf7M8zJ-*{k)ViCqe`W1DTvM?Pn#JZHo}X`h zck_o^lb}t-JwcExHh0VY3!7!1a?LirdB=NyfAIXoZ1t~?LU-90*&mnt{c!cw?C8&S zW#yA0Iqco5xMj7o%h`{=k$%4F`}Zq<9Qwaa0_U)bb?}B_c1iuV`Ok{?+zI!)`8)nN z>*tr*Tjl-dcSnEQ`}vAE`-^$a;lEF-RtK*yJiX_y6{HShcw25^>5})c!XPdGm8=<G zXnx#!NETZ%Z=d5{o0z_je+<BtnC;i!Ph=L#J%i;ix36C>&e~p3FeRrr{}msf{KC9F zp1;N3Gyk^y&3o4-?z8B*zRBWmd~FX{em?qh*Ly#3q#6HBx%;_L_Jm=zp6yZIZ}%4c zolwrs|3*4Lw13``YUaK5i}s}CzfzT(@&3m7iu{eTS^FzyztdN?Jia;jN!#9)+i%ph zRqeV`ceJ{v_S;_WE8)wt?;Y&E{AAwVmHjvRufFBpy8juZG@QTwr<i*BscSL&`-8U^ z{$l$2?Cq}n4{;B>{(g~t^?7=h-La?Lsjw<ceB*g=i=%uM`}!xpAAP+EtHSnp|F#3Q z>n%a$VP#S4+3TkytApc{UYEXdT?oxz_t(bFUtcM|JO2?Rf0?hYet)s<;O^$+Z@u4F zeHYKFTi`B!BKzC2dyjv-eI@%_`yQw?+~fZ}{r$z7zUi$wcf6r>*snTRBa!)4cX#Re zgRA*Z6~FVndsO%HH};>PMxw^n`wy2)infW<`u)b&_Q<j0S7rx+tFW37+r1Hc_c--^ z{8MC^nEy($EbHqBXJ`%fH}UJ&bkqEY540dPnEmp+m__g!tS<7i=(%;%!r%DT_7*?u z?tf8dyZ3S1-w#2h-)HZ7{`l8xaPx34xOrF`0&N~@eSJ}VXYu#zCyd|u*7mVKv;O9L zFFL0_{P)r0CG|g(Est-`PVL{j^7oB@omIaI-&*fEeq;VO{owf6<(2IEb&Bq9d~FZ@ z)4%%GA6|Xcul^~fzIV=M^RG<H_Xp?Ot^BR|UUc0JfBo?J|06#e>g7+!{<du2tak?T zaSQ)W0=GC!>-PnI*V(hr^W6>Z7tHTAeAIlqeGmVe==$q*&gcJuTJ-%n?-t)bzViHr z)SUX$|L1Aht%cTLb#8n2t$kMeCQsA)&g@<7d)HpstGzY<Gq_OH`ugwNEcq7~w-p_@ zcSTv|zT@8H`h(To+~2y-zxwxP9jIl0uISXg|5kc4{Oy-R8;Pc`x?@YuA6z~6$)vq2 zukT2`_ixX)>3gDY+=%=w>-TL!w9UIda8Imyg?apizir>Stjojw_pgY1r+d7jP9L1X z_I~~S<jrEaxV3-hS<1J+xg8%Ae?R=Q7@y3|XZNni%G~q6`(x8LU09=j!ZYnTvKsm~ zW>+;7KkHuqVxKiA)(Tv|mz+QTbz#w&dEWko&3o5p*+02^kootq>sJ=Hzr5%0zJJ2> zH@<t1fZ7|9?{&BJU#a_8dN*E9`*}rK&z%kCU&U_shxqSb2&uu!_mrz=-}}4l+4Vi= z3k&!B+F)pZ;rC0~X!VSHH^TSlU;g_kdY8S?{v(>7uN|xTk$ZObp5GM-_ny`M6sf(p z=IWoGa$)xV;BvEP(ZA+=XInqxyV<kN?_caYU2XL9<F5DlXR5Dffbxm=Gv|AM(=+Rr zu<!YPqiR;w9>e(B@bAj^xaSzn&pYFO|8mWt>IU{_pL;8R|DJWeVtc`llG^!A<)3{j zed9mvJG44|b-48BpZq2JpV%IKmpLon?3uXzm724=yS<;??yXE-`&0Bi^XJW%OZPwC zTiF?7y#LwWBQHN+ZSVd0!+qEN&vK6%D<9ka`R@7d{Q9eZPW<6%*S}DkoxE@H>^<9e zq~3dGTRHXHbo*<6CErVy#V>o8bLO}G#Xob(`KO<$mj3+A-}L<_J0pAV();&k<j;uz z5cja>=Y;a-ytn-8mi=aYFDMtcRxbaHwf*&)BfEPK`+R<Rtj6EJBL4BTpEb$*UX<8B zwmp7t=dSn<_xAhp)h+y~`kp)H*{iF^_LR<j=6#RXrh4(S*}XqS_deTuO!D*FV>Q3L z@5X<Wdpz-H-}glqp6}d$>Cde1mVEjF@@CK2tIk)qM?dTR`{lR&UH$df|4#g=`c3aj zZFaKVpRLb)@72Z_=6{xpS@ZX1zKQ+gyL0}?m8K`}TYA1Szu?%O?S}Ke?t1@e-h+vi z^~v{suYD%I$J(N5{XYK_yT2Azf+A+e-wB|I`RfOYm^ZZ%AfJ4xKC8ZmeMger{TbW; zFZh{Lb^M#^uJ{l8er?&aOn>?J%>PDTv#QeV{x5zveb4fWlzlg6#Q*X9Z2DfW&u-!G zx5xGrHa`pB!+&P|%=Z=k`&ay(_0#md>bG?v@@CH-JASXe=Nx00Z}IEz{CDU7ulYIg zr{uQvmtYZNk$&&l-M?+$rq^EtMU33~uyV6!fA?qAo!i~MSU>aL?HT6zSN5F#ed5oo z%KGGeiFF67O@E%=CI88;#^3KBIA+%ETM3F8^Gv%(caMGBZZbdb%=a%X-%ZcWJa7K2 zd;jaYW7U1V`LF8^R{spPlrY`@q_%Of{EBet&)4it;y>j*F#UY?*q^Sr&vB2B@|W(v zJH!9~%HP`el4FeTf0X+d_50n~S@ECkj(<B3N@$JuKHqydr}FVWkZ-2{zXXaKGpoDX z&7K{u&%XEM?s3D<X74vkZ?XT~|15pa?25nAu(<iK=sGBJ{)T^#y(b)F49Yaea`S%f zzpPVH{^8H|UGksq{fm`*$@t#(9Q)Ub<bAuQzH{Fre&fgHXP0|_W^jYz$07|BKly8a z_LOs%pAp}aevEJXAIs}sRNrgw`O&`5f2-mA`)=R8?*+#g-_JRtzYUyqp8vn{w=DVI zj<w(z(x3Uh;`_F1-`CHK{~VW;%>6Yn+3ubfD2il^^Y8fY|9|=K&Xu4jdN*Uc*)!{1 zC#$>vM!)`jV^4qir0LPG|Mh(5xxO7#5d6KJS$A%?d8PDOQ06h4RldCZ+4M8NFI(SV z4vM4Jv$>`AbMBQ-nw(o||G@Up$GKM@_f|gtHmkp4d*Sh#U558B3*WnbV}JL%=hB~# z%7U{G?`PKc7v*m8zgq#yJvR4U_uiVZUFYodJ<=5?Yc?D1Uvz!X^&59Wzu)ft`NeP7 z{ztaQI6uq2|F(DQ`@H2pP2U&ax$9heV}|?-+xN2HzF*l>SpWB*|M%0@um7F+BV>Li zTKe<DSd;uu?~>E??{2S*f3JW3-Kw9G@73bot$vqtX8RY*_q=BB7gy#d+ue=>#nBuC zP#jr<3%2TK&i6h$f2mZqeX#fVKgL%dkJXr7{@d}L$^6W6>CYGYX8Bj}Zzx^>^2|3s zaLIPC=HTx~9&gvb^u8B<qh>?ZR>S%4*Zfv}ujw}fRIKgJ*!S%2QQOaNkL}sG8XPn1 z^Y-~4-~G{JXIkCa>dx8iEBU2Af4p0=|GDjvb55U+{R1Vq^W~R8<(fFiGqQJ|>^*wz zaOwSLd+j{qZtb#vY<swKJ*ZUMoej!7)z9|!R(^grtGrTvCV$`MT4?5J4L{Sq=lH^J zlWj{t@p3Nt3MgLW!0~br9xqmr-#_>MO!B{bzT$l0shWeo|482A|90umr0=Zn<}ZoM zJrn)?O3lgLjm_%Wpt9g%_Py*qN&D{3@P7`Dmv_M>`ycPE?t633`}6CUe0uX%+U1<# z|58_V{MyP{=5h<}|9@GxbNjpgGx~+6_G~qjf48`Da=ASJncI8x<5!%YeK-2E@jYJ| zoAA3gXXL*uz9+pW>E7)b=f7R8o&G)L)8~@=_h)>6xA-UPdp^CH{FUF&U;F35U-5q9 z*CbHBx#bTk*B}*6-!tKR%r*w|^UvJ=ezoS<?$*Q8U;Gx?GyD0;>|c|<i^k1e9G83M z`S;6zCw&*$z5WU)k?q+3Ec;$;jM4qPGt$4a>yG|D@aIkFuKOSF?TK6m$}^9?l)gHA ztmY5H-S?IJ9}ny)Y^eLc{P$;O6MG~3!w+AV-oG{D{R_4Cx_LA8`L66OSov3`Jb&u* zH@=|AUb*_O<ol0LwrAeA_OPv={wCq|TyW>gM*GTJ_N@Dl?;hg*W__o|;qzOQ|8?ND zUtCSVUdxE@%J;PAY?yE7QTwCgS+Y#LlK30ny@yUNef3cB&(F&5g(vsbuGsb0^KA5v z9X{Z(LA{yga%=w1`ri20^f&L_{XyTg?$kxeEqm{3&UfYgZ7K12@5;|Sz452#+u`;V z%j2ii=F~XOE6jgYoD;Y9oo&c(wfB5wH_iEi*Y8{Qv+-Tc)Y@;$-X(v3{Yv&X-+SG@ z8~S|D&wrOa``jYuX~*8ac@JJlef`4mmVM9hij(`wm+bngeAe3HzvBGk_pWg7Nx4@y z<J;v-zV$cWZ@B(4u6y?Ulc3SThoYa)I(_%Pr){%ozFmy%zutF8D|W=ayD|G$gZs0? zi?`hW^zQM`^;`4h*T((v+_vGb`^^&Y*u}j23-WB7ek*@x|Hk}Hy6U(2+3*|t1Iu=p zm-4fluM|K2V&B>7KI3nPldtyHXWu*i@+`RFH^=b3ZP0J-d$KW`^MAZLmz%cz&;8?U zRa;x<_*H+AxxORW{@LE6w!e=S?vl@2Ty6Po+cfa-;Jlw-0&m5Cl6!pf?Uwhl>*wXG zTi@6<`OYTuuYBj<t;w6e;BQ+wxBiXy%l0XM-(Wu3{hQRT$_aJz#jIDFtG}u{AJZ!Q z?Xvr7{%y~aW!|gZzB7AQ^W?i%4^Q5b|MA`9qFek|-tK&+8}mjL-0<^$)_XkT{?poo z`m=S;-@jkp%eDRYsgGawzR-+&{3zuvf93g&mGW=f`$PTtC)DQHIK*u-|0*<_eN}b; z<+@|Ln`6KA9$y{ao>8~Jntu{_82)>}*SuTL_Z}7eeN?z)zje%KOFsU|)!&xcJ$k|M z^-Jfi_|I~WRe#5=$g_3&%y+JT(pvUc-wR)v#Tn(>d;UIV(jNY%{DyVh_ozEE>Y(xW z#_%)d{@4Cmz896d=|6vw-264whH^}nDevoL`_HudU)^_pcmMPLi~IhXe0}7(sr79D zc=Y1@{55$tvA;RrGyi7%&AYePBtG%K$eDXrq-AWJ_gb{RKMsy8kGmbF?;n3LsXTk{ zN;N31oPTSB<H{!ZZe`;;-Y>6wZdyd_2aOJ%tvUYhz+bWNZ^W0ss{0Xi_jKX+B*?%; zx?SzGZ|QrEPmW(y`~K#upW3PH(a%rVRR{Bbd=vWWTjs6r727{F{pB-%p1E)Cvv_Uw zH@>!qyRWY_U;eu8NHr*`B5Ez-KO6SlSApcN*;fxg|M2|z-Q%jiHydA>yE?!8bp5{B z6=h5BJnFlbFn^xy^xyH9YMP99eYYunfBF?)-V57pcB|v&to+H!H&1{0jjG0&$D(ot zvsRq9Utaw#vZ#Z7&ylCxSEFV2d6-GRJs+o2z2hk7xwu7fiT{@toxQ)keXn@#;{X3$ z@2w3#rObDJVmkk&{qt6BP8FB7IPg#Vig3)Hn7BuZQ?uVZ6wUi_N36V}xA*1T`DXUj zbya_4en@^Qev*F5|FOW|O0JxJKK1rzP0ru<KXl*U*?j+s_wf%up51hI@0@oJo@BqW z+qV7ww`u#|3(Wr0^W)_wW6!U(O)Hb1>(<;meO1|}x;4h<Z;s`^iO+QREK9#Lcc1^x zhoXMv7rwtW&yicTfB!W5^E}5d%g%Xhxo(5;+hlS6inHIhR>b?(t^Q~8((>15-GXmk z|NexpR^PGg_nn#bp0;!B=D(MJ{Br*E?^<_1SCoG^uvhN<{bkj6SDVT|vYY%i`|9-y z&+|L1YeH*xe*CFwwr^eBW1jUJ{`$U4et)~D|8e${M=`bYTfWO|ubF=|`^wp+&;DLN zb+|;ly5zIX*O%Xum#BZ~ic5Tb@K^23e|55N-YmPX&);8jkLmo6$o+ovpFa}%ey2Zf zeO~;6_wq*OfBzn>`1RZS;~(}}{`VHt&i~HAerNXcSC)D+PS>yc%cWnZW?u2@^R|j# ze;C`pUz~sc)0gkYe?M<3Ja$KB{l;eZH~Rwb=O6nmv;N0ETQj{Y-=*&_`FZur@21$Q z+JFDws`kA+Is1Qpe}U)spK^D-=8Hdi`Q7x`<@eckXFvZAcyQ<1eSg!l@Ab?p4#>{? zx39PT#rxu4&+{L@e1HGo|KEQPKi#+Mee(3(jD7_j;TDH%`!=5R|E~M%chm#RcRzl= z`tauMuivlkulm{Y)AZZRqqnatzdv0j{mapyy$AoX{x83GSlRY@@uBxGK6Bqm{_OO2 z`JVna*6%OWIB);<c)obwi<7sv#&42;*tG9a?XTJwg*&eO74+Y~eslY)`T73yA75Sf z>ksR@AGKT`zWf$R?7u&s#ecuQq}<Q%=Y{mkulyDLRzB(ajz`sHJC53#{jmIS`Qh^u z=jY5nmiX&)=;b@sU%p>Yl3xGwcXaY=rN6bM;+glJ_;rhak$it~-miaV^WLiJ-(OkV z{hep|o8Rw)=Krg#+_9{`;-6ow{NuZ`%IlQ)TV*a~|C)1NyU^SJ-r;{=_HO*CcenW7 z>UWoN-(38C@{6h8i=)4{>|gMF$0GLk;oo0!-?_Y&e+7F9^X=As@n?R2`9Am2%lFks zYfe=6_LgVc|6K5Hx<&fBy<xST%j@kuyw9dzwtjb`Tt7_zMX^nF|2xw?zpGz-Ph2p4 zPx+1Xx}S<5tN#8uT-37J`-jb(I+wV|Pl77{)xBLVKQ;XF_v4Cp*Iv2(^0)so{tDaL z_?$Y2@9g)M*V{_BzmCtpyno*5{+D&;XCJ=ddh0G9KK~i>oeR&Wuk`=_&+zcUskgTO zuqjUe`}bTyZ?4SSMRv!H|NUM2c;3nC?&5d5_xOLewYeMr;N`yl<-bq+zxZvvC%xim z?f=QI81Ek5%>L@P+U39Or@dq3wXWYdk}V^%=-%TA^O@~~>-zra{K%A9SYO9$rhonW z>t&Vu=AZwb{X(r;^c&yf-|-7;yX79Xyu0%1rQodXg|6}b%bw4_RMRg$f7)B+t@*WX z^FCIxeG_}7opU*R&V|j|Tj$Fj{eE2Z?oO}0%f43}IGTR-xqG(#FPUebnf6$?-Oalq zyQ?+0s{ONj*1n^rw!im$liu~6wepB^S<PGX_>l6Sd$@l02l=lH`~E`k+>3Y9uS{3Z zsOyuiY7hVNbh>H&oE4S-wQKC=t$o5eKmE}Im9yJ#EH}4U{yhF_-A9SD%PU;V_b<D5 zi9KiDnxCIuzmn_QugG2#*KzoGX5ByEuM_MJdsp?JR?n#GjjsBq`*!`y?)=l&giZ6e zd}3N(aOC;b$@7=|{9-+;|D~bci+iT8t_GXDe_*=zxa{5^>z<|iU#<CId-M3W?@wFK zZ!Y*#a&~>8SNy|C`~Eb3d+qUA^4*Jj{8y`gb-Yc_*cY{$-QwrOIUg$p{rs-(DPCLU zx4qC+zTk7t+43FB_3PLCZG89NW#0T{m7kXvbwuxZ@>y!mv03Nqmi=s+_h8n(A3J}& z;yJs!VgY}}lIe4w&3&F__or3n;m^{dlh4l<lr4K^9dj}I&V}yyto^l(&x&m>iSG|G z7b<;Rb@QlcU*WIpud~i~Z~W`@cK80k{1?SC7uaR?&3NBm{A<(oI=}Dr+Uqy`w7yx< z`7L_Sqt8+~`+aPG?|l|s)x`Yk5&x@;r+@ylSk7PJssI0kt;|19v-pL7fAW5sb$<54 zpO&v=-@VY~dwF!h-yfl~&VQV=@5kmUNArJ&o<Ed|UVp9bSNPX?=esu+uiI6<_?N@{ z#~pT$o@|}<zR*$s-?G1x<nE}H-}trL#@hdPw#}zX?Kk`4Y~@Y!Wmf)|oBU|TyZ_F% zXHDNfoMiW?EADUbXWPEKX>ZN5?*E*g^QqG8+qv-f8(rfcx5WL6{(UprWd9M-yZ`&E ze9ixB^Y1wLd3%<<@wPor|LfU3{w%a7Vpa9_pzSaD{9Yatd-bz*mi!CTy-Uo$e7H7C z{^J|7O`pr&mRFp6zV*g_kJ_E8pTF>=e|fO&)#>ugx<=_;8>jy2ic4O6p(bb5?i+Wd zK35jY>~a6h{OyJ8xtCYpZi(OH|Cu%JVsq}5=v`aa=Io3Ato`QUpKq_Y?evd6WH;Tv zqj{Hq`Nv6l_X79siTJF%cWL++Q`=1@`L!OOzs>zobLVlx`RK<lgwBfJ&a_+de8+<J z^04)KSNHT^FZrzZN_OwT&HY(+J6G@O-~0Ay$NAz79}Um;TP*v2V`;c`#{Jzr@B5Rh z41)V#Uh6CB4Bv71r{62i`O}jg7u}72vu56<*f*EX#$FLOy+2>>vUZhIf7#WV*0;M) z+P*71_I&NepOXLf?9Q}X{`|(`_HDcBr#`ElbK&gWtHD*x*>mp1)*iSg_i57k;tf|n zJU`01_c(V|E&p5g_zN|i!Bsn!SGmo9t7^XEsB+xB;Jr^i8`=C8s=v3h%JusO|LyOV z%GJ4kW;^%7^xVsj_h!XE{Asso<E4KM*>>v>f4lzU^S$WLytSRoRa@3qec?UJZm~T2 zdtm&f@Eu2A*1dXWzIA`Wk3IRP_5Aj$N`DV6Uq6xk*Ns!Adw<P~dGup<QH}lE^Yt3- zJO1yp*}uQ)qjF{2;jMQb-t>PWwtoH8>A$n>|IeJ0_<#AP{|sNRemO5LJ$F}SLzTDu zOTE1dtFLG7J7j2U74X~eZrM|z^@Z)-%~z&he;wD?9Dnj4^VPL+aSy&--&DGx>YMe= z1KsyeY3KY2TvzzH+Ol@Sx5?5^BzD(Ny6b$U-TriO4DY9g#nMmt&i~h%-k!C8w`bg= zNBKoR623l=-_)PJ*yi(<+p|-JpZ}i{z318daO1Q8y=$M`z4z$bulJ7pm+Sd^@>O^5 zFnq!gZE;ApJSpdc@x$e3&rg{zXTQ1q#qV~DgU|MV{kx}qm2~vW&$4%NH2W=XM!nmZ zed=oBE%|K|xnu77_3?%Gzf)kZsH?td=d^co3b%Oql<O8dHt#vK`R$WG9&fjoPYs@P z-!;z0r`B+CY|c9cY3{FS>Xi$YTc0w$dpA_?<BrWT_A$RD>-Q__y<cW$?`6CEe1ZG> zg8b}#M>pSn@+RZ$`LgMI-A~xb6}HVzzOuJEW8a};az#aJs`gHod6?pVvvSF<V*Q)P z4%<GJoBsPmw#-{y@qORk{yt&(?wv0C@s;|rm5t4RQ(gzYy7o7fdHeUN;TF{mF^`Y_ zE2`S_jW@inu(;vPyan@Y0_G)uSExMT{jaighke9eo50_!wViL-SGM<u&6n5uZLwgs z`bzdMY|kw?uY}*I?wMof@?GXl<)@D0ho8t@=byTI@>Y3yP4OF+PJME#@995bn9e`> z?eUfE?x)4J^-sE9QQNcU&}sJ*KOb*>|GTJbt^28N^%d*oRCilg`<cyK@ougLf5~H( zoy)GPpH%ge(>=cM+v%6_^D8*6gzqu;pH`idSiG=)Ki~W%cE>|C^fxprzp)RrJ$zL7 zi4cGP^z0bl`^?)bOwT?Ri~bYy`SQc`<NovK+uA4B@%=gT)3Scjzd9|O!=I18_+7fA z^NHB<<tI+7XV<6LA3kb)_3!c(()`!&FK)kH@_OZ~zne|+6JCexJhnOg^vBC4?;roX z{FI0P#*@!hG4s~_{UmZW`ittj7lBLcY}`LH&O5s4D^KZfp2}9{Id;Le$Gd}{O3r`& z=vCz{^La;Ye>uE=$y-~q@7e0ie?FE~+rB;5pYZnb6M^*~g~XQ|pMU;zhn@Ft$2IRR zh*vB)KW}l~o`}zC^Y#biZE*HKccG?b?(<WuavM)S|GJ}c<-5@Hm-o2qfAHu(A7UQA z?x%pY{^a!Y;pH!N`wG7?eHHrMx#z)Wn>|+1-_LBmQv1up{9IuC#kJulP0L<><lg%J z;&c5;!gn5WP1g_O|FK6k&-gBX{35wawK?-v=j{)v{T6lekX&u6_?IuKv-~&CK4oea zr{`XC&mn$)pS`~AvgtRf_Evr3dV71P%tO|@cF}j2uP<!-Tzo}ZW>1jck3FpK?j4!` zJ@l34-2<CHUyZh@@4k~Tf8L&`-;8%pK9Tue(Ym_$s<GYr11E3)_`I*JwyHsU*WakG zznJ#fzk9j*<d-itXK#yV-K(7|lkoWKr%C6fU!1fyiNDNU)u~<eiS4cV`zOC2&&zxM zin+G;`TI*X|J=R`u;)+mw##q4T%LW;Dt_-G=^e|qSA2~-YhJNHJ^$K2kMes;*6%OZ z^ee~g4c+_fGuND2htC&pUy-gdm}wWkYWvb>=@m=1-`EjhyW8Qj-Z#A|$vOLC<33g@ z+y3A1O}ff^{j$ocUwm)Z+b{YlAwB)X=k6<Ky`S<`SN!z*%F_P+k=*>}k5iZaja+!| zqVJtc*MtA>cou%+&x|?yqJLjY-Sk_ovXOnxqhEVp+0GAt^l0*}{`<@AE;#R5X8k_> zKiApYH+IFuJ&x3UE`MQ9zqtGq<D99VPCS>~@n_4o@EaCh|NkyOCTqJp;`iaHv;052 zG2Zl9vGljr&f|=Fc5CK6ej#-8$!DgRTEE}l+^^{FYOStnKYl)Y-_bJV^yyjk|5$JS zULo^HP`@yE<@q0bxUPq1+y9)g%eVi7L%IFByc*Zf#Ww#Z-AQcUx5wwR;5U0k@rrMr zmFJ$%-0^eOyRA1CnpZ4&K0W*XpSEYgZ)$wY)(4coWbP}BTs__5pUCH$xQ^!hm-qf$ zekE7iR$SFSUH88H`WH9Tx9ne39e(Oqxru#}xOw<W{U3X{*zaGh`4m|?U+&?YcXfVy zf7pRk%I3X1?e_T@zsdXhxNjfca2FkTKGPy5d*582{121n)d&85x^<R+p?CTEu=vaD zIe#NQKb?L>+~VIRnU9qZpUlsy`zQK!hTUP-y~nSfH{E|MyK3L1I`{aR(0Qe^%=fAN zu4omuTYv0h=`8>K>wZp=dpzk~t@GZ0Q=iG+xmbPYa(8~#y?t??4{m?LaQpr=W4rT* zPUf5JKW16ms{L!z)w<8oXVZ5quf88B|3Z1*f4|RHO<yVc?bFu(xrbwU{e?ZBZNE0< zJ)ULv;Ocyn`wtuU{n_`;eb;~Hn>!spKjVMOv3&=qVfZ)l^UbKwqP7QlYd<P~{kDEq z`9}}=4TX!VD%U=XmH8LZ_wkQ<(b?x`3+g6+v%Yg7de0(p`>X$|uGIAP?myi<f934| z6ME;LmRncYblI===<}l$m7BlajbE|-%g>Zw9lPtZ_Z@$>|H&h(x6%J6Hpidh&iU)* z_fcy7&po1gJ=S+V)t^7V{(6nO|HoPH{zvV-@AO%2Tb^ovMOpUFU%k(2Wxf`*&AngL ze}41E-Alh!+g!MAu}pmb<+@E%pB2Yk65k)L|MHmp6Ta&eX<7Dv7yml({OFCnb9en# zFMXWx_xpUyzL&~=+n@e^JSpzZg1=pIJVpI$?N5JuyLJCDwfWl*-IKlgd4I;eMawM~ z{;m%_|87YofA|-{>t9~Eo#nUqHQVOC-|ut2pL))3{`g4mmF>2d*>f(P-k)uMKkl=4 z%;mp<dl%0BKf(P@LOT1~^#39AKUZ>oD-Zde7gqj)@7#-H`>#y5&aPWv{$<j>MeYBu z?b+D<EdBq)*gL+@K1a#i@%?=If7ZRl=@!e`kLBk7yq=htUDp_1@;L03?%re1-p%UY z9`Jobb#~>!=VuFdv_E5ibJ=>&lJM;p>vk@G#(n2fv+mXFC9k(_+B*GNctzvqwO5$! z^p9SQ`OF<`yJYu{1+$}9hgWCUEm{9kbnjyK?U(=L$e+D^`-I)Q!XwYu7VcU9tUK3K z{&I25rNg&ZU;mwX@3`#UJ<V1A`5WG5*zH_g)nM!G_roUr(_a(&M^o-S?uxtb`TOj@ zS^9<k{M&=&Uu?EM{h2*u-%-W5d#<*RKO4=FTT%Ic-7CwxhfVL^imNT1@Ql~yLblDN z$Fe5xZ2~@jo+<N?QUB*2zUl1Q`<~T)d14y(Ku}+Pja_xizuG<aQ_de|E#}MH&~5!D zv3dT}zF+&y;vV(A7k_tT{`-f&Y~;7Mf37VHi{Fr+^qJ$U*zYfF)n8J?-+s5a8_HMv z_V|s8mN)l&Z6Dl|+5LsF{R`jmFHiGs&6izV-KzUs{iJ1C-}L6YSC!xCs$E{Yd-d@W zX7Mjl`hFMortzQcUw%R~&d$~E-NJbV`iFGy-VC-~dizGrs&ChK9J(7fYySLo_a0p} ze)`n*s`0zj8y2B==dXTV!oT~AVEK(3(Vq`~-fDlxTW-Gc^)IU5z23}ODEEHx&nCG? z9d^67@A?{Z_V({nH@#E1&!3-keaDk~T<u@}*xg$HLNo5t-M?4%iocro{KVncDeTXm zpHg18^ZH}ay}Q<bldfv)y`EveV}4cV;oqmfMPI4C^W;-b{a4ZNJ~o%4?_838vnTNP zN#-s4kG*8v^q=pm1b_bIyUa76-;+5%{T1`O7qWaWy~BS$W1XeXH|e$a6B+iUGByEw zpWkD8Uh+EOYh&I6(Y?n$9^G}_rlWfLNzrq5TI%g9`tNiXIG6K<n)hAY&wsmO$@;>; zmvP?{&YrhZ-o9gBOkC9~weLnY7yh=dh%Vtjzy89%j_4ejWj~LKUukbY^*6N0cYfje zBj3`mK0a)kf7w~)Lc043>G_xU)GmpMRgS*#f7+g7#qZV@xSf|@R@*-BQQJGcD~t1A z&--4m^Y_Fz55D~V)Dipc>yBmN?wR)&i&rdQcVDevzo7b|@)Oq2cHin2$$gyn-|1Yz z^^>Y)AGns=hsP(^-+G*W=KQ?*miFv*VSnbxE`EPKNm#vRzgYhlHtXxJKc^pm_5H>U z$Fhz8)h!Pkm4C{@Uq4~`jl-tr>euf(cK7`gLF*eeD)Z0pZq2nhEVr$&<GICAKD`ay z(r+H}r5}Iw{`>>mgM#(;_mqD=_b>nOBmAGujpg5O><rxdY3uuYD&iK4*pIJtFL@nU z)EHjT`}y%zP_Ek?_+9wk;`-CxG5@{SZS1^mu_JQtaYcLfx@CW4enx({{Nd~Q^#{9! zzx=g&lQjQ*`kWPco6Ijvj=uYG+JD)|%etV3!k>({*SBA*>8SqoM&;|gdr7~|W}RpH z%23@uQQGE_V7U5f>*y@|$~A9tmECvjUi-$zulCsY?dthU?<LRPcg)VVe$O}eJvB`- z`OE+EM3+zatG?>|&gTzV@A6!g{pI2RQna?t{qE}dOMWJ~?>urZ_UVt4uk^k<&AIU0 zKk)kt<Cu%lGCAw3UoX$vxAm!LU-`t(%U9~}nEq(ezKUJD{L2g7<qNj0e%HG^<KB$Z zwtC+ttoE>d5Z8G9%L~a@ina%?*>1_V4c>cfb8u?9eW~#F>eIS?JFX`b%YS_nd8_{= z=eHN<HeaoNGf%CZJ)^#__xDL=za7^Tr^n4(_jk&^BZhbTucm*$zW0G;QC-KL1Lqt! zm9|wKvt@SwB6{wnsosl^#as7REO@7Se)8<YPdVD>y_vIe-;;T^Gw(fa+ILLXwtnBY z$?Y%hePaDouw~A}KZ%>>ub6)4^M|gyc}wFiy6@U}q0Uvm@UPR^+s9W=-(eZn7r!K~ zSpSG}?Z2e2ZFYyxDQ~_1$TV)h%jbV5UuoOS(Ri*O8egb?^t1bF{kUcKF2%}#26>kJ zG`w2#OJ(QrZ;zk8dipB+ov6hE_w@nqUof6~dF|$_cfuw4WqmQOn>zn4Kc)L_=k*6Y z^Y#Y)X0|=Zd$)3G)fbby#qql3%TE{2nWx2H@g%N2yJ}|UeG9+;J9iynzH1*|`%~vG zcbsyx{si$IR?gevR_7I+KVVzis$13j_IZ}Qo&Rs`IeYxR#jTQi_)h0$<=$UC)!&|o zuljyt+4_zC=kG80E2v*=S-13?an9bDZ}(T&J)hSuUh>)Z6<_U=<zKq&>RaDkeV)C~ z!e4LW^phWFUyXg&YO(PA{YCFCrtes^-NGXL`?dV5HP!p}9Qr8z<WKG^?srRfEYY9# z%I@w_+1dk<>#85K&N9EJ_kBmj>X_ISas}%TWLJGD`#M1`;dSn<?e`YOJ-+F>>9bs^ z@OJT&;E4Y`_tj17t@4+J-&~wqzViDG-6tQC-k#t1v99s}=Xa|&mrj>w+b`8G^!a{& zvEA>6cdYNHe)ft>crJ9aYCcND|K7U)N5?x=`3bYNpK!EKKY5P*>hwFC)h}1aT)4ht z>GP%ECi}m*_bI9J$C^10|6JbmU-9dtYPq<JXZcr$f0>w9<Mvy0?~$*nv$pSF6Zh!Z z=b}G?UuRV-%Dw#TeO3Awk9GWoy6)>0e*@3{z8@0*Fj4jS)L&iIn)B*IYd;!(o%Zh0 z1iOUK*|X&B*ZurbUDW?JY@J?keDV4NjJCg8s@(Yt{I=Vzd{^iGJ#G5wtNp3MzwM_) z-zi>yP||j{6C~zWUR}KP-Ol-wcE5gN=~u4?jrgCLZ>9Sm+5i9G@ZEm#zCSzPv4)?z z_WlX$x^>gnuD;^?PIAZc^&4E*Z~UA6_W1m(|G3oWPu^?4+I`3G<~Js<jNjdy{C@>B z!~X|m_&-fD{kP6<C|&%fKCt$jEx-PYXIEkI{(ttntMQj=KF04na_?->pVZRn)rx*E zKCfR<{Y7%!3thf{ORHZ`eRlhH)b>B`|BLo*pYVD8DoCdPF$<dM%d+oE?_XE>n6Ie6 zd+pO#(yti5bM0B?em{i&CF8cd<+ZP)UzyIUjV^n)D(}&?NzpQe>kmGgdu4OjZ$sOI za(93BRrTM^eo`R*_WVY}$?S6q)*sf}`)A#^;9o+=Oa52C+ALeD|6|{r_lo)(HqXxa z>tOqMUZe6auIFE*#J~J#zZHLD*Yq_{)AB73J&fD*S^2B#_ctfM$ccY3&3pNC>n;Cx zE2~e-pFUjsl;`>HQ~&(0c)zQxa5b-A1<Lh>@^8bx2wk_oyr*_TRp;!#Pk%grW%}L8 z=F;=IRnGGhpEKUd|0uP-eqrVP;P{6R6D;@p)gIj|a$bJPz8_1gyvqwc<Tq4yJUbqr zX;(YrPV#Sqox9>{&+cVn|MKGcD_PqEmUn;k?yBDL?rhagt1z>T^;7OVnpe$x?#0dg zt@am$?_8LBf2F(o>v_MccUlL|d;G<s@__PptC-8D?KAFM%=`c6F!SC2i+_Cz+$H|U zbDNyLeu3zTYrL=2zVqC<9DZZb^&53P-yS>u)}Hex^4mO6p6}fBS^TTy_ctdOR`uup zlKTDS&-z>PA3wQS+J*j>+~zm&@BWpLvVM=}-G%*Mro>%*KJnev`s+1?Z81sjO)TZ0 zS^ob*SeB3de(nF2n(AqL4t<<iRJZw8Q?;hv%kKJ>ze~i+zc8kszrOe5{aNO5pgg}Z z=C3=nu-^|Z?7g?-OGdx^-`AhMebQW?yGP#MEb3N%XL`fN-S)N<B;t2&t?Ivf`@}=( zE%wKcWj|$9zw>&7xBm7kbzbq0X1u!}R$Dgj9c%Q-pV3cQ%kHT}FGI=o*KWnnSzmqf z?UQFpmWLj)Zra@YYf|;Ml&XI2UsBt@)I`5k7k_o{)3;9_>?{vgD*i4H(BJv|q3GQ` zi>qAaUmn}NHU6S^O!Tbn`hog|pB*jtO|Ck-SD^pP&$3s%wQX~Qe(T0P{yNw6ef+Y0 zhYl|-suTYz`aQ_z|B7Fa*1gibdsMOZK-$uuh1F-5&!1ME6R#I;y<+{&@COZYXRlaS zH5BjKx_DQ0>$|gMlUAQUt@dsGBxs($9SO<vH(hOit$DX|_o=&H^B#Z6g2nsp%=;FN z;CR39Tl-V>E_cq&tf#E&_UT3MUOoGcae;q)Vs~8fZ|Ph8@7Mhm_4_tquly?a9lPDi z-Yv9yJkR#$Zg9Wj&E?OwTkRh`jVt<N{5Ji@uCQ<KS3=7A;&tyXxL0|tfALxVbzH6f zS@G+qRln6K9<N@#`wn-Z)BN{~p%K5s@B6jy*J^&VSGGQmJNG>_zCiz|YHgu>>HK$( z`s^OO*}lvC-SW!EZaa@3<t=);g8h#6hgouW!)=$a-;mmpf7gHSvCY3vpF8_XEiWIM z+wWi9{1;l(v#<Dm!`6Xs`;N=H|1E8fAFD6PhnDxuTjyVzZn5n5x?gYh?-G|$c^>`x zv)GNBLEGe3%qu*9K(My8xT=@Cs%FDC@$FalK5;BcJ}Jnzed=82E6C-2dzSsqz3+78 zb@;7MNS=GI^Lt*^Nn8H)FP=GH(f;LO{%+CVuDD0P7S7sU9;{!ud9sZBGDuBdw*!>t z)6?H>ujsw*o^kKKcWrC?-KT#%z)`<{_pe8Z(5QbVH%nf8mjCyu)j9XQ*U7Eh_bjfF zxvFFeq`dD7EB}6N?@i?=S@k!M>{H&p<9S@OaaCE*H}<L~>0QNZs!HPT7Rzgvv!5=W zBc}l^?_Xx$x0ncydV9axm#^YJXxy~&iF@!W;HFjF-6!)JtABAtf04S)f32>j>07vk zW#G5Ip#FmLmNzz0wa09$KTU*1{p2n7k5UzmAJ4Que9dsve~GV_-);6Rn619r`pd+5 zm$ZNViMYF3e)UhK3Epq!Dje?*F5fBssKu^+&o1}*54-L?df;+5+TQW=Tj8goee&AR z{X-y8FSrVt<@+!1{pdN%+;3uaj7{Xad2686ya>3O*SxiT-m19AH!r6=Hz^g~etrU^ zq&L30d3m<|GW8pat(UL(exvuv2Om&1F9WOQ(=#B|{QQ-6*3RFXf}j35`iaT9Gso5& zrKG=kD}K(>>XVs8#oJ^aen{O^ybC$Q+gz)g^y=Ox%TFJqED!%&o${aOE2Nfxz6w;! z?}_>is^veM<nQ;~d*tlvCqI0kwfytd=3gYs;@1BaTmSJF>#Xnk0r3wXo-LZUV*QTk zkA6m95l>&ay+pkI3*+qktABnton78~C;7K*(O0Ffrr)__E-V&bUH*mPdC6<lSMOYR znOCojsSPmO_&;vvQQPlQb1rV4o>{*@eaAxUl~rHt?iR=El}|raJg3e>?!$?_eAmBx z61`<EceeiD!^U^>*Y5kX;T`Mq6W5-8VhWd^yf%ClQl5W&t3Q6}Us1m}-Rkg_?mJee zst3<6JU{P`=2yAfUrfKf{J9fU)SDL7Z2e}OW4h^o6g1wSuY|?>=Uw6Z{XQSP{#2+s ze&XZn&@8{-@$TaD1+Mvp8!qiFUH9{wRAuYq{F6VWuZrLCF7%Aw<9T=a?j4KyEq-r% z*ZVyC-j1+$g&%8oR&B02u$O85%Zt%hoU0nv%4giKT3ywfo<DhR{EFE-{&wDZ)KSfA zR=;YVE;P?`U#Wf*r_sLm+w&VgrrJDcsg^B!`Sdn4yT1>ZAG^N#pleZG^PU6e-lx8v z`%3aVkIcnzi{;mMEI9uD(!WRiyQYg26;GCV_(6Zu=RA}uzIx02KcU~Jy`S1%e_Ha~ zf5qSLgCQk-&3<r6Zx1f%>m5FSn|Ax|r~UW6??@E=afC*G(B6gTHxz4wv%Glrz58Lc zt?g@{{BeGz{cdSR-YR{&HPE(w-rAoZh0ZQ7pH`i7KX9GhB50Oh3CZ$ytKLQJGGF~9 zOa11Nf8UC_tKXSgEKZld{LjI^z`5LRo!r|6)$dY^w(qt%{&L-?2KRTRJC;qKe_>yt z(Ep$9?7RMZetmkkM1M!jws)%f1*QBqcR3;D`RXkDbzAozI=MXMd|-dU_Wn0DkSxFV zYIV-#>o=A^pMUXR$Mo=19~*D|uQ$D({X(@^=J>}%@x!~$th>EY=XmX%_S9a{xuT{{ z)}B2g>aL4dXv+C$sCzlD3_Mk-uuNp~qQEOtZYRbb%k52-{QlT3Z+G%tw%0+wEC0*y ztNOoh{^uuGUaH)P()@jX#qBq}8{Ez3hnByvt##6W@$KX-?fI8~zwxm=zW8d9NpSg1 z>Blqj?AO~Z;xBMqUs$l~+br=f`|RA;6>M?yyS}pejc<Y9_qdSnFZJeKww^O*>E3^; zd(HJGM9--#`naj;KwO)2)sL=UGvpFp$J~vcTGh$E{?s|wS8D67pEBjUIXm%kxPRQ` zT9^Kh9q;z6yO;Exx3XsDyWE@@rE-h3{Jz+#f6Lyff6?rF@vrKu<AS{pbS?J=ecMpH zGw13n&T2Nkm!f_zRnNV+czf6Pbr<6HIL?bzZm+1B`ex5!yVU$0302+3Rn6H|zt+8b zyn3toU5)aIa~^z&x>@gUo1WjIz3b<`D#!OPkCpDye_^R7y;uI&E6aJY`tI^8roZVe z^xtkDGXEm`jh&9)P4fyqPrUbg`-<=#y952~!`9!Jo$y<&^u6%cS??Yh?mhhMY}Nhx zRo^Gyek#VFKehY(73ptAG8Yc-{541J!IZq(b?@#i+j~&FsBn5t(tp04$8@WW=UkYq zUwZ#yvdv=a_?7MDS?B*o&AYFByJFHQ*>^W*Kb|zNesQ((gYW$1CI6$pzPx*jJL={x z|8E6_>vL+C=WR-VFfA^zJMPiLknb12?^<8*r`e_|!1l=J->J{tzcN-IX0=~%?~&r( zgLbxmJ-^$|%bT>=y<~pEYsH)Q*W_(BKO}ee%@x@y|M?fVcm3V)?bQ6QcGWTGKE4RF zytU}vCi7#4cmKK8y2w9hihKOP@U7|oSGBRHMEmAVxP1SL?Kd@x<<<LF|1J?f|5Da( z-j%rj?f0aOcOJbNTy$|Y`<v7q3)|~g^}l4?Ht*WruhXkK+3QcA(|)D4E`CC`%qH{0 z&#b<ttbV6l)#G0BT>h)r?*FGAf8Dix<HuQd9?sZnS@!a0{jT{JmRl_P-L~^>{mBQV zZ&`1jeCc-c@VVQYw(hMuG_TRQsx`Q()3|Dj$M<_|Z;y6Ay?MN-<9P3zHw%#Cd)MN3 zkNLNl-}QXAZ;J4oI<?=+s?Ocx2rns4|Jro#a_`P}+*N(2W53k>Xs+r@zklMQ(AP<O z4d1=|w(gbjF9z%Tul60|%`3ZHUEf{Rx_kbUlJc)zdpWn6udJ?KalJ&m|D~*%`Rm&J zmw%u5?>u_={*$DYRfpr+n5%a9)cWxkcy0d{QeJ=kUV{EkgKu}f?KR!FKXflN%J)K} z{P&9X{~71+hR=Jy<oxE3DHHEJoVV9f@8wU2@5h$@`S-Bz-TUQsv1|4w?96$qSiR$h z>$Y#<<~NNCyw`7V)&E$~`ED_DN&Lf#R-0SywnsiM-Bi5bSHs?iP19Fyukgs<;P20W zskVQ)vt`u6-z?F;PyRdj%B))X&Be3Mut?t<Q~h*d)qGjC=M^SX79YM6`|X&;vfJ&e z`(H4}T*#J@S+n;U$KLh*6SZYzHJ7`ug5>ZVP&3hT@8Qn1d;e+dH4i(bd#-PK_u{L} z-;C~DIGlXt_!ov~^%wW7!uB3%ew>oNbyw%>;3rb;Z_aMm>1Asf_g&ETnDVaTrmF5( zce(W!_xAQ0KjAz6=IloA^Zr-<w%8pNyld}STd?d~)6}9@C*{h{O<Ozps_-`+nM>Mp zE_u(nxH!1v{oKWSE2i2+YqwX_%zHCu6>^NfdSz!9Uw!%VlXpp$2Vb^s+8<?`{=R;J zi8Di!J?!|8)VY-ljGy25&mJ0hYKqb~$4n7XZ%!}w=&9@6#Dl!pmoB`Wk-2z^W9F8l zA(_dmAL=H_i!7YxX!va-yFQ!io8<ntU$f`Ee{Qz(`E~oM*!i!EW3S&idD60S-W>Jk zKltBR%>Lh{oWD!COitxo-`=NuagXONeq#JbChpDZy7wPH6<gSc$=F8J9o#1n_qdvW z;`xuUJN`?Yo&G^6?%nc#4^Dn!jcI>$WV-$h@xy!7Pw>lY#Xn*%=ve>oobc8C_u~J4 zJo!lUP4Cg0jGw%}E&ae@Zx^udQ2zs#_jW#YA41-~mQy)*PN4k31Cv6|^*5#;4*v7y z;jHx^g)NSMZ~yez<2M_7_v+0@Z7uAAV-9D33;n=kZ}0N?T=JKSnFmenEJEtarhV(> z6W*;a!2j@#%*N^+HSM*0dk%?j=#D>duh>*xcHvK^c{WaO_Pf;agg@{4-B>fdcHTqr zlj{@s_qQ<DeDXNE{^8Auk2Eg+5h>FbVRt|I{l@dd&Na_7e%jUb_J5Sz_dV!sw9Mjv zUxGf$eA5?m@1G>ULBB=)$Gyc*Yiq>MeNeTjTKY}b{guAHuz0~2sluW)e<su#+8pPX zSC)UkUeLP!;mho;`F|t6TIUO{fAFRE(Ho1Og1>_*I_2&C?2hy&eD|GIF0a48VfXRw z-|r{AKkzgr=1}strv=Y*KV1&^ELg|%`^P`wC*dC+bUymPb@qFLy72W6Ew!RH2hPu5 z@>9aTS-Ga5spk9MTjo`pEOrLjwEUJA+U-Aa{-*Pd$A2*Sec-k@>aLzux8uUTlM!?3 zy=>YJ_jmvHpE`fzdE=h=gPL`m(|<h4oHf73>35oX=j-#`%L_h978Y#!GpE)r=7II{ z6X`!p@BLi!43rk;tg3sT{i)dE|0D}5UuasGSkw3Z&|m%ReJ#^Je0uqcf7&P3n0n_q zbM)dj=(knhpCUg`5tbIVU)f*R_Uo~HXZVKiwT0h&eyaWss%Vj)uNnW)y`ZW5{3Q85 z>%Wz%KYH{05ueN-mzYD^-=2ODv9F!>Ec*}3xsQK0KRs@Lb>G3wN3Z4|wYB)SbVu9u zH>)48*8P(?Yu*3!C;z&S2Q5Esj?cXRVrSBK{YQ#(t|oo2{3QF$@&i}=0lhlL>iP>c zBH8;I)X$6m{y$0n@YVZA{)(R{zxiA;?ldehIDrzw&POk-cEA7e`LRgZ|HZWjyPs6Q z`TS6E-{&M)ig=#!|B=nt<-0qcZ`i-tV%91C8{&tAYu>AVvfIa<{zFJE*8lgk=d1VM z@r^lfaowXQOg|NWCsp*x$7#S4MDLdTzd>KEw~MTQP*Ps_%?O$x_Q!w|MB1!!;h(H` z_Ilj;{=~YVGP{to|K{|=b8nyUk6-wc!@jY$=6}*pQJadT7I%U_+uqnYc}JW2o7E2( z>;7q-J^n*d=3%q<lik%B_g@4RZrWbK@%_8dZsVVtzhx?V^dGS7ZwRjWl698<{j%E0 z*E>Gf9!a*?GkwSZsGpL*Z4Unso%3jVL8pJ*@}DR7Zq5HzRk(M31(!N=VZlsjg2<n6 z{zLYj&kSeNe^~DExcXjJ!@m9V-y;{LpNhZXerUSyyV_5g`>ntCJ@c;M)c^1P_u#@$ ztTFN`etM9?q5U-feH~bW2>APY`wDxzpgm1{m5<zvemeb)_d~%to9XL6C|exdoj+OL z#_9L2eDU<-UDgE!Jv&;$-<*D^S@(<Y?DZd<a~}VGKSkc&>o?nbq40$}*pDCY%&s`Q zk1OuM^Y_>G6w92iFX-jJr)*z6=UcDQMr$$thi^QSzVAg&4(b_pRr8;5SA445SU>BJ z#JYKE@8T8jr_N9Rq4HDo&BN&h4gPiuewy0+USMGr{5klBZLCa<$G+os@^X)?xnW76 zyzQH=-I{-2WIoD#o3Gkur(~ZH&*A@JuQsf3u!{aYO+K`~a@~%G#SwFAeCkf@lbH9o zU4A<M2j(4zu7CLQ^Var%Ex+b+AJw+7^`G<flk&HvAB61xEve~{Klm=>^Sk9w#0$P( ze)R9?C)ph}Gk3Hce{=fbxzkUVhiBRy@=uVz|G(!Cmt0Ml%sw|zT5yRuEc`9>1B3nl zInR>+@brCfRJ^}8<hR>=arJx={)hiwAAKkOWci!o2Zs9^g#R%4eY_}cYQM+lw_3c= z^Wu*ZeEQ<w_v_dv&rg@w-xT~uNblpxa1;B=&EL-M(^_|5dETLu)<+yQ?bGM;i~l%x z{fY4(srP$=KTFo}>F--t_ulzau|@rSi(hSjnrapI9Q(dcbN=Jq1^@rf(toH5I=;TS z|Fn3)-^9XCxj&m~4e$K!`18T~lWHA9`wyviF)ROl4f^{r{fPFB-%BihulqBpR@3HC z|9*8y>9Akl{>PMWrT@d{)Oy}&Y<7Z`4u2b=rGxybe*5*c&GMbA^E;{w{)86tuD=<6 zko(V`OM5<B%=`a9O8!qoU0Bxr7wSphe?L;3Qycx}U&y}0cI<Hvxo;fRPk3)VtAE|K z8b<#*wQu!G_HC=>JAT_wf4}Lx@gHYca;RJQ)6^!qb8&oD9oKGqVfOt~-fukL-u~m< z`X|4CnA#mn|8ej9*8GpzUzcyK;QPH_(0%_zXkC#HOAf!*e$%aA{_j)%Bc{H8Dt>kP z_9^i^=RY2fvOB>4fGhs+W&7;=zxZF3|5J`T_|pE!P5GzpZ@eF>*8NfcDPZ4pw?6CM z-_3uR-u-jEbN^@BuR4L4IB#fmaqH9N{aMf?G5Zgf+?t~g*Ufr=@Z8s<rZ$Q3to$E7 z@6Wb7cK!i}{2%9iySu;j{?qg;6X$=pPrK~Ds(tEw=KhbYa~_BnboKvR`}1MmE%TrD zg>~5<CHV3c-~D&5J7#y@ppJF<57n4Q!q0zM+xbmi_wDRD)phSR<{diu_Q=7lPoKZ} z{E+GX&c2$q_{Vc^XWJb<|L~~atMA_?%|HBe?$L{-&=O+%W^k3UI|E#0RK4PVry76Y z>)oTC?)wtrdA`3>wBNI@rc?fa;=VTP>ecmoyMH}ytYEGFE}CvWA%2s7tNV|Syic|N zaQJ<Ax9(GO?Uwb`%7xqJ?_g%<7n=T|tJc!ysDJ*NpHlXX#WnY*%D-P-+kE%PRmVqi zGVk@*Jv9BTRna*AzRTxxk1PK*XV(-2|Gdd~%luaC8#zU}LpKj5eNRI!BHA<TcI|w| zT~WlnvA*Yz#5$(J{o3FXV&W(58;A8DGR(gd^f|ktOuMjT&a>qktcBROPlG0jTzHb$ zcx(HPwZAT_cRt@xzsF+N+&>MqiZ%zo=jp$Hyt|-H|6$;YT5tcqPcI)~m9cf5bH@={ zLX=N|l@Km(qwjnEJ@@jF=$v^<=e|vl->Ba@{l~|-Po4j8l=)xXQ!W0J_0HYkJN46Q z*!AWs>OD04U9{t~&(BPMX!9ZVY50c({X&WCeeBlf1!2vH_sgKohw`8AEN_|bYPYcT zw`uvUFS5J(wExZL2a;<T)qk+gd9=7XtFB_*H{V~6{-i!Kl$odh?!Gs2a+qA5U02lj z?d&_{b@8h64xMy;^kCno;%`3-8sEQBp8xQA#dFbF=RXuz6xx5SUO#>Q;h#P-cjKYW z2WD_>vHn^Or~bpI%va=N^x_YEl|FKk`6>6eqXqg?<?TH79pQf<5Px{C`KtQ8>wi5i z?+D-UJ*@Cs0<`&H?*eH{zEiiKksW_sJpH|J`GW^{9|^^6)Nfz@<E67{{A2ZkzW49- z?d$r#@$w0T%Zq(~@Pql0RNUtEP2xX(HqI*Vdk$_r9Db56e`ViA$E5F*K?Ov}8@m|f z0wQ@<f7>km2PY3E?Vlij`0Dbbf1RJ~e)E|pUUh$y?H?h&4<{GSia)&h@R5t*ruMCO z^}Cu6KZUd$id$;r_a8g{gXjM5N#Atk7XJHk`;q0F`dAs(Pt4z1Kd{`dUb3hE{UOPH zE$;U(?0Y)#m43Z~-h&srA3f24HXY=2VNHkcTk>mre$AcV8D0?8x!L_>|BdN~y#M^T z_(`#jWw-scJ=T-r58O>Y`uF~c@&}*99|_5A)^E4g{`oT5ME;@rhW_{VVRfwheZuYa zmumRS>h#w=<ovBw(J<fM@3UbYoBNLkA3xoV`t5eSdvbk8d%^$pN#;{fnv(t({(Q*4 zWnQ_+V*j<X<$r8*dD{O^dvEWFk|2al?0?$7ooyQ#Q(m%HbIuzTzIjT}I-~QGWL<Ch zy462<?AyhYzgDmNBXcfap>Lkn{)Bjr^&j^xKl%KJ-ktpm!R-hCp!x#tpG@oWmHhms zfjbwoPx1Rt)c?qAapZbIdimG&{-O0X)*rdP<*A<Yn+z!(3RNIA#(X{d9b3PZ`irNR zi<Likzz0telF-h@d`0_xi@)*C(_HsX@7=*de|YaA__N=M&+;*kn>Rm6FTc9)qRX3m z;WjOstGn)|pDe%e{D5{1<L)1<Z@!w!&s_`ZTSULH_o(9u|1SJ}zB0IU_y;Q;<}Chc zYE!+=;#U0U;2X6a78Ubq`fKfMj+DcjlH2Eh)19~e-<O1sGT-FY`r;Iz?FaEwzkle> zdFWkHGU1!<`OtdF4sHu8pE-LxprwQUG+60S@HTq>@_&MVwRhA{`Ew*DU1i?Er;Cqr z-)Np*@I~XNsEkl{l243q@S@Kww&#j1X1}VL`m*`>ocupRKlzH4E51g=?su>K!17UG zUfUzXJvMPRt<@iR$~rYS`p*$&PIBw%xcAd4o@w`n@;U3aw}}5>^7B6synen`++n*r zwTs@ZPtmb&SS@_i*&<!fKu+!;pP@?Ckta-Z@3$vcoc!4)eyaZ?b45wlI{T$|_OUkY z*ZsxCKM2Gf-0V@u>+bU0^G@6j=JXBR)0h2UY8B6WeUARa8t$6uJ1yVLTlt!QMf-WN z{xe_CiTNk6&pUW<^ONTr(w}wM6*}^CS{kak>0kaCe3_l!GXDM3Pptd0f9%}#@6?s^ zqUC-U{+ib<+`+CNryzGowt{8)vtI?g{fW~h1b-jt`pi<mX?_0k*R;-a_6PkR{;=6I zb9ca=2HOfwckvVJAO5K+e13A*Uc*vxzlVBr4$H+HJm_jQ-}!F4_?-2hxqiyk^~$U4 z`ZwX%m(WLsduo<eaD9JZX|pq;j`4e^rJ;h@k&Xx<;iPjvjVzj#&zbLxcpm@gc!BNZ zuh*~qJ$>Zv@((}1SAAqy7pE?Fu(l${rdsKSqF;xcq1vw_|Flc@UHEcvZ}{sf?TW7W zL!Zr0onN{BzLMSp#XU{w`P1IdS#f*&u9!Qm!oO@RnyU*qzdw}ni(mSAcN%|8;l7Ki zpP2s$@ZDecx_?FbdqGHW?S3j=@Jp|d@%NEQ5<=6D%!m*SUR1sE-1NhIHjisq_Z-rH z@a}i%-*Yq8n{yZP$JKb)v{-+TkgE--W4ivPKXJN+>bFi#Lxp3;bM2dyEgsY~ho3(G z!FI=<pzHAg-|aNxX4&qn;C(({pnU$S+piDVS}a}9|NH~Px&-;M4%6o!edO=_T(Qo) zzW1E{k@F8;hJH$ZbsE&Q<eztVveUkX?(?0~3mn#T2o}2fbeI|{x2?bW)61fv_}ul{ zxqqbYH~!Us{p;@Xk6)j?e#974>ub~W`vXg#_fO3?0>X>j=Lps&dGU0rKJR=eGp}IZ z%h#p<EbExo?_c^_{7U<M@%0aGem^pI@{g*|v4#9{2be9A&$WAR7GL*}p{(;?s#U!F zJL!Mh)@^Td{~<c(Z$O>X-JMZ3&CT<>#0!22e-v6*uM>B0;Y#7;N3&)K&7E9Y|D@m> z+uZ#|wI8}Z_XdM=%pY!0j`=A4QQ+NyWaIDIKQ(RsPpx3vePqfF^V%Z~GX#|veRrFy z-xzE0w8p!lQ9oh*wXf6D?#Ca=w)nq!M>SV`{sTstf1Y(5&(E+Hu=Xb$KO<<H^hphz zWsdx8`>$+&jQ_#YsO$5C-v6Cn!RP-^SMR~2_(!65TCW$ll=wY;&3LEL_(rSu<@%lH z!VjB1FaE#oweE-5XU__X)<(anuq|}hdn*zWWb3u<n@`U@QhY->&1L=$g|;JeB!m~6 zzy7oGWi$7=>($*gjqeW~jxG5s`t@e-(Ycp@R23_O!pym1*XlnUdS|~rl#)BFXQ=e8 zQ}j9K1ED_4`)}4-{b#Xnojd#0FXLB^;d>e`M|Vsws4e~|_3feGlH1b3<qt*P9kP6L zP*mnjsa^XnetwJi=eeJ3|48lMvGttsl6yOwD|q^CK8nn{A7^7;ou?jmpf<^mr-Ri{ zY1)yN8O8f}&OMm*+4tVM&-x#eEp9nqkDqN@E?PeS+;!;+hIZSZf^*(#${iL(&N<d4 zpqx{DZhO=6AF6kDx39BbZnty$j)v~)&hP^9cXQU=Hh*`z{9%h7|G5WpF^6h*v|TQL z^=I8p=Jq-HU-W)5)^UXYe(_8B)nE2b^@2YRA9?iT72*!a#T?l8Qn~Gjs-argktr|s zML$2C$o}r0|8?yLqIHbt<&^GQHm^5l@2LL3Ab05U->1hvd|LR3GiI}WTdcAB8)4xj zho1Y3Kf4#OOrMiK;pF)bwiXpT*6m*~PfjiF(AR~JOy3;7oBraPTKkFb52oz1n{$|X zhf#GxdqlC^`7Z~9&zaY+2WK3=1+V*8_V)?;Km2*|k>wscub2bQ6`brxx+Da{kF-Px z8z=p+o4dY+`Ns2_yWdZ*|0ruA|I+4e`lqkYK0acUvGcQO+Wh#4@QudhZJnGKmCtq9 z8LGA&ne_QcLEgF3KbM8S_*49cWuA@WbIldv{(|ct=-siLyx!b@+WUju!bcz(r-I{p z0mE*W|IDC_b6E0u^kL;cr@q+xUY{S(?=O7*!KaXqOy3@;%FI>T-{hR++tZny<W+L+ z(%*@PZ?c#EJN4yY@;UQ=6KdLb3huFrz5YH>-sgyOMb)$l9{uwo{txABnq!l^-UvU3 zWSi|5ehS@a-D_O3S9Q+;@q%ADHeap8)+frp^ZQZt+2$u>jBU70OYt+N#}5VH2skft z_pw{^`F8=2zUBQ7%Ab<|Fv!ha{n~%!`FN#yhhMMU(a`>ZYu;S-{cXyNKI`P^!!pfM zaHjFLxX*MyHIHw%{?)JSR}P1FxIe2B?^NHweExyL#QBHk%~bw%gw;^x*^wC%wIR>_ zedTSQ)a>m)c|LCO>(Yk@RUdKb&Cq|yVgGx_Gw(kl^B({8Kfyjd>%M`wc)ke#gO9I| zo>PB1{mtr+nstrqKNMf?=eJ+_^M}z}>H4TW^Sa$XyeZmPKJ`ymtzOKd=>@&|56;at zm9KaEENSz1&5r3O{U1D%P5NIvr@glJM&<H9w?5pgnzQZ!qn)lv{K3!XyWQn4{o#q* z?=Ms5xbN^jhIjkp_x*OQ6u;ki{KuUi+E?OhJpW$q?-nn3ul(r8=})oWTnjqnYh3Rg z{{BF6U(;Xht@&R=zv|m5#~oVi-*q`?-|>AcejgUEKl%Nm^Ns`7@zeY5me$VA?+A|< zTL1B~)11fbZ%#juvH!X3S?wQ*xW{|*PcEN-ec!$9NAKo$?f&p+^&>91P3v2-|GcrD z#s49AM|jq~_*2tAeAxZy;rFNPA70%~dS3lW`P<cs_tu}dYdYr7uB-V`d)C_fYyban zo1dK(EamkY-|7_X)8=!t|4@~A$X(IZU%%q#zy2-xzmz}znf{2==FiL<>n-?y|0?K- zmp-=s0ju5Ljn59x&%Xah{ZXp!yn~bPcO0I7%KxVL0m*&UTWgx$AJDGJ`;)(Q{g0Wy ztg}Bd&HL{u^FOBU*glSRADZJ&EdL>Q=kMlc+7|8M+F$wqDerHY{$F7E{web}>o?x5 zKh<BSI{#t&2Z8s0qV}~}?-%SZfA_B9Z%*&~nCLl=#owGxkhlN2_F3^CiFpt9-alD= z{o=lR?~iVdJsMk4P+Q0<{zm&Dhy7~P_(#h>$h`mWXm`W)cU!%P`0j4@4>v@u>nFu; zT7PW$57vE$&imTi{n`BN@!c)?f5bojy7oxWW_Rn2->Z=lg<tsRkM~XHAH2MEN4fe> z&X_xXZ~o8yykR}R_4euU(sgF%>pw8e|L6Q!)aL*4iY?QhrGNN!?$L+%PqN=w3;yeU zx?6vG_YXdsW6zJhU-|FR|10);r&ln3f2Y{@U-^D&JWKnJ#?7buj&E&$f6%yw^>=ml z{Z~=1;(3mESCqsTR^|Sb`z>T~uz#KM{p0)(nclxqk$=0mcJAx0@ZX)+f4poyVp(@! zAJ4guljoYge|-Fd)caU}ySw4Pt7HqmKYXOO=SF<YLFaE%3wr0r#(!q4<ND5bVbA{V z8czOv1vxoI`-X7#?zz&Z`fr3k-s}8C{D*+O`QIM};b*PeSJl@je|#eGk>j0R(3`sv zb!Y6v`964C91{N^BENgqd-;{Mm+v0={P>7)g@w>>^M^KXULQ8B%az#QB>v;evRVB7 zSNHvV_vq>5BgPd)do1?M{4=GNan8ft=F|N@$X2wT@8#dRen;ysYxPd?4}UZt?a;PQ zj%Nw~aZvYZa1H;vc`JT$oqKq7?G<~A*g8&qf5GM3r-4&N@Kg8g6VHEeuIMPw(~dtp z_jc#;^Oyce-LG6`aa{k#^n-SFMb&4Y|1h*USUqn~`Lb_c-zm&H`bf{FqHjkN_qVA9 zE%WaNepapHI{)$C+$YV&Tk?0b@38Q<X*jMgVqHEBB}we*e)hO{YyO_)6@QIBa?G2n z|E=ni_P3n{o$-fE_cd6HXV~pq{mi&x*Yq9boqt&L^n`wwKL!QDIqfIc+vXqsEN^pQ zdHMAkR(`pPX4&)Ve_y}l=;NPW@LX|QdE(>g{99{TrS0oyyfwb@<K)3@{fUp;+i%-7 z^uD*d^i3}3&#R2v*Ef9Zp7^%-#*deU+x!zAyDxm}eB;MG&Ta1xA6xIgWv{WAbo`u@ z-$G_}WwYJ}-}v!Sa$A4GWA^l$_u6~Q?bPJot~u_`URGIC!G1TR-C!TPw7g8-Z^4|J znk{daZ}_<S_^o|y|6luORNnaU?&P-b36I;;Z`d{T&YzR}Tf%H#)xNjkAL{@9)a93c zzwgku*c%o9uWpk+bnJZa?eq`ze{V<0nbpm_xv#1By-v>iL&x~-607CS?EbEK`}{-w z-|%QTvweTIy`8?{<KJ_=YmeV&FtgjU@$K;qA5WgmwQue{pP&7k$!y=f!{4%RRJ?z; z?ft=H?B6&3<uJ3WTL5y~P3PSG4ZZ8zw#6Sjw!87|?hPOREzY%X>Ft-x|IL|G^DE`{ z`NYSkC+F^O=`Ej^_?s`M=KsxY@&}H!>u>lgVP;p^`8IvS$I2v-9s90;?cfF5kq@zB zI@k`;ZSe<=g@@m&W0ihicMI%8ZjcY%<2V0hF|&)kRmUeC|L?>%?;91*#kajbaO``1 z`ftXZnjhk~`x75euD@BwCOtp?_||><L^gc<s<$ov@Ud$1jkWw{b~~27b>HxDWBPB+ zoSJRR-->Vec=aCGE8jQQiksc5-|)75!^ew_+vXoQw!9r|ja=q$)to(_C2p4|JpS#! z;V*-koy_ez9_jgW(tb<k)YL6|%YEa=FPYox6CdvtzX^)Um<(`KZUlQJI|me8_cDHq z=Ir@xcDp?B@!vDwoNxSi;S9Dze*0e;vweShK=E;IdhY$k-uLyFzcJtV@!|J2`NPN5 z?Kl4AF|+%>6lBS+ZGWZA?nU1Q+41N2H{}~YDxTk6v)(@V#*duv+xiKQSKrOu-_%>4 zpZr@dXHUKQZT`f^i}&W(H}?9!PyKC~Q)9W|uaMcjk6>Hu?|^OL00&rd4k+gPH`g*s z$J?I-$BN4B?+K5aFX!4f_4dzC1qGMb?K(#3__^s|pA><8;++GE`8aUQSM7ZJe8b15 z);XY<zn2e=d1Y|S&)))yc}=h#`?EkX|8Lvd>l;4a<Ila{+{<5=1`4h}(zpE+A8)q5 z39{tx;cwbED!$(`-?(pIN#f(buW#3JNXzd8huHQFpb*<L7aU@-IiL``e;DkSec%w| z-vkP=`}e=G=G4?~0NbMsw#RLo{J~?#kKeB2lAdpS^c(k$AFo7iuTOY<H~rQ=Zt3^- z$HCFk3by3=ZBPn}O94lH7C7oxzGdI=@nLkXeOs^py<AY#|6{x@zTx9n_uTz$z2Dbu z0wu2>i$KZi<Mmwo*532`vVXJY)P&vyrQ&rt_gj0v*XRFM%&GYje%n9c@#T839q*Rh zmrjnek2!SA+I&kbyV<__;M@EOj}J%R1f{V%2frEL_^~G)6lnXFgFW*X>>0TvaG)80 z1MM(4jrDE%%Vl=2b`3aB3WM|Hyev?jl)4G>%)C^vXKcWp(ccD2$2BWK`JQwC_j5M? z(l&hjnf>-Bli9wy$zXeKgYEgTH}}~uP)bWY4~icD8=&a<SbgTqKTvuWtp<hM=juae z{s@@aJ^EfH2}*1~ZDWp|PkiiMmp|<zD2Mic`)Re|<KO+a>lmcvt$tbFNc%5gX18-5 zs3iE=n-hQF*md*GprpNf?c3@N9}mibBdUD!UlB9A-P^%=lsN~Ku;sHr3EO_lUjZ|_ zs+PCv8$P~VoMYeKt1q7p_FD?rZ|Y!s<|Y0%%&95b2DapLF36H#a2`GK&GyER2SK;{ z6COW4odb%edpV$Z`sxQx4BFs``e`fp>~lJYwEV|v*&a|?@JEjA@$(HIRri}8{Gpn& zXY-cx*Bg7^|33jrcoXg`Cw%Kqd@Nk|ZvRK)8$agEznovgC>{T~_-iky<hg$F{99vC zrhNmBv^}#y1w-U@a0>heE_|xNDe!$RD0OV<2YYPaw)uyTO=rJR$0_|@CJUUKJirMf z8Jq&0Zl6zh{8<?6ujRMuc%|RZ&HOEwQ&Z3fPJlbN%|CQ3T^t-+dk=v#lj*kihmQUJ zGbiEm57|S<+>5L0n55_LJN~Ws#*a_U+u{!$vzFfuN`L<)LDo3e^{uf4MbpFQ`=mgH z!%xe#$HX^$G_?=E`olD5&v)<NHMTc?G|Z3Adgi|2qoIBJl^>cpHOJ1gnu1bDY`A^; zfghqddv>n|C&zc-(tG<hQ0cvQF}R?J1y>R8QbAs;PXLwPJLZFvqy6^_ckGRC{P<H) zy|1Bn{-=E^#`6yx)7QJaKiS-_y*GaE&2OeTHQ!^w_2QoO$KLQKJXR0C_1Q4z&z$dT zXZ++bt2_DIr)Pb_W9#_M-*<2L$b9d3;<MTtKMddRHn3~zo&V8}^KtNvA5Gs)CE^bq zTVE;P^zmGLN!;V=|C`R=Z|{|lb^pKa+?n<bA1}w|fNU<?T+1iD|JAL1ZN2ZSz_wQ3 z0@cQEK(%q*zJ5?E;px6@APd=V-D~ZY|L}gs*}u;ZAM5Ym@?81G56S<2H_j~I@R9ZZ zy6ZoL%<9hWKWutW@^#g*?|z%tgDm{`KIUu<hqV3E`%9%j7XBz-a_sqrkJ|t4F8>Jf zYSQl-xtyBP=Ipl>fA{U#|1b7A*SWI5+A8Ox|C*l)-}v!w-KU4jPuMqn^uDq8bl+)< z(9_4wFYWmleB;dbJe_!Zzu&(%d{oVOHeJ`g&{W<g@Uvmgv%PnB{5$-#dc#N7IWo%e z+iFULfBzA_ai%<9D_(YeCBK<n`|tJ>;=1<tVn3f-{8ahInce1-`Q_E_xAw;0KWjI? zxV&)Z^dzp?{qOfYZU4St{&Dw&89&e2-aP;J`61C-$(+3Vi=MjIKC7&0*)a3{z1#ct zv0wl4bc1F6#ZQlaXWX-~0~z>z>w1uJTWaoodT96UkA&IhxxY`&KE6JC#^>}6m4Ec2 z>PqU*&OV;cG5hbihS$aa%M%_y-%$A{O;_H=;q$YPmQ82>NSXEPKX1GvcW(ZnXOFG( ze?Dx!k}tQPG3Q&koKF6?-36}kY`gF5b3NTJx2UrCuYlR-b9JAdeFGb`^Z({){58M- zDBn1<p7-<5w>BYvk1gNu^Osfr&xikBnfIS(F#Bwpb8dUy&zp?1%<Wpw?x|m}r}7VP z;^XiQGuKDkRnB;J_W5-lv(L@VPtHER+};~+A9L?cT{_3*>4(z(?|ItJzWV?E@BA@F z@rR%Nc|C2u?4ruY!8gwQZogH>A-%up?BDS1HAORQ?VHd3c~J24|2_K+A4PNI{;!@k zUv6RL=O2Cv#`o)6_Wb-Kb>NtO;^!ahqwM%h^6maF-!SvNZP2}%e_s<Hhr6Ge{rP%< zUwqLNTl?l-c^TdOy!rgn@duwh-k$aIrQw_BKb0HL*8C6r^z0jd!sF>1ZpLq|`IB*W z_V048*+1`{*jFcSwy)*v-`zPsPi~xL-v3|1?DM_kCubioXP1sY@a*&IoS!c?&N82G z4@wyQlg<0{`OWHnT0Hyt*_Ac@%hCSn=KcMeIW_MqDnEaGd-&M&4Lko&*R|)odH%S0 z+u1$eSwO-EkBM)%8NaROi_6*B{qI@KKHpWpdHs9B<Ki1NHQm$X=P#)I{IN5^_`O}^ zy_%X`8$NR1sHyohjeq{4%FjO}4yWxaUbLsOX6J^FM{n50b1whl>c925`i75pm!F*d zxq8D#%ba)r7d<_$o_X&FhuP<MCqYG={)7Mb>~-bmC7th2G`?>cdhbrXUQP|$?DhHG z)8ywatNi?fFVXnD%co}_uQvA1Km6>odiKu;FK3zeuNTaDc6~$TAM53-@BjG2v(d7i zQT<Ere8v2A@&}LYK0n2L`+9Ea{RU_5_ZJ<0!+$=-e*XUC8)wSTO)~%9&n-Rw;InV~ zQFSH{YySK-X*s*+vku7n2acUj{QTo|l%2(GyZ;<!pR;e&)LEYo+y7BB$Ikwlu6<44 zUi*f#HN3Nrf8X_V^Yg5GG4+i8=L2iM*tmZFDSzmg^~ODx@$6=GeE+}y%l!G^=PdI& zmf1f`Ph0B;fXv9dzvpSY{+93T8)mLg{QNO?`=2*4&wl=P=9*pk-}Mu?2HW3s_VN0> zpHGg?GM^uBeB%uNIZ#B(o9$~l`~3Q<r`6T3-+x{DPjbrToy%v`w>_|^Ep)%HR-m<G z&)m5DlkZjc@7Qaxce};cBOhyzT(<5w{JU$hb=Tk8Ba5v&_x|qezI|wq-huw+(nIX0 z)bl6!N6p#3BX8q(g=)Ku!aI-F91ZQhTl==Qu6wcAa$UXXH#4pu+)?|p?pD6s|I%*T z``;Y9AB&~yu8VqOcjeym?G{;uw;pXedhXx1eRbW3#ng4rMaOKvVSV79{1%J6!fTIK z9evmM&2InE!0yXp({;;!6tDgH<7>2xOiH{ySo*B<+46JOpEp-;*n2*|@XDhtM^n3H z<IGK-m-B7@{ykb|n?+{fy+^x_-h24%--4rs-J8Y2b<6rUeE+H27d2<wj+~8$Utf6k z@5S~T)?U}O|E=tIzwl_s(a7%AV!PdM|NbN9uIm>av+c&i^MTK5{))Bh_Q|E*ug~m0 zE%y8Ps`_oq^Xefs&OLtPGf3JG8Ws`N^>A~J-$XL!x4^T1ueV#|6kd9?=jhkRrFNey zzE;RyEP$w<tDX^mbpH0=8*YkktGV>4^7M7xZ}T$dU$5;t3lGjY8Smi+ly>jkxYr&Y z>dS8-Y^t2Kcm4fG8;*K*e-^v0`^|6DcXr*r=s8<=WNob8gb1p+!Eb7j9A3T(VVwB# z%=k}6wYISbFHi5<J+Y={VFic3p1^Pa?dOHJTI3eqeDw3>w?CVX&Na@l&)E2z=jT&x zOa7QRoxTT;L?4Nq(-%5FZ{^P?t&f<@;`6WRzMGpl|G1_1ocZg2+R5AxzBBX0`t(PP zG4Wb*hgW+aG2T%%)uM7*?cO~{OS|`Oe*5n$@0ah}lUB`7o_z1Zvxn=<uItXbd*a)_ z-rTsY7KOR?H_kp=b@cM=8~f^mXHVDdE8kxGKFw@*`S!|-k3Pt4`~EJo_~N4#`M>|| z&5he;v9JGa_4lnib3UfX->&|?d1pppN&W3|cC+WYa`p$ly<^Ue+hS2O;jQhy_)Ix_ z*Y3sbH~!xJn{zJO=3DN2yDQIJyAS`(y>EHo*_xw^nRDOUoOxE+-Q1k>{?lLU;%aeS zzMuc*e4Ok2=I5HD%KPr8{hE9D&A-a-=EJ%B^9rk4-nRE|*qQfHXT#qA9<%2z&Y8E} z!s6Dq*J8_$->CbcG5fXHdAZ!``jpSBj-K4OP2T1h$Xmy6?W>=2*7W{=L-~Hm`|l0k z$mf4wA2p|Co4n0Iki7b>eg9QJ-v0To%hLb-$+G&Jk2vq^8~(l5Syq4ayK~R{Pk;Fj z*Y2C2SaI{wjQ8mh_pNW<i{DmJ)b-YO-~EJV-D2*`Z~l$lSD*0fvsnMny2g)s>n}^k z%}adWJwLIy|N3{|`kRkV&Me!1{Povm`!fnnHvZnd=U*O3@%e4PW8?hI|8|SD?}^K+ zX}^AySA0)go<-HXz57qUzIzN5r1#&ISN^^AML$Yr--UPYKU8gbc|OXfYU17ZAAW6m zIe)vwgRjYtuj|IehS&FTcA8$i9r@MNP|50g@LqqPIvu@xYiAr<%zec8apyn(kn($r zB@S@@b*^w)(|NgP=X@=(FY7<rzS1l_@Q<fV@5&vSIHBg%q5UuTA2R+`uJ9_UTlP=y zdEvv3e|$CydL7xnxGVhX`K}-Ncz&z>@_MH5FYX0iZ~isiKKiq|;QP{5)ddbZ9gn}C z((hfqzh&;!KP@vZ*|#qLa=gIp&EKHn?(dp>59a){lM$M(wyB<V{mb<U-@|X2tL}O; z|KQ*0C)Er5Wd1~bRs8E*;j^FL{fMaHvU=X-U#@TXF8y|{VD)?P^AA=3YQ_k)?+=al z_|H)mzpjem_z}~M&ur%2UzzW*pZR-d@uQx<+!o91o3$Uk_<k$=l6{-_m-YguH&<T0 z|NQaQA=AHlZ-m8<oD-d;{UA>1+{0NG|C(Rf{S~%YV&4>;^u7Pp{0sM+)4zayA^F#P z$CCXmbL}@ymq>Y}d1t4G%wfrm-_x(I{;t87F#n&O%q+V_-x<GN|IqSJ^v>Vz8Yi6> z{ttWp&HU){fBh`!i}DAwf3<&Ti4Ye4HFtmJz3SFSQui0n6Pn9^D*Z#pKg&HTY}sFV zU+_O{`6p<jYE>Uz@3Nm;{7d-*MnmOuFV81FPkI}9Ks)IN|5fc@$`ua(CFTj<tzT7N z+Pa9{{Y&{nMML#p`GNJEv!9=4iW6R3zcSyco@e&+)0{Ty)BcCmyVbMKe!gGz&QJTV zM~{g9<;<D)f7yqo8~^*CP1>&YYeVHf=>y4+x+KnC-QRZhb3AYLIo|R;3dVb;Ejs>B z(B}DP^@ij}vm!R`FU~zX`?)duJK^U?-rX*l$C@+mywMJ&zIBUh|88FmvTw71U&q|J zSL8SB=hnZ!x>EdGC-<W%|Cr3qr(4DdAHRO}-<*G(IrGjdS*Vtkhy9=RPcCQPc^eA_ zu_IG%?`}E!Ig-=*`sI6^g`WRK%+9A9&JnDZJ=^<I{=l=JYxvubs9vmXf45&WXWn_O z$LrVc4SqD?pJdLw^FkKtd}SB!%sGBu!0ddwos3|*dBFdszr{Cf?3WgJ#@rA1`_Q`d z-??>3pKBf;sD1Rp?US<H|KuAs^(_TXCHZUqP5LXBGtYk4hY2%;)!$#L^txeFFY;lQ z#Lipy7uvU-{d}Bdp77qgSKeQ~-+uP9JHMQucM>=>`&IAk?EH2N5}Nj)(A>ZB*`D&( z-$21BaUeO#Rpw&+;b%XSS<B0>-#g;+EBJ;@{h0?%FYfyk8{5S(=FGEi`_S^D<Z68U zy3e}{YB>AVD;#+8mj62_{nWXlbZG^Pf9K}iE9$45I{)yG?;{bt&cn7>=U=dIy8eZ| zAm^3K^v~9|XF(}kVE#c-!-^Tds()*@6_hoA^euJ{iI-Vo(Y9IfbAc0&{KBfb6-g}m zeCcxY1dqF~j$`Q(wy>X6X`$+Nd{vy>Vu`~?7td^ee&poi(0DtyLayn@4f)fL{P0{A zeq3Aa!za!&hq)h>toikd<)~zgZEK~4y4vy;arU8xt>Nm1{NhJ$zFf85KXlIF(jzu8 zLYFs(l+Rl@<KXLbBYyqPzt30PtDLroUp>8>{lg54ihZv(7mKtPe6o4=u;a!4h_7E* zyBKY(r&m@u$;2)A^~dI@;GBIel@@Ajov&`Tb}8D_OMd<^#iDBJtL|=*;{|1gAm79< zuBz)yV$n}KbJ+ON58hSc>qF-p`1yKfdvH?8&R40cBn}^)Jp<&M+adA$A`7{u=cme@ z>-e}kbp5?`GY*_CH{#dtc=tOrey{t*=EdK;c7K>~BX)(|PN$9R?(!*ed>!|WhxFU8 zxzVm3Zp7c;vDbg4-QOLL82XBzJ)Cgk|D0DRmy6a`{E~e3(6I1>>ecktM*@EP4%o=d z{1qPRzu%|j@%oPR0>?Ksv0w8%Tdr^5{(a=f>{s3oSo-!Y{3Q}6aQ%MR-p{&^%0<FA zFn{m7SpGEGqV4d~SHcy3F}3kuP4~1-zaPA}ZSQ~4cKeW?2ONBL3x0tz`ur99nrtmr zg}guH{OCveD*rmK7WeROWee4?{Q-O1vUmL1`AU1oZlV6Vr50_+KYW<Js=dHTr+#r2 zYk0xG?5ooYo^OBj@V%(}2M)PEeqR~-I)BQC=sy%Xmvxo9!dK=`<kyGtodxgxljQq4 zZpMe6e<<Vkf6*_dIKk@r@Y)aNN_S$re;0W8{9jqcmR|6Q|LXG(lOo>jdEK{vdziK0 z!#}$osovQ+ucD&%sGv;~yX;kF3$<tF0ef4#EpEGhWr`DKHxH_<a8|l=JM_(ALBnFO z`T1+W=9`DsZjcsychBWcyK_>N@l|7s=E-+M=O6Y?+P=E#{WF&x#oQa&*^fL4TeZA^ z>-hGNS~l?`=W;{e-<!p;J`q&7_}6mA9JqUX^}NHT7w<ZkzVCCnv2*ecZvM{8yI0sX zr+;{IZk2k0U&*^wyLPJ!u6xL5qu}>$**;C1*5lPH<qk`3+}Hl<+}+M<?XVA0_ZQo} zJMI5~(`MK5SAuhdR#&f%J8T+r&-<(BoxS}PTlybK$Q?fXdzIWF&W(HazY>i(`15Z_ z{Gqvx6+axn9_J6RZAgCf>)<NTWXGBJt6v<bP5KaU_3+=04eyQF{X35`t^%z=z7hXb zsEkkKH@hZV0mFCsWxoXG35Yvi<=)ZooIl*QJv-@tz}3Iaog2zKDj51ZKOYW_Kfqm4 zHsh6KjNo2}um1#HZq&ByVDInPtQ<Q3aB;;ikE_8uRCXO-@lMvYW$xmmu@xS3?4rI( z+BB>82iZ0jCmDs=)^Z8<`KR#52#Bk%zE`^{iE+1jXYmfTXa3=LtwT4q>=yj|VOGSP zl~wO~j;g+~om_dtlDlqOQvITcgL^k0_1^JW#v)8+&f*<Szt0PYZ}?sF!?o)lw@7wH z!MY08@_3&4>n&{j3weL*3trz)&iJS3=mRHL^+1_@K83yS1LOAxeZA<~H8)(7O<dcm zV7^7|)>jWzyNoMJS6Va{Cl$?n^)*{0xZ<nTvxiL<zvZu9&kvY$_^b5HcJCys@Vk|p zli1eZKV-8<<=p+HRnOmcao?y^|6JgD=HBvMzvYg~yxTLqvcff{#^dWd(Jt0EbrULg zs6CSpz59DZ5@-4P6uCIzZvR#He(!iB^sVSw;`87S>7u{qhpl;VFa3!3j?b1qy1Sa4 z3R%DJS6G+u-TP7D$`|#Kg-qh{g6;)gJ^Pkc{WLu)p||g#jg7kBzU99@-R=tB5n}`@ z8QoWf-w*eB@RWZ>yZDipS68jqIw~3Sw*^!(?ho1fZ)OtL`nrQQF#_rPgKPh4AGM76 z-&VOpWm$dD-Ib!o6@S^EJyb2+7q<7a_)*q3e|jr-D5d=myZg62iLd<MQJXzVdh6ES zXTR~czlL%5^&s2!>_;D}R#jVT_C5GndX!N{xLJDDyiyV8ihbOm!ts{x*Q4vYk}Y<F z3&;F*RdvEgIpW@&v$0X`%U@en$bFP^&V6uMKkwDkT#@7*J7hn9m}9YX^Q+U=8h#Hy z`JFi|X?V~3>npu3&OL7o`Sm+4SFhT)YxX0ab?*+^%n_dadsW<b(cp^Gd{7isH@tdX zEAqUcw*J|}NfxzxUj0iJO)q$F_=x3PXY=FG`4{Y4oPX6?C>?vgs{X)xM&AVeuv#wt zj=$n7<u1NIto#d93;dD)YQ6FiM_jBL--8)D)c9V!k6CB;p7%}TZ<qg{SFN`{Y`0^V zmraZMky$sMf3LEN4~U=s({!Kv-p}DbU-f@E_}IQX_J+FO`o;IpUoRF(|L`gbWclNn zb#ZZ}n)c@Wew|g}2mk;7Uz2|I+4Ytg_j7ljWS_fi-@Q}f*YjWPNj-S;;`;p4Z%({k zTeEJudf64{+LyN`mX~fWfATcU?&{gwf#1JsA1ZtD=hp_=%4P9yH!I73{`cvuq|INC zKeAu>em<IIwcqOS$@M2=Up+OgTp+)2@8bF+zb|agewF<9#bw#A9`<UNZ(jMmr@W?k zt={|K`-QRh7VkT0eb4m&?q~ab<Em%-{QSh{JMXjHSKsq<zAw-Jl+wQ}z391`{HJ+4 ze9tF7nf<xt$xqYD`QKghs=}fxZ|z=IaJGK-uNUjAFUjuQ9X?q+y0T{bGP@nsldnhr z{3GXUduR8_-QROQ6=~1^HhWk7ezo}gr#Ju3{#9||$vx&-H9M!O^XI%e%x=1KbN*!g zZJ%Bo^xgY?g4+6RpKjLq+J2b5=ko=%^V>h2{O7y3-eL0kZJ)jzSY}rhF?lz;Y30VL z$NBSLH79~?daz7R?$VRH&a?O2IkmjqwDkW6uuX!#wSPL)zBj4G=RG~CJp0#&6Kdss zS)Y!E$;sZmQhnT{a_iLda#yc3yPH?0UwQH~%+7M#%J1@*pR8VMQ=1bkFMIvTyT`Nk z+&K04xcS$EAARHUpMKu3vfV%9(>r0XP5xQ0{&D*5yLW1{y7||S!L#mIZ#+3Yy3#1` z)#2r4J3ntxi_dv_(bIQtdC}zX=$~(<ER&ma>&e{9vuy64QV$G%Z@>3scywh|Rj~Zr zi%;GegKbJT`+9ID*rv_1sw^@;z1uts<fnA=(*HNXHa$1_`q9$&o$YpzO`rBGv+J3C zr?L(ldaS;CzaIjJ-cDcJionU`ad*I;TEFu9a^HQoPF<dE^7Z0R-*<5ro*WH}i@R}U zx_<7bSE;`HswyWh_nh3XmsRv#)c4-r9VhpOn^nFK^}V-$$w~I<W;^Y(KRsMM+or;I zGJpK_C+A+zuGu)%eY)w_d(yM^+&Yz>8O(q0(v!L6W|jZ$sm-rhaq_o(_NS}MXW8h^ zs`)Zit$hE@Cr8U?+x!ch%wKoy$=CI>YU0c*e_U64|IfR!%=bmvx~<I5uK(G8=hX7+ z;kB2I?<{>;Sh4@bGP#MnXY-opSCuKn#|6jbUaj98s-J)HOa0`U%lluLeeIu=|LUdg z>|alx%>MNy%=fR;CturLrNQ-VKbP6<@(s4{s|o&|fBOo*%Ka<V+p}MltKYwJ_qO@h zyC==RehvE@AN}=I_OJD7_rHjjXS|A6x_@P}wb@tg6XsuU&Ykt^^$GK@75&TV^nNar zySH;?`TI+t{C9Wr%HMmz2`hH?uZs0*-``z(68!1x<AwXngXd4ofAw$gtY33#-2d<M zt##YCvYJ2tRq!X@ySAHF`kyc_ZU6p0SMGfBC*Qqx8(+%zPR)NM`kw#vx|7WJq_?fq zKcD%l_MY?BmD4XT`{(;fR(}0-ldm6t`o4?3@Z|Jbo1Gh0^2gtLa_;n${Bx5h=jWY% z^3>PgKJ%04&t>&>fx-Oo7oXhi2B*mNIj{b$o>jAVYWnmQ`)<m|-um(-%{MOh>E>|r zuMbRp?^WhbejfevNvZGN|5Z<CXJ3u3EQ`EsT<1KwI&-rA_D@fGeQSR#Q!D?s{G@nv zWl3f5`@K6)u3lU7ZQ3%qJzGy&e+FmA+q0_vzXd0#a+9wYt!Lk<1?T)f@0QtB_=EDJ zP4=sY)wB2f-lwL&{nN|evv<|IPF}zL(;H^r+CK-?zS~^^c`DN6>%;b``F6|Z_M22X z?yJl>9&Yxxx!<gE@6_}5*RCAyH?RCI>>HQ&^zxpSzvt(C`WiN`cGb#$`@2u>h5GKh zb87N=ldlicXW#i514{fWGG6UHZw|`P`z~B*);Fts?(I7-|LJ6X^RNHHXVq+-x;#Gj z)#6Pj@2=OpU!&vo>Fi(ozg5BVb&F40-_J?=ytd}k+GY3tmw^izYv0-}IYr-h`^M!w z{rG*>uLr-u8S;PbtB3rv_WV8!_ErC^UGb(rtF+J8-MMnyKC|fka<HRT&;Iox-S^#} zJ)i*m(!K0nv?<6@bvLgx$D39@zYccPeUq>M@@In_wLkmS!}qiQuzWgOJT2b5^f|xp zKH;Csmfu>nygsK&PyPOvBlTIY{>`8L$KjK2|M%(3K>pru_VwZYS$87MEB8%}cine$ z=D)o!IsadLqCO$N>QB8{>GOEFA^-CrhWx*N<?nx!pN&su%bnl(&Gi5C(miW!c7_GZ z$L&5j_q7Skpm$fkv|P{qBz(f$_TM?*yF241zjxX9a^<#Hnaf}9oKtgIIDY?1{<6$Z zRoe6KFId@bo>P>6UG08z&F0HH1B1_R|Frsqx$Vo|+4C+y&B*h;yVDb9#!KJX^G=^M zxBd9ex3*xj+WPIEex99ORne{XeV6Y(_Md6Xi%&0`m;W?;Vt&=7Xj9w8(dIj=XRGON z`}AX*Z*9SDu!gs@t6rE^e&+)x3v08||9;>y(RS9aALo4E-CcTe_1ZsKpU&o<`JPkt zC~oq6?|m;h-`~2jckiqk{!g;spWmHT1rD>v|Mpa07QVOmq`$)bFF&fyOP|k!huPm{ z&x=0!`q!*q_U``fll&_8zf^qBe04Rf&h+Op_5Jymh2LL(@_bT$)uG>}rSJcNoWAV1 zq{-JB?fqRfmnYjTc*!Y$0}>bPrlslk)$VuJTn^5?dYRqacKYP}sz>c6rS<#O>?hP* ze*DvS@2yjyGUy3^Zq=i|v;V|=^7XH92>#BO^U3yvx$U{bQ1`y{<j<-))NcNh^T}+v z`Wsi~HqWk6|K#hxHPoL!6B5PkW~Ki(K#Y~WcLf{{zW#MCmxb*Yojk94|4WNw@OQbJ zPpT*6SItqL4GD&qp8Z)>hZcj<pKpJ?PjI>1)hE^y^Q%^^t@`x>5)3w3ul{ZHtyB29 z?0B_L@cM0^R-ZJt{h2&_-j5w>_S0)F3)`(*`QP4O#y$3gBLf&zFmAnDIY+zrpWX*2 z<!?8d-4+T~ze$uzHA(8Xwa@^O86r{}({qGPxOz7opC_3sBDL|j+?<6y$w%a>d(84| zpMNf#|NhSZ_j}?V@B2OT`R5g0^A8ukdvBWj-h6dyJ?qE)>{oJGejD@i`meh9F}Cau z^NU1Nt8<NK);7PeGqsvH@%Q03J*zK%<V$-e<HW!6;zs^dImvko-PCn9J(hLrUv;sN zKRr+0k$=U-jeRL{Qu9I<KbAk*S8Zau#_hOe+s@EMzpuP-aeF6!`rHmF+4mOD&VBqc zK}z;F%d?3OC9_Hn3E%wax5)kKiyi95+fRRKkdl2Z@@(NlPgARZE8px`e(`Wt$sECF z*It&)mzsOx#9!kZlHrRV&pmnP;|oPot8bBKln)zUdC`)-`SDz5kW<`e-hO_GIjdyN z=Nav7>Q`U<c)s~@7}!6oXV!ARg!o5%qwzYo<GW|<EatRb;&y!Bl**KfHmSKEjLP<C z*{*Us{+GM>cTtr?Z(k|v=L;W}NXh>9D!a29Y|7O$YxiHO%_>n+{+<mEm34{lV!T12 zvOXCSDl*B(ch8dxUHtgofjj#q$^|S={&~jv@Z_wLKeKNX<}Yi%`odzn!S-WNr)=K@ zc1rKgVr!67_-44brLVZi`99(J?#W<7j^5cf8)V4c!!{;1E8ULopDz2|t}3FpFE~B# zuK)Ly7dw0I)Hlp6G_|_dd}eMtI8+WpL#2PiV_Pp!s7RlAyZ<66RQ5w-Sw8vr+yx+~ z^c!#g=Lj{W31mv#i93~(<boC_+aI+tvT^S9`(a{zPX!bzwQW29>ew!DJ6_dSnNbnc z+jl?Zc<ns7u*Hw}9Jo_8@m=s@Vac8Ug{&WEmF$^5(|Y{{=d6-9;WHr~ihfhE{^H`S zk~`OD-p;=Oa*Fv(NCf3?e7w(>fAz(}dx?2lp`r2~94giQJ3rfjLS^1Ls8jsQ_VB+5 zG_`uye&%flC{${XKtkoexb?f^Up7d|rn42l7T)FG>zDH6-~OARv{HNO&P%XU&O^i2 zKK=ObCQ#VcoxJm}3v9|+s3~o-+r2?f*_WDE>kbK(|MNhha*zMaT=|!v6z2~OTl>wA zzk;2jf9CCfu!rKI9twW5V?Q|A{x{lQw;YoAqc<Pz{PX$24k_7uv1jMjfHFX}88idf zmF>Ct667hFGjA<lGMZY2H9^AHUflZK9k8e5o?Y7m%>X~a8Q|>sJCO~bSW<_EuXxJw z=njyl^v>LgYXNym_Y}la@jbHTg`fm8IVn#U8rAWWz@7>^Gq<kFqqlFb$>$RvcucJx z8ojAFeQ9x4NzCk>du2dT9W@W)l>JQB?-)QCI&$L9twMK~yQv!$)u)ynyZWLc^VvBq zuzy0K{<(VNW7u*~hR!zFetil1l@}4&hLEzw_|1;&%b*NhIwSfuG#6b@PLJvB+iq8! z&J1>nBE%_)(`56Nt3rDFb{iJ|_6HRU*%P2n;k16Y3>+%P&!#y;BI*7duz%*yw2lTx zwIb9hx;H;=1D7q)#@ma*hDbxpmThl#+y+O|+nLeU7a$qBJO%6&;bL`vP=+o~gJkGC zt+M&yV5dkISC@l|g{|z6Vxiu@><$wsRBm?f+-eRD6?t%|T%KY5`w}Pv{Dr2v@9D>j z!LiJE<}EWQmajQNi-p9pJIyaaC9dL`wa(BC;0iXR-LRZ*dHa<YH{1>SdCmtc7M45N zS1tm|i?*|N*7ATNNDCUY{i(-o`#^b7=KLM2Mo3<)R|4n7$uq3gFN2(71PR)Qnr|wW zgJSv4<r%k?L80=x1R5%mZ+4_#I-FG^)4#J+4C0^vIbf$eHz;=lr~jSM^#6S0<GKEz zvPJvMTkaQ(rdHQlq5kQ<QK%0t=B68L*INon|MAB_h5!0fcYbn%GW5PV&=QxcY>zfL zR6@_ZT@4NuSS~UxyORwrakrjX`x;sgB|}Qw^mlh0KoOLm0*Rn6H$UcqW7*tzd;UUD zf_n^gO7zXb{KcSRZoBbzCTOW33pV6k%DX$RAVc0mV|h=z?E5%SELY1H@Ad;#X7AD= zm6`p4zTXO<Sg!2Y`PBd#DuUop={G8u0;T=m`Or|QPd{GW1ImVe2HWMAgPig|0cy&@ zK50<U&QYHk?SB!H;O3`+5}f(TJC$vqylj6OTFl8<$AJrfyYqMI8bNt^?+Hj=uJ4?C z=yb^^(OsE0s;5?Nb1mm(UvF3*zdShqg6`p|<}<DHFWMgdx_zej{e^GM?wZBaPOp4t zd#h@Go`jxn`n-SYvXbj+57_)yoZIo@LcvevXEW<B${zlA{0z78izn(Omp6RRe|FRV z<+_Ip4&V9P<5%mqohN)>!n+#J?>yJ{C&m4lwQc{x+ik03pY6=gd~LleamN?i&tLdU zQg(b({`|+k<WahnNz6OL^M9Pmd4B&-jQcUw&+nq|;d}XKHveC`yRG^D%-vz~b!zAS z?C<qF>$IIGJT3Lz8Mp5|*MH9tPhbAl^2N4?ujZU7=DanlJ5NII>{%OIep$(NXHVPM z%g>!LpO1ICc)~o-OR|UmHJ#z+zId|r<+8+l!DlyJU#@$YVEF9aqY^FG?L6Vr65i>6 z96UWK?#=SIOJ8n#_{!)^@##y`e9d{LPfMN`H?NQ9dRW3d`31t-mli(!<aNea`?Boe zx>=QpqE<V#?wY(=d(39H)m>AWH3#p+PWD?1GSukI+^sL?J^W>Lru+4!Z&f9?3rcIB z?c~kKcD6FHSvl|L_ai#i@7%zpQu4EF^P%ar8JyJ265r)`fs&dzw1rS|<D(h4fUHl; z%Llic+Mtb<=uMCFTtOAkwzRybP(yBS0JRWqPusa!7L;}F&4Xl}0`{^!vf!-acjm1P zs3^EK6IwuWTfbWec8dA4Yww`dhAp_-czg1WCb)py3oDh<j^Azvr`P1X_uimX|1BAk zUf-YZdks#n%rm0dp=C9H5;(n{xnns4lwRczLDFl5hIQOTkRfu%?%2%%rB~U5ko0Ol zN%p%2sO~8?DSj;h$$)j8;Iew-na#&9#vVTS@yuP}7gst<P8U2?d3Nq($@zl4XZBQZ z<<9ro&KvHZJWqPz+RHE79$u0>b2<Ie#D}wv*Zki6V$Uw&dOPQI<MS&gT0b{<FS)OJ zN@Z+sU4dTlcblq-pI=Uq`dg`eW^&e(PVO1)ZT>qZR7UpJy;OSkvis%BHf7UaZ@rfU z_t!pMTH<@R_w%jPGv%u<v6@=7?l*{kQe?H%O}&)$^R|b#rk>#r?`+;-|Nd%8knLyV zpW2@<eBd;FmiqO~`6p7(US_{MQSjF4*-yh=@2jj99$Qw*{JHJnrQS2_HCAhnt*sUQ zyiIC;{oAx>Kcin9v5=M0yMM~2So&^Ya`B(CXN^+lAF!X{F28Wn`laV#U(-DGcS-6Y z%Jnbup7EM~+WkH;E?ilD|MbcQZtw0N-xIKW^7qTWruQ~}YIsuc&arGw`-`@Rmkyt~ z+<#%>!&{5beCD<KXl`W^^UwP8ndB1p-gIuY8N2&0udD}^3hFbg7fM)Pn|wyP&D-D5 z-`>}~Eqn4EO`F4hpCUo!NMGeP&+@Ak^L~9k)2)BuTX)Irg0HI2c1}Nf!*kCe8<Uv2 zsg=uI%XxmUFFam5ckUHwP)zwgI~QHz-}^js&)Gd+_~zzap7`+A?lYg?cW#_qGr#ik z2J^X<-{zNGFZjS%-X*^&*&Sr2^0S@w7q1;pvhiQRmoz<n!{nbW?<BtcKfC9H=G-?I zd=KACKeKuM<=Ddq#V0+k?26x%T<^M_H++BEyx(oxc0HQ;e(AiQarZY({@M3V;@kf8 zxF3zyE9GZy=LxS%diTfYJJ0p%JDPtF`Tep76-U~i|A@@};oI{)Yt_fNd-XR@{_`v6 zWxw{OFu0EY)Lhpd+3K)oxreXbobmiuMt0e*g~$9V`JT<ReA$<1e{he1_}n?sJ#(i? zy$_w)E}I^=D}9HZ{O3o1?s)1SurZ49Haf5Gp)R(_*>v9V=oyt|g1fRUBBt!zEipH0 zPM+ktB{QtOFW4Ubsyb7Ay3pgwOMlNOAKtoj=JV+bg->5vSoN&>=9$f>K?(oUnY*Gd zt~5wk=bG+|zbbn3<XV?#?aRD}mztCtt=@3i@Xh*Ddp1bTjhUOb>Z8q6(;3#;muwGz z-8w_uc*|Z;*&f?onHPI2sy|OcZ|!-Ty^OMw>vB)n%wH-jeR1K#Psh#}*Dtbe`|J3u z)%V4dWhIw4Y?u7J#<`rAeYSD=f1l;s7DTscJD-`W3o6yZ&U8m#F6+yaUYB>+X1i`~ zuDdzU^lj<$?lt!DTrW$RcfZr`$;%4`mFdrB#=huFtPg+IdiTYXcOXOAKfjq*a=joR z;oUpe<=>V?w`u=2C|?Gug=%Mte_#Ce@5^luSDm&gXULWHFz1=hH%Z1`-uUpF5}x1e z#`-c&>ikP*znW>kzWdDF!!PDN{PplmxBG=}6O-P!Wc~TNs!YWC=i-+~3`;V0#60r* z7E!jVt@-hc-N!Gl6n-&H>U^;6#L9Onx2$I7N$5?Tx!hkcdHLdF)jyYS3byF=>jNdJ zmv;)!B`i<hQK$BKk^AlRi@B!rmY11*{$p8^G=-0M`uWs(mi|3+*Gat>opZh~dSS3Q zD8q2iv=#?>pnImc{=&E3FSk`atByTp6TeirexdW>r>AG8uM;?5qyP2H_g#}@ziU~Q zn%*^v`KeuAWLmZ+8<a7(p1FMe;zX(QkNXYvWBk?g7tC(kD?Ky4*08K9Yft!JwX!br z%inZMZWol!vHlr-)ANp7d)w*l#^+f|+<TvMzDsy_&vW^^MbT~A<;Laj1e1^NnqGOh z;HBNOmsYZp>+YVPdx-gsiu{t<ZF}2iq{}bcon_<ySMb?U_srdn+j+zPAG7&heygen zROK7^+xxk<W&fWbb3VADX>N*#Id8SU(Rn-9@~g)8UuQl$>UpcKEl+x#-D#Web0n-g z)jnS+_*waErud7##Q(1EcIa=MTs1RKa^0RocPgj8TlvwZSM2kp?2=!lRz@+^&6WRr z4a+9w8|F)WyO%bvw*8$H->o~E`%d@$l>;?B=2zxf<^B!sdCqzD$IrNb4Rg=5mmJ?9 zZ>V2$WbT#yGq&@D+oiobv!J@|_56b6U-HW?&A&A9VN`qNKVz$pma<ZM^#^S}tKKzy zQ-5^NhpiIx{a;Bx(>**@ulRZNuJj%MWXrwoZ=U=QltAlJ=Kb&V`=fL0v&q#Tb(OYd zoAzIbJ$$f!#_s(^$LA&8GmH7#TlsGPmao=#10GkNx+e2c{^@sBqs<p&5C2<phI{kH zlXiw>QCWM!Q_ITcSb|dFoHN~=@0L7Il9OC__N<LP|J)hV`L0&T<=Z{G`SazvhY5_& z@+SG|Y8>;6?)|<>?}%Ss;;pEbJPAGBQ*+NGfzo!jvHp@5PlP}jMCJ3Fppxq!D(pWy z-0hryKYmtaqNLSM9ox(uE05)7Yz~g=JE!A+-r!E)W3#BnxwjbR#!q|~-RZZYFnjHp zJ&8M}q}grYnj7}`THMsi+Xbbj&zx6ZwlvL~YdYgQ&-Lpmc6-EPLmpo{Yv8}ucRNr2 zy2E=G9b3Cy@AI3glItIS{5r#In)jD?hPc_1$&po;3W9rY7busVlD<6C{-QBY`IQ~L zY&TctIz2aE`rB-=aMqnDK2Qzz><s%qpXFC~Y~7x4&n!kZ$!?44+~CL8Zgy3kFR0Ca zcGz;)ieqbc^M1avt>pBF7iDL(v+h*gHa`E(p`4f9+NivIQE=t1)E%3Qi_^t-rSI6M z{rOSemc7<@&0_90SLXTO(z?KVc<J65%fByEKD@Pd#`EPDJ8NHZ9$qLlKRVgI%<K2% z%<1#;EP@_yt7Wjh%KY+<<CiIk*%3u|zFl#A^XuRnasGwB`IqLJ<^{Wg(!=2y{BnNQ zS$ER<6YiPH$egUX6}V;fvD}9l&rT-4SoUzDP_cjS?N1xe7$3hVdpP;H@%O7c*3La( zQ?E4FqH~^s%&NlM7BlAt&Geh^<ZmvsvhcRu)VZ%ROY&Og8Rg8?v&^f0rBL>l=UaFB zrN45ys|#mabyuD)c$)L9`T2!DsqHrdpT!<Nxbw{Nx$e8O?ueaBpSR|M?_p(egYQ>% z=*FM0DHgnIXj5ib9N&BUmg<?$(=T<NegX37_FgUPrTZ_uJPmG*<lPS65WIKNxmZ_! z$!+VV$!xbR71;eR{9Cu_uK!ZE!XBHI>VBT~@Kos;_Tw4uy|>?dJ+r&5S$c;5?ulhr zcj*2&Guiy2?_p(gW00ly57`*|mGku9J#{Di@wPJQ&wt*QC@oOuY0tW2_AX(0iTd1q z-O$iAx4v5a;?CYLQxad>oh-Z^%)aq;>9_9hm;dr#*e11oB|E5Yl}obwVeocE;q2O$ z%IgImG(KnY-U@xZ?SuKVllw3G9#-x*1X=2DaNY)F>EGs^>yPEW<$U&Yf}f42zXada z9l16~{a$8T*?K}kx2|pOtIZ|vEUQj!xH<*uQJddK#b5jr&y4Q9eZ~7sbep!mv3yNy z*_9n<_nq34ZINL(|C`j?RfW+Nb1Ogb?#irq;`?l#)b^*_XQba-X5DuDUgEpeh0)o@ z=l6T7-&+jv>Am#jCUgD%PlovPFQfHU{+BT>{*v45CP6LL|JE&k`LA&X$WnEXrT^3H zerSSx`qtpR6rWwP-P>ZYPgy@dnO<`F!-?=Swx)Tf<BiYPIG6MEm(Kj1b?4f?)Or8= z{A&CmKK*mR@1?udUt!z31xt?Q{!TAjWoz}F+cx#aul^@H-mYxE@iqRYzTd^a`!9lm z_rFoT<hnoSYA(z&&O7x!-ZJY>T6*F=Q<*cTYHsC#Qt-n5%A&GenH4E~VDDPaOcyFS z{^3T<nOf7l>|*2d=bhD`ErodZS@Lod`?+xq5bw@SS-wkQZgj^yqa0lwND8hM{MOxl z>2EjK=A)p94LZX<eSxfL-ezy3_fmY*lI+&b2PGz*vo<?<?wZ(a(g9_gO=oR3%iJ}z z*=7Sy!CYrPGnXW}Rvju>aV$4kwaiQV@=FtlZ>vSiR;|9Y^Xd!D!`EI-dc18pU()sK z3Hx`b-Q6z+$~LDA@+H@;Ia^a8dn@p<*>1hh>mDZ9KD&Hvd8}z3x3qzOo`*Saf6C12 ztUJ@pljhx=@lHbSw=mdJh0lM~N|J)1mKv9N@y)$A2jbDc>1C^;FYHvTI<;ZzQfLsb zy`i7y`~5jMUd=%9dfQ0;P9G>S<(=P?ZE>UV=N8$_@ZQ^p{LW0S1ch!oICNuYq?awV zZaZF<3`$J5=T_G7TWwUgO|%Gkye%+c`4;iH_hv&Z-OF!%HM1ly9%Si!sHJ~z>hCJ~ z&$wmnvD{Y<phBs620!0rQ2Ee2vz(W`-C%y11}Ft<82QV&ne+C`oVyeJcv}t2=Q9&Q zQ5p!2Qg`F?^3LjBUs@gp^xi(U@y(RJ#g~s?zRc^Mb!VG@!tyGmxz?SaLJ1nBd(YH; z6xsDZ1YAByfyxJt&j&AOy7%6GBMB-WjA#0P&H#Bd^33FPP*!~oE|mI>&d>2K=joq& z99%xweXeQsi*bM?;FuGBFO{rn4IxqbTc~W+^h-NWztB7!778tW<8SE4`F)Rfyq$Gt z*}9Z_Mln0Pem=OmW%04xZ|gypN`20}eqo)|_SWo~>gyNJZgW320~Dp})93AMd?z8d zdm^ZOIGa9if2ZFaABd%Q4*6xy@w@K@DIfOr&VBW^L~p@zp6GIqzT5MxI%4-v{`)Al z<o{i8=*odYw|XZ3J$F!%{~BcH@0tG94WP7ZbHe7Qz+DrYPX?g0`$_qXasMUR!^ynQ z_DOBuy5I2p|G9oPZV*d-HUwAA@%!HliPFC;)>kK-v-R|s+%^wZKA8MI>bdK`Bq(&h z>VQJG_ze4OutzIFMLzeL<$FCqMSfh;yqcMLQgW58JC`5J{Tcdfrr2FWn{QI!wEOzZ z=llzu=HSY0d++uentOeh-(R>~y6?)4-0e44?(=?rZ}D=T==a_bpUyv5_i=v7e|E4> zok2eB`1!ycY-v2mr~NbiXFGx{wM(4$#~kESO^{EE+AE(K?@F$CQw;X0{*34Qmk7sS z6mD}i&6AGb9Q>=zuN+#>+}{-Zx9?o7zrW<Rx(U#dQ2F=K`7i#>2Nk~|{h-QC{8{t= zg`lEgKd5L}HpBmSFDQ8bOt1V@zALlhh}RL{pS8xIT2<$a@n%pEZ#D$gs$UJypZ8OL z2CZzKr7WMa;BxUrNCJMIw%m$;?(FIFjB?JZSE}y=7l%J@>gzdtSI>;T+xdGXE2vZw zOS0Pmu5MyM)y)Qt&zap9LCNXpO!Z(;AuI$gguRW<>$sNl^nV3c=DKHX_Vdh*m<FlL zH{8_JbzeSxIV6zRAMh(}IJefrUvgX6q&>p-!A*!$Z^SQ`{MXvD_T7=cS5|?_h}DMj zF>^s>#JYofvMnMe|J<?_ly#iiDvNSKWrQraj3}L%er>UJ+wp7Z;4-42vd-CRBD4fH zOIp6gaPB{7*<U?j?klyDykJlnG4sjXAK>Cp_FMPt%YW;a<(8_yTfQyfo>7cslHCqf zP?dAHtMYom2Gh@(mY^zU1MlZ2+d#f81N-*2@%c9n<vjgwPk?glHsQ}T^ZedGGtZkt zewpD`d!->cwz|A*RrQ6Pwl6gghdF-os{vQ()f?mQ%zk&jecRQ!JLb<iwkLJRCjHL` z|AHG5|IX}gW1jwV3p2>KhZ@gJW(PGS4uh>_H$E@pRL;{c3vNh+rOdPM@ssg`WFMJ> zelI<&YDFN{{>~^{b^O9kA=}g&R$(w}k8g~(nfK1V5p1p1L{Pd?dDg5BE)<PEuY34F z<k@AvrJ&M(xuHL(tERjh+<uvV(56`JuA$8{aFUvP)TZ8Su0=Z}ZY5Hem*~y4>xbmp zT085jTyu9f%rnY~)qo|b?i>1XKHuY=Zol%o;~#r!PwI{$$<GJ1K!N<18)R+Kv&-ui zfUFHS@{e;h=k1R<3o48Mgg=`p1!}ADf-~;cGoP<t>@<W_I*+T$yv#4Wl!oRZJL{{n zU)(thYBq_TwEmduFMDKN|K|8TQ{V0H1^af_+{)7hstI-#>>z9RPOiLOP$2#}v-~nB zC0EZ-f4_8goBO-ucPk5{*BPI`<6q9xZ+id~x8D>#|8XvPlnAv}u*|Ff(n~gIAm_gc z`S15!4pd$UPbz-|E*k6S{C#Bq;-7j3I0sDt1+wR}X8((znrAtv=9zqExvev(#F&>l zucj|gN-om~)LyecZ}XW6R7@#>ed~SZv;O7IaA?ak{HEsC7hkf!lpLNYwf*h&n=AJ@ zKEJnQIZt%H=e^E+aC<oSTlf15e{*kzl|QO`6$>h;zR%#_=MO59mm9yA;`?{9<`z4s z4fD|X*~yMPDY>5=;L<VvjIsP>*~7`kGk#~?xn`F*@4xxnA5$Qa`(i`zuO7d8KS(|B zuW9b9=_T*9t4?kBx)qj#_9yPYvGn);rQjU2FZrHP%+Gm0AMk?<NOw@F?0;tYUmuWn ztIkZ`4{C`2PXvYXzp0gJU7!|4FR1!?yZ_AR{g*kj*Zr`cdS81sxC=0&b?&7#B~@ls zyB>P<-Y%23zIyZJ9T`x+!w=dr=KR)OeDQDbWl+<t64ZBnmTG4q4~pQq^Ff)H;d7=Z zIP)ree&PhmyobQKsN3MYj#oKPzwR+m1aGtWT+`*J;|R$`Iw$=yHLdRYLwp=JYwjzf zlDx>OQyWsD^+9~!-$$QH{&RuqgRF+i(*>!n&ziNtu{#wMyP3}}hb;u9<<&<1>p<;_ zHQ;u|9=6YCW`WujQQ&rk<g=e!Ummf7wkvkMnR0FM<=4=5g?YmAU5ay0UkYwp+pBUM zoRss=)P3|X`L6(KwcTm~SsMJTc{a$>$aauNEk9@0F9TT`ZQ#!bDlVeINh<%S&1TcP zhBnh64XC!tx@fD7vXBPUMqBHpvM;{$L6g+n8!Ml^ymJl|y5i8#-MuOPPVc+>bGKdH z;rsR=sEktoe2@tgx_7%lmYRRg{0(kbWS*J)`;zZr<=^0b$NTd(k3sbhy8)>Fkv(Bk zFECeP8Kh0jm$tlwW3Js4NMRA1vb;)SuJxRGMme&IkT&sOk8j=X7yr70qcj;5rCw*) z`<H?$zT<}PrTF^N?cTD0qO?Hi^Ak@{l%51fY3j3?!7utAZVU!@0sNBZ$uAUMei>5R zrf&$gn(S8%_2}jsE9dz>Keuo>PxNAFoA`X{{tCIf^>(1pT?J|r#~iq`bHY2xb@4~` zR2b&QJ8kC;*H4|dyJy>~lEVcr_0L?M4jNh&J@YyIQs-*WoK4oVnfouv9<J-Hd?;mA zse9MtP3&<SYqxS<_Up#w`3r*0FX<i@oxihK_^xrx{+X2@#cs(hzumTayV3c(ZqeI8 z!;1}-zxb?-Vs=li{HJGCXbu{fK5SF0f7kF0e5CpCJ?1l;`7gyDKInYrZu`qC%Ahgd zz-NBV?<Cj#@2&j!e#>8pyJj(S6XsRV+4j%ToJamA%jZc__UYY<#lJmw<^FiId`9@y z9cyjQ-kG_iy6t^kTV-tT?FW(1-W8Xu^4y=YW9hNn&y{6+#9zGW{xZF7ch;S<xTNFt zGxhd3o&T*hH{`L|#Uwc?z5T~(zFvRXBengd`3(2A?0lp9|8%X^AIp80`|O%^$>9$Z zbDyc2=5@a}+J1lW?Kb_o`IVu)w@;NkJ7@oL-oxGY331_%&3;VSDSdHe|BLB7+pp}% z{nst~KH18;`QN32@ZQ_!)ZhI0zl?kSrO#zAmPl>yJU^qo?eu)(_`lp%i;w00@_sh) z;jQp9+yBoxx4Lll@3x&+mrA$w|Cv_l*?ap@`I)!xUv7KYU7rva`gq$X<>Ke+yV8HW zn?LjV)g8Q5XYR(oxKa<Z^i-d@YkK|S{U&!pA8-2{U-swz%O=zEKl4w$k34J54f3v` z_U8))m1fUYf4?Ycns<4#aX-)XX9@fM&MArOy&coE(^UMfLCuppXS}oSh&@ltJMX=n zH{M46^D3$BQ-991=DuKixLh4<vslVIJ(uNT%i@jh1U=rilf(MnqLMPhU*3mfP4ld~ zH$Ptf;*ijnJ>Hi*P4k4k4f%Pe|DL&ipUK?7$7XwZKA$MajeK_dHptF9MQ7GNecAR< zIXodQ=<zm-19##k=1K3XP<<9^ny0O8Tz;wK{D-@%4c4zNlwNb{PHeB=T0cm<X7j$h zl63w0lIE>1yxR2E`98n4@bc?RonK${J$&}-%xvikojSJbZ}rbV9#*~i*!;I@Z)8@w z9S>d{e0$PdLsP5LQW5Lzrq;JtUo5=(Q0L?P?C7$-kj0NzUR?QBMfOQ@)}QisSN|9M zv442;&RlE#l^6T3ti5s5_NHXW;>qFZkG^}oKYs32R*6ihdD*Gd<?+3KZgYF)?<`tS zG9iA=G2`F$7IkUgCEk^ImHe~VRcP~ejt9H?#jlfJzR@iCv;6n||EJCh+lTyFU+_%+ z@%dWQpSu>uPrv@>{(9}~|L?CqJ^26ct{q?h*Tvp=TEG9?+u!@+)4TuwF8x*U^H=}# zjpu*d|G#IseK=F?zHR?%em;JEE$Y*}`kL75^UdpPVz17(uCIx`G~d3yX72U*&h<5O zFM>qo#{94UzWe|C>%WR$_1F2;{|k96@BAZtfqnSDAG_At>wkF6{(ser^NrK?Y!iLa z|J{CH-s}Fi0so$7u0106$>!pj*FV08e>uKpas9qU|9)&KS--$uEcfrOmG<xc-B|si zf3N*K`^q=}_vJ^{^Zffb-~HF)5B=-Ae<gqHUmyMJ@yGu4w|^yn>R%uGxA5oj>%XM_ z$N!gq?pdk+$J5Ewj_<kV$&VLXvue(qyFBS}{mi9{kJU?O<pve~+3xvdp5WvEQTvwr z9pRfdRc8ODRpv*3BtNYDXtQyx_>s@Yc&>atdTyWE&p#WLzcOvqUhh4xXKtnQo`g5s zsute3H+z!4jP>7X6%%jheqFTjbiVh#h@AVgeyUu1w9&ivk;t#j-P1c{&pM_HJyLzV z$8dVZ${RO#9{s!VaODi^&qn#@j@y{qf4;_a=CkLsx2!Y8#ggQ7&)kV^sZ0-icJ%0s za`E&yFT?k`2lwnzDPBE)=kjCKs?!qV0+s!5omrjrWaZPD*RPy-%lK@cRArUwvqq^( zwX=KHxy_56TIt+-CvtA(3b%RDlPiOJZRRK53sTl!b9m2Mw|DD~+XN}==N;Iy*e&jq z(fd^s-KD+vnV9`oiBl^}3fPnLCLs6ty8KghX~y4fXMI`S_vA%&ecJt7O@AGF_gNpQ ztJD4#on_-+nSJu&`6oBFZ%@4+zIguazS`{SZ@aBG#NP_+smoIT7M*2%?(p23<z<Qc z7a#llW4BjdU1|BZ$<-U~2P}@iHMzE2|C_Suyycs=KY3yOd&B&j)Bo<0`g^GEjr#ZW z`&JFL{=Id-9KI=Um3_W8@!Mzi?u+kNezf`Otm1xOe^b8vvAW>iedQLvmnz?UziMN= zwO7%X&+ePwPr1Q=^@VtU>V3PuzfU;dgkOD;?w_)M^|r@0Rx@k2>$uq$a{XQ?_4m`v zN&4cum&e(aJ@DM;^yX0HqsAMZn~!QA%UcoN6>H=EX=?Ivx1%p__<q&eIJfJ`>SNoS zKW1%Y{`zX;Wq+@IZf|x~oxO2$xk|it*@|;V&e`hzytOg<RcVsAnrggx*^)T@vX7D{ zulL^#%@^4HXU!AoW83BlrzeM9kv{5Yrh4C^%=ho|8{a-p;y=cBW%iMCdlY^y+bI3& zY?8IU*SjNmtIYf7)_Z=kKc2UGd&k>-IzI#6^i`d{u{2!ezE+w4-qM`+p(l$s3hRC} z+qn8wZu0WD$u+xg?5p~H<K=bL`-)|;dz0V1k)HHk#5&}8^7DIBYM$TF{i?T-JKu9( z_8X7A(Qh8zp7dUB_v(AfWiMq<e(#x^)y=)J_G{e6%kRDRmA~0mHUGxc?<(`ftbgyT zxPL?TYu(1|%c}S7%ihgTGT-*K@khf9-mi@tyXC#=0^WqE$o1U~k(b&1XX2CgBYyw8 z^Q70+oUyTPez$_ZH~+8yjOYJ-qvu~LJ)GBG`N`PIB<9zgoqt*H8ojAKY4cfBR&rh4 z**ibm-bsDiedhE1OV`Rv?r!*P`}qlfQVpN4sonWc{co1kFS^~PZEt-3+l#)3xAe~x z|6f?$)*L@meA$a5`HzzR*zlUpTl*uvENFlC$3MEJR`Xip8>6o!e%x=oxnrVx+v?3m z<!Os|xBazy_VZ?DHs7wi8*?XD8acMNc^4aQf4(gG)#1m_zdTEvH#5A3WnO%5`O0(Z zKPPUS`}61znX0UkCRUYpZcnR?3U>`+Vmd0b5_e_p*u(Mp%c7F#+K@lbSLvwzytr|5 zcXxm1-N46{S(+!kH-7f+=<l5y5mXcNCZM+F&8478^963d6Q3`!d#Rn~w(VP<s2@M4 zr+jSw>cx7;*Xe5i)ZAFS?Z=fHwqG+hHa}I7?~ILztEqZ(gzJ%UlKGYNV|siZ?~ZN@ z7nv`*d#RoEw(XOiRLnbbs$|JA`{|*VCmlYg^{6<h{OaP3e?wL6&CBXcKUQvBd-dq^ z<7-xHZ@g=&vR|Pr%lBjJ#@VmBlcryv^ykrympwlw-N^mAbfb2*cU|P0H&&0b-t_&_ z-<bW{tFH3RovKILN#C!8ALF|={m-f!t-MFVkNf3l{L6mRwo7dHjH!QS-MH%baqGs( zvpd#z%dR?}G~I0KpI<k=r9F~Ps-E4Q-!oTI==VnHSF@ASw@;C|zxa*WquI&rucDKz zZ*O}1=7e8xuV0>eS<&uImxC5hzTZ2yrg82zskw*Z-iUllRS#PH_}%foY6WXqQ>&xR zH#Fr=`GxoTtvuoP(xa>@tK^jX#$dZ?vac-+t>#J1Usx_=-8uQ@$`uzq=c(<K-@WYE zcO_FLODoNO>wQx7dcSqb-W1>Dyz*kn`DFE4Me9;itC`(5PR1PbbME!qcFOOYSDBNk z)w$3&7S}gi4p}^T_q4fpc;9Tf^5RK;ih4b_b*`yZYhf7|qxD27+3$R1cdBo^TyatJ z-f6$@bsOcMKV?7iBks$UA8}vg9`=1-Az>Zn+|y@z(9gBk?@?iykpHIO(8ZH$y5>IF z{N~D)7fa?PtAACp-X|sdTeWQ0`i|p|_x#cN{3!d(?kt=7FKnM5&3+?(FHLUc#r&-^ z#qXusf4BQQP3rH5`DcpdH{9<%Qg=tQUS;0TpQ{UR&pCMekNTccyVQFji|_yF+4<S> z_e}kn=2u>@#~YuoIlS+$?q`SIy0_KOzVW|NuTP2#UL60@(Es0|eP37q2rIer;(6V4 znfkN&t3F=;v&l66&+#As_iOwsuM#u-H|u`b<Mlsp+MP`QXIjr^_)YrN%=fbs=FRl( zxihc5a)Ddi?Eam$_P<Z6e4Zus_mtC_$=sXsbx-YC<hFm0MX~nLx^m8EPgQ4%|DIWX z)p&k!<jKDkm$x1LvGK|3i<)Z>+@2>@neO^*>C_`9?wWk=ld7x^efBQv&GYLC_rez6 zkLj+oJG^f*-{)mge~(q2nfvwT`J5Ab7P{Hr=%`Ff{&u@L$^PAr*&QEex@6tCCVMZ< z{CRE__p?&dU;n0^xg5Q5{wc%nS6_s0Pn~zG@9z=5Gpn<{?43J9{nMN8Wohr;9@?`- z`tv*~>$$cwrhiMZ-@CqI=MLc&)B9s@_<s^jQvW8-e<W{3cu#DJYP@FID~6A!HqOra zBNy`1;pE5afV<5{f7q^gw=n5XjgI)yigULFlm6^FZ5PzDf8Ot`l0QP$FOxw@j75FZ zWXsOEyQJp+TKOi$KY95=x8;Ru)_uqQR=BClq^g(eS^Jt=o#no9GX8|0cdy^;pfaxM z8!v|~zPztV_PbY^lBw0vSx@TTtdp`o=Y8Fy&+lc`yDwLM%sFkoA$Z?R+1FyA1aE!s z?Hd)QGOer<t@6#2_q5MlB{lce-#1I-5|%G>TmFs1`rG0+Ke9^x9KYe|zd?A#MNX$O zBmYgo;fsUo+vdL6{Kn+U3zN8n<CPQV2K4&93qASwhOX&8@t@P4ym)f|iC=W@`JErc ztXs=(c3ye0<Ne0RbqD<1d;LB-mvzZ+z8tzZc-BF`m52TOd;NYImz|2=m|Uytc0Bm; z-aYScc-EW;rTeGpWs_{nR%Ml}3VySsE*az~v(tY*%Iju7i#JabeOy^%YW31m<$Ln= zt3TpWo=uUNd&cHY=8BDz=T4uSGih!>Z{KDG>vN0Vm|T7FL@Zf-yNb20snyS=H%{uG z_KWWIJD9fI;DFx}x8t!BWy?j&tg=eFR&NT9nLKx$)Z9x^Z>C&JUB29H`5rmzr#jZx z+>%OcOzqOEgHL{ZZ57`efBmWXWSRZzy6cm<>$iM(y=#}${&n7Yik5Y;;rG32qTjr^ z_ULwU`zwWQlPCY_yCM5^?#Arf9(Cbw?reLsJK5d3V`Jo<z@D?~q-HOjt8zR|OaE9} zaPQeq=O!f^@7ygh+tk$Tt*y%OW$TZn<@x7*yK;kv>yD0}@O|OuCu@&>KgM_U`%%5O z>Oaq%uc_a)blq{;^Hcx)yD^jb2>+43EBwdw<aI3P-M4b-dA@$d$3LCUs>eTHzxu=H z&Y~w3|DGf!)u<hun3R*&CzQN-;jy%D87DVNuG|=D>sFLwrD|w)`*`=pm-7^krMdT> zebhNA*|>6&#O#GqvzJa*J)ZW+#L#SYR?advmE&o?y}r*&^2)B>_;UWivg&OT_jez$ zd{mume&xqKQFm2)m9kecyH+0izOH88lOOk%o)>>yeLd`Pb@(oiBAa^u-sj)-rpcVo zk5xMMnLVpUt<_ug_-A!J;m5`w^~IBoYg;8|mztUxhkNy%-6l1A>S~qaY2UI8&7!Y& zY>eCy)^paQ*Y~^C$&E85gu$NpSaova%zd6c=XWmMXTGg`^OGNQjJJ1uv~+J<{dm&j z;<7x^$HnhfeYE_&-Lvn!W%Y82^YW`c{@J-(<@jgws}=t)-JSIK=iBNd75}D5osZu$ ze|D^?*=hcQ&C8E1`>$aBnZNLIRYcF(DCeH%TmGvZOIvWPc-enFOS9-Ko8{kUJgGQ$ zrbS}*S@k0~&a@}xY`c0x$41lAZ0+(RH`c7)_|i_-((LW?BR}q$Uj2Sd&s_ERcfG%R zB<}Ct_V5(<q{r3MYXf`U$GZ2vkA16p^5fpqTaHxxYm++vevg{vJo}KxKfBj^_dWOD zt9T6L(7Nxkd1Y5_=xp72Gc<YgH$C&>_l296A4_}8eDdS>vv1my{?u+>eC)n?_QWSY zey6=tHvG3wvPS3Fee>@5ogdHF%9{S$x&GVEC)!8O)hZsd|NiyLkLUXyc%KaKiv8iW zr|8X*>yJv_v{g;LG4s7jylL5U_Kotpzbuy6&-QxN$J#aj+&|6SfBygKif8XXuTKAG z_kYS0<NEpk%|D*G|NMWf&1c#4ScSOFYuX>xmtW;Su6I_=@?T7%Q_uVAJUzpG?z*P? z-v8XGdi?u}<;T9;&TucPxzGK%>!a-}J%wXwmYT<wZCxNSd!E$nm#r$t)1rINo;oz? z{GXj0c1X-_l$t$L#Qb$uj@YyZX-S@jX3|+X&!#_2i|+L;kIZw+$_dNKOPl^U&Arz* z-!)GyOelHts$;v=!>7s2<~6;ycgC}{EKx(V*Q>ifzPo99<Oa{x8ztAK$jp{CHOtQS z>?=Q?dgMmWHPPhFJLXA%;@$hTci-77Y)Lt0S8wR#Ygn31t`|z)yzJOATMbLIT2r&) z*`Rchwn5W;u6^<5mB-TRxbwDMxuL^fXuNl+#Oz$td7HQJnpbD#RNXGRe9N!rtV3_P ze$M%`NBEL*epMRYv)#KHl(z5LZti|^BWLx-$eW=>HtA*NhGx;#T^l1cIzYMc<vUeN zGvVs)jW+|5H}B|wa%0Ok@#M{mj-_3iDl<FO)NC_*!RE!s(%w4crSUyXbMEzh9+20T zl_S=D$46ETRFpg~>3;;u!Iv)Hd9!L`q;=cuokAc-h50{7d*xziR%?3iuAOI5j@i{8 zHmB8#jbp`<jct5;&KsL69ZU1>J^Sb7q-0RaDrkFB@%_-uq@1$zV#%9p`XpvwTLFou zVpT{yRZdF2ye|Oc&9i4*@2pw9F>=R@*`3};Zse@kIP*WZ`R%NnUDJz=gOWEFv6^oV zFWS8L*s}1)X^*5pCCQ%ZPf;f~-VAtrxBGgbv8mdzw7+hK_iSHxZ<JiM@#P&AOEc@N zoNIa0WZuu)?b>tpg_)sQ_xA3Mpwuto(RcQWEF_xlYg(F3{@%4QGC2A2KL4VeUs*ZN zUfp@Ka--y(^S;j$^USW^IAee4>@=y_Pu+LU%<I^AGwiXYw=hV>OWSk4ujP|+*s}Ii zKjh3)b22phyZmUy_b*EzvGlnI5=)g~MK<Z*EDg<~^*c63Rs=$dAk~u_XI4b^oNbeu zeKcI<__D9NBxZ}6noZ94=sUYgYW7X@oi_uMH-8f|H-2BVdEv2TpXJP*P0hOB7aN<# z_MBZNb^fK>oi{5sM*ivmCB5wbkJ8>HgNpk#b*IjTxcB(R_m*$BpDZ&Q+;e$;$ERNL zSlW-R67$pFNgJ9)XXQNG4T+`?YeCUeo|IEoFOt0Z&t!?&*Ul&9RAuEXtDcm+dF`>Z zr{X6!W<tuYv}gOl(ez{PY))-S^=Yjj*Z;ITx$$OL^5wa)MK<Y6j-{pYo%}fGrX|Sv zmuI)n?yNj|W6i3KGw1jh<(OT$p>yv1*(*#*IoHI69$RkahQyYR5+t_7rpmmZ7wyw? zHiOg9tlPT_99vtaJh|cXwR_{ukmSvKwmiAvqo;lhRD)Dkp4|9y^~Raejk9e{&6*kW ztTMr|wH^{%p*v^B`SzUMCUrhCsvD$YFOT`!tw(S0T&ehe$m)*I8tr4t_BTqrpLZ{y z=j<-2_w!CdD~w*1<Hc#_V#%Agc1p}{^*wr{=gN%|^T{%^Io%<#byoHGvaL%Yu@&tJ ziLJFD?{1#<I4!L@&+N*LlClSBD~_cVy5}t`6H4B^@>ub%-Js-~mT3;E_SU>TclM4P zC=Es4ojrSH?U5T_u2z&+&w6qrXVu5bY2TlwRoNSw9cDiA!={+MYvawJWaIh?Pi}xx zC69MsxxS3fv9#?P$I^Zp7@Dm;eDubbD>r=P6)nwfXXS+TO_7;h<_XDE8^N(<(KoyE z@lkN5vY$CS*VOE;k-4&|nfCGm<7zE)>#Urr^aA6;WfHSPP4C&h^z1v^CiOnfrhE3v z%|~ynnXi3pclvqZWaE(J%a-v)Ib~OFtO->)zWceJK(g_!h@P{LRFiVbuHMjz)v`1T zp56(LrkJTRvrlRty|HHH#>{wCNZA)&3@Q{pgy&gZF9er;J+pO9%}!r0Hm+@klzk<} zpkk(Kd%<NX@nqwG$9K867a14MmYDrdHfc}wFF!-G*I9e2pXq^<+vcL}Pb$v6u}#X^ z_9`hy?45YBaZvK*T8E+>H8~MT#jbdAW2Whm8*5f<ocU+=6L2E@YYp=6HMz%W&p5&H z<ODAJ=08b$rD<q3JIiMIZyk_|Y4aYZh4-F~nml{w^PM+?lQ;h|G7nzgvGL{VkMF*% zo&<`~=aG4Z#(!r?%zijKsb=2J?MH6ptlT)WKDsCe)aJT3QRaPIM)$^>wedY?Kb07o zt*!6c_;TgOng1M$K=u5Z8VyUcxBZ~xc;n2O2WhdrXAi00@%a-CPGUdL?*!$jzfH3z zO3j|CzjNl_E>NYjH-G2MAAUV&S4q8}_a_dNohrUR;=kkbSL0aPUiD+U)9VGn@$|F* z$qi7^@H@0<Pj#MG&)FB{hGyN@nm)?wa)SyB@6DhBa1EpYJg;VHHn|v50Q!0K`NsF2 zy%PgUnv!SE`li=`vy}b;Z~>@dewn)qQUG=rfm%4*CCt|z1^J=kyHnTfPSqnfzWfFi zfR~bTu3fG8e#Qt=f}}>C{5U5vy65aQuB03>wj<yi6+T5~cIQ-ZSsxh_S(FpCx^rV> zV6ySfU681XZ3cNcJG;nuGoQJ1R*u!{BIA(c%{%1GgI|LRgU6P4dv}0}$SsEEyR&kx z{X25@jshqFg~{eEGZRm~9RB$3TYK-mv&*FBr&n_4Eo(n=gJ-+g<IiWFLZa%v8zic> zO_g~ccf+se?7P0CoN47k$(K)cgQMzRBqXZdC|R1lwLNl!=gN&UZ%&@wB{jR1$y~U) z6I8<*@7D)cQ@;yA)zl_?^UZpo^iy^Cjt?l4hW3{G%PCo!NoVb;{uq$AtSc$!TED>K zyPMs+z}1wDDx~1+165PjZarsTSQwghABR*^B~4Fm_{`M+)yZc+d4ihLt02w$%h2Y1 z3#eFYzP$70oTF!#NzMMbdFRcb<jpmF=9d?P8j}_0f?7c;_HysYjMX@nw&2)qalJ=r zkCF_{{+>Qk@x3GzQt<tRwkCGQfa)nOL$m1c?v0T@R!e|VU!HGKj@mjwNREm+xiRyu z!m%{h-m_17CxIGEY1f{E+6uRP@0_`F3RD}M%e}*M^@h&9b7w;oKrVk?^8Oh}#U)#C zYhw5G**kyl0Ou$L^I-l?NRB!T%~5qNvtPO&y}|Qe@7VL1)jmC-RQHqf<i?x9$;K5i zMSH3r$|vQN%?H&}QzT|zy9}wP)S=A@<4MVv>*63KA2+z<tC%>ub2>PQ&CD;{y!P0# z-%{X`Z+)@xZ#Hl|y)Q7nC<gM#ZuR?9A+5e2a^}JByEjJucjzfUU#D>_ZN;%=!k&F+ z`=n-X?FW^7|5T5qed&~#eY74DPhVC(x#3fK<VMb_jgkL+igMKULt1@*w?4V?<?4+y zwTI8nlbY=~efBy2J2|U1&ip?ST=Ll$8vor4Dfzx=gA#64@SQDn!jO{h|I@Tb<%VW| zBgMP^XGB(f|B?gAQa<w`ZHk$o%C?Np&@8&Rb7SO;XmFdNT<+w?nKQz{Maa>gD#yVM zV^Eud8(K@Xf@`U59OlNF;L>jzq?Yo`TQ&_^OPvPSQYW8*O21o0ch2aZ2f6*~)EzUU zA|Y*x%h0%rYn}Zv^ym$q>jIBIpINI3Y5GM$+7uH&rC&sJ&)IiXNjcN5izi>c<<R5n z-+T5;+az$CLRH(+?5(4r8MCRG@$7=l>yD)*2Ir}nL3(7MR`JyvCG9&veaoAZX5U;3 z%IBAFPn+HO_Q;Jjt3JLv`BwFKnsaZt{<{Nbuk<D5Tq_rQe0THi4sf1&qXo%RWs_yz z&$}Jlb9RNov9v;cL$lpkIn%yPlL6Paptza`D*4tyvJ^8UOTBXdHKBO;9xW@@FrS^3 z^Q`+$&dQCEc0IFS9zJ>_XZ6Q9OBaLcgUi-!v%|oZY`MP7>9a=)lX8BkcYS>Kax$pr z_VL|JP>(md_pDbWC`*YLnnf!o<%sz~Dk)p%q8znzkV@*}WEpU?7!*s-y&!Fg&miw^ zF0(T?7B2*~{E7|DK`p=O1;(W-C1(4Y&bz#K4ybJO4lmfe`dHdque@jL9;NyBo_%F{ z$0t_%*s}G<cHh442`%}0X1{!W^af9@q22jAzDYUTuG~0tU&qp{IxB~5yGLKSeoyzt zn|ot>&K4;gTUOc*X`ov}b5ty-f$k5^Q9g4)y=w07#l{~SBxduPntkps0Ou$TaE{{5 zOZ$Heltu16zT@-98B#~pI6>;Dq!VYaOis$Vrhc^I`y1n=oVKhStMguv9Q7QOqh=-L zxaoIojI8m5jB89+J)ZVT6jUMSOp6D#CCrb2+Y<XVA#I6#aM$&NnfcuBJ8p&~8~?X6 z|IaV!_wS>S6Zkj>h6={iz3hLgKmXld`{u^RWO?hdH#d&+b=}z5ALz7FLRG`ho6|Ju zxS!^tu#C_suYe;O8@1Asw<MXBt=V;7|N7_h{r3I8zf}~(uD||#$E?q9*Z=?jefFF= zm+MR49r(BF=HJ*_-v7;?-@N{zdyf56^xW`!DfNp#cm7d(elq$^^ttBkh1uJlT+`Y6 zc=pQr$JcWD3bNDgA6|QNTD0+ZyXtJdC)d{09=I2m{?GZ&tiS&sUDN3;%;tM~t;aqw z|Lm3JJ4~&MvZvW6=bwF`eP@>GPSeZ6->$7Wy>{mRTjuUX*=GAbp1t$GX0!74v@gy1 zWlyi^uz%iB&(^s2+!>P{rqxB&b3gLDd0X1|<l34uC+@{PkH|ZF%x7Pu$IoXjd1rs+ z?3+1Lc6(ZI-q`}aZ`a<Od|Mj!{L9%KsqJaya(Cj=6XWj8s@-YY{dwbdkn(GP-n_lz z&Hdn-jDAb>=3NGPXA=bP%<8-|>!$0?=wgNKX}N~quJKGivi9brXyffu^0z&`rlb3I z_R7?P?6N1<boAcOX5MM~ShS{CV|!Yz>-{t9pUhU?X*zjM{<5&cYa^%M-nl$HJ^yUq zopXElwB@H=JF@m>9Q*d-^Un*j%bs5Axt5xLcH*5`y<czK-YI_V_*%<59NW_>lkdz* z-f5~WSe>0_c5v;@$<dp4X;x=1+kRy2%?Z)Qce?hP&MwLp<JxCAF?#dXt@&=Zf2R58 zoqhCe-_7aKn|C$mr*-czWiP6pJ2keZSZRCOrq%guyB}W5Ir;XE_wL_mt6HKr?-b8_ zKJTvd_O$4{=ksp2?=@vF%D(n3HGjSFe)+t!{}^|edb2;eCUa`7r2LV4vsTW3bnVTF zwUKr+_L?>yF37(2<eJa_p1r28i?W~be+PR&xyHDBN`BdsYdwA6&aS&N>uGY0aj{O` z*?D*3(qq1YluQ+_Dc0GZ_SogV&YUN+g?F01Uj8dh?cmy*Q*Q5U-=CC!cHW&j+tvHO zoqdzJ!*qB0gKw`RErhqH#pj((>fLLqUX;D<TvGn?I4kMx&wj+*nRWGZK{ng-$JczG zTsw0O5>s!PzFp(dKM0Pg@|ED2ihVYFC0pLvzB{vyuC4*aX3f@ozNgpL#6F(=GrP7} zWqVrD-uz{C{n4BCwio-~KX7lB?9RE>Ki%%1xziH8`7isObGG%|c_0s6T>ECN<)rA% zh0@j5-w(arVcK5)=$g*`)@YEYp7y_4JM*V7$h)(y?!Fmq{8#+WEZ&`_hxcy+C&WX0 z!EseOKi@6({+p9)BWo_0|1Qc7lmB$~&0%O<9S6tNrO#&{6yBMoyK`>!OV0Z~ww=+N zf12bypI6HUiL2L}w?A{pJ9}&Mo42LU<{w^rvtDp}+ROPnOxugHkLhpRo|e@R4azq^ zQ@>r~i95bFa&olsU$*M(YfrD8`F|Rmx!U&4tUhjTU6k$i9}-pnf22K&y)(;p=iYNa znD5J+TpRiGg8A{HY%{x0XAd0*yZqnE{4~46khq$^d3&0F-u`)4^=piOtLB}3cYVj- zDzSYB*Md^t58di)zbDsnYLDMLxA%)}-q}Kf^}o{vA~sv`=ABK5g5<21Hy}By>f1G* z;2oyXMcL2NzMb86XV%il8e>y!NPJn=g5qn=x3dfH%-XuM#@K#)e&3U8KF_|Ny~4D^ z^mUQ-_mZ}~rq)H)-#<0&n;FTmJ*_(M&N<ut5|HS61c@%eP1}q0bsD3KcbMdz{baPm z^mtKr*tFFAvuBDxB}sPJ<~M6+#&K>>bIv<kkoxUf&Z)JL5l78I$t~>b*$iHAY{gs# z$JX^vXW!g{#@1JGY;D??&nEo<lCz|LrDZAKnRV8;!20`}Wjjo77g>M5llEq<<%H<X z+bpZA=l;|!$o|H?!_+(aF*s-CAHFwhWo{w382R6_*R)wF@9eBQvtG*H1f|BbI(1M~ z+`Mtqym<HTH21u-E1t}b-D!Hd`bS!tKP0v);z3FxZ=MIoR?>Z)H}An2%l_-xGY5B= z&Mvb4{y_j-1YX}9_3iANg*!}lw?77DEGBTqdUXC~G^l`h?eVSb-BPZ+vmXt2n65tl z=o(L5W3=(aXya-bNKr0({@$#Io{*TTdpSFIr>VF4@3dDUcV@}%H0|F1?QG+nSsyd^ zSx$-GT(&F!S^Z;h8MwK|xYR7~?5;cKZ2v}oyY}Y9+dHS9|44flb7$6DtGws)_A_ix z3(q_I!s**JnUia8?&#P%xB6kyomq!Z7i3S9hD4QKdj8onqL8RspOSy}%hnyH(nZ;A zzL2Q;F*hHS+G3y2zSz4DR8Uq-&j0rG+L~=O#?{7oXB+Rtr9U;hKj*~SnRnjJJ}3+F zf%W&G+vdULkFMqX<J?|+{$6kNX5H<@=l{-x6oT&(^Vb{SKe+bhe(~*TFYWHka^7kB zc>l)jX|L+QzCF#qVSCyK14vZu-@H97Ht*~o<$a){;p2+@XY)RQ3Y&|Q_uZTtz4_0S z{Iq|K(VNw`UzfCh4GI(O^FN<~YOBxtA}bDpQrR{3eKRX1L50L~xqXN3&9dG3w<;|D z>)AKiJ4|=~KlJwcW`iS;jHL$2SbLA&JGb|XS>D-?^#$2s_m8fX>?z1rE6Ps0pPUbh zhOOUkMjQW;*q-K{clJ(w?PlHWX_;?kuk?phS9K4;)s=oNsJeQqegBMq4X6<OG&g_Q zzT=SU>i=<QA;^CJ49n-8z5)@OZDsSG&zmm?j;#zfNNmlRxOZ-KqS~EVha(HJr==fV zYdI}?vypUlc3RqTaFu1nUY)({`7v;nHK!d?Wj*_Pw)4)cwLfc&qb`_N7iEiye>%JG z&aA7gwZ^+SAkn4z1*GJp&^}3>&gjjm+l%#epUz&nv@qLj`r)^CHb>r>mAi9pbzbGS zYceO+Mn*U8-Fxm&*ACO)s~=p`S=$v2^4FZ?{IgfGAZ?8B^!&34xsW!->kZ)8s<{U) z2CscRd#7#R&56;*w@#SvF3O%}{_U(!OEfsL^520YEBXgGvZn2eym1N~S=+y!J>y@H zZT7V6-NUjsYi~}zy*|CP`u>@B4bhu-3+L^h*E+W_``goNI`0nMn<c!{G<r9v_<7qF zUA%`q@9e92J4~DRLSpNC8YH%AZ$um4k%z<<<G!2IqBoZ;$X~{HXzk63(VHV68EYXV zW6j8aR{sp-uF1-^#-RrxvDKdhX<XE7$xrJ$xb~(U-}d73^8L}9wYR5*KpPjrpvHyY zk+qgKlH1dMia;6{%QtNY*S?dZH~-T9cFpHM8@LSozyrxx7MDRD-j=mba_-~VwmVI` zpZ@|?#jh&&&9s#VRYB`F7fl4^Js-VqXCDYbYpkIAKCx}ln=4uKp3mDW1gWuhcR@1N zy0rZD#`U~;XaBt~$ey<D@LJ0$(Z>7c?KKVFe;n-F8s_S3HuJ~V)|^~B^B*&$%DVpu z<YDRUztYydoh`f5bg^|U$Y($G?w|Q12&#tG8}B;~iq0^reV_#RQT)EnpQ|9JUwi-k z>=|ZAA-Lf*G-JtsIs3+Whw1KqQ0t;X1X2hlzgY`vNL@|Ne;!vQv;El*%{#NM)<3w$ zGw;~inJ3oHtZjpM;MbeAlKUD!X)^7d>;0V5Ya@Rx&tDb?iK-u~^7)=z>xusiuCA`v z8vkztS6BBwoxO4!QeAzqezW%G#M?Wk|9?As=KlxRa`w01-ue9g@wGRnMjQWX*lP-E z`P!xAKacytvHe*=PxR(LoOx$A-kCMk|7NuDXGuuw;{45MW7~t^T=i!bBv<YG2+A^R z^J|TN9R%m9=AU=%?{0ke9+b5*Z`^);#;+Sx;a-oNIdSjabDei)o%Go!xugKxfIs_Y z_R7eDY_s&^YiG`721VI=V{>&#vA^`@?bm0{w?=Qa*Uvk9sb&Ybk@0!+_OvWUNLeWP z?HZ5RL2x5uuLdN>^ub9~y8Cxpp62~GC)P^pz6DoXr+=iSMMA4BR&ccyc@bP~g?&1E z=oGk-v2Rv>TG(+&BO`kQD0cVHyJ}i%yjv{qY@Xcq`16N$?J)galr45WIUnrfuQzY+ zoNjhtt>rz5?P;cm)=K6yfvc_Enh^Kr9=kW|VHl{;&wj=Q&Rkoz<}cfJ5S-k0>;=bI z`3G=}Ey{mZ{sq)bT^oBd{-Moo>AIz#8~<p%esk_$*7Ki2b-kaD&HJ(S+~eB9*E~<J zt$cs{o>gE^bh^%VzmE?0Ps%*9=G|G!m3n{XiM5xlrtB>ZYX9x`-{Zb!Six%+_lMuA zZWX;g;=Xyi-}i_+=T?UueY+<}{lT@DC)NhrP25{*r2g>Q%6UD}>c2$u=B~SAH8p<o zcE1X#yt(`CSnV{fNiUlAKIHtdwUhtq=FM%qW3{#TMs#|KT;BTSwTgM`)yoC*<}SQr z^|kwEwE8ZV?S7w)?pQt5E_~hd<XUFT(R)^{s|#QIJh`T6TC4tN?|YM{*EH`vus+zk z@A9;0_1ewvdGfx_y_CD7G-&(dZ&g-B)wWNqZ$vLISI>JMx?g(xXRrT~+x^1x=AN>B zvsSpQ;I&Hq(Y3)l<hT3z=gob^{ATUsw~ww#o>)7%{ziGz@f!7s(dySfgPn8yW_0?W zCGSh-eVkh(zx|v?-umSqb*f*B%sagHauwtD&#QO}tk-Y6V|8}=&FJMnxbo&c2)$$V z@%4_<P5F<mWu9CcT-Cj|G${WuD3m7u?0?U5|MT1*wRfyu@)x`=d3tSS&53(ffBOro zZF~I-t8HhVFL?ds$+eYr=k8hE)Gv5_W#6H-!GFZI`^D$YePaFX+R57f=yZ+kej+*# z{z<Z2^YrbHUaq#?ua528HOZ4}FVC2`w^U8@{>yXEtS`RVQF^TCwaN2@{JD?j>?ple z{P0`Vs?QI<RjC!d-tsIxf9@rw9i>5%cdVYa7QB8Uc6co)AmirjExn`&j=hIL`;4Qm zm7hBO@Y>0gLa?j!&fl|Y7A>r{JsVnBZJWpkc2<h%w`(WY_C+t>r<ON2NN)Q%hrGGB zcD-3UIi4RBTyqb#?VEh>NcpRx*DkYvor}nu`z-CvTH&0R)_d31F5keLH@EXnoPT!o zw`-bzS+@J7SKm3OeUo$hXRfkiU>DtM-CKHT_oHho-}FVR?-$RT+jqz6>E4^s>YMrV z=FYogb@N8~G4@}6PZjQ1J@hYp{pIO3PrkJLxrvh7uV1#3*?xVpEfd5^=Wj-<*Q?~s zJr=m5^ilkSYm%qd2HW@TEnW1u@O97AYnt<3SkDZuS+2O<?_mfy{d?VrRxh3P{>%9f zb05vzQQ9Pxw|=?qcE1|2>enUp-M1^Ro;&__Pf+O{E7_f;r^GjG_j~4a$LeZ#;p-Hs z9e?X~Xl}p1J54`5fB*5klW)JTJF0!-_WO;^+J(RW7DVUmFV~HEJ-c?NskiTanG<Vg z{yAq}eEsLMfV{J>diP0!`fO_3ug}cd2r0+*-88>kf1v)4?YG<=bF1H#feWqA<r}tx zG*r#rJGZ(-J@4$n`32d>${&N;pppNKtFxEAKL{$4H(vq`Rn&sY?(DRG$L@jpbBll1 zg1UR38SkI5e*-Gkxa+^4op)!}RQDR=8gO;8e)FG}{Jy8x*4VuSHN|K9|4jQRzfaPp zH+u6&oxJCAcI}`Fr1-qu`Fpc!cmAzX)8DZD`pjy<?P>W9(VKr5ff_-xmWJPmHm(o_ zdE)F3({I=2{Ndi7W^`oj%qrpSX})=9??k@=)t;OGnN??}-9HK*b+P{hYIuIE-Z!&O zemkgHed##3+3*L_N09%W_N5c-u-b>SU+NcTn>{IeH*@_BP(z`3y-$ttAF1tW&#mvA zv;8FpDtXTy`hNr5wf)Tgt?b=Vg~$I+KEJ;BWkGh>vg6?D(u%n{yUqB~wKu2N&OCGE zUR-)w*qvFvJ54ul-Ux2ZJbJTF@=PPBZJm~wcmK`lwUM)qo3j^Xt9|~JmS=Zg=G5BA z*bC;(t)S6_Z&tzFn?b&PE~o!!_Dk2o>}^hW&e?8f0rv!ds@?=Q>uNQ>mA$)oX@@EM z>4(>PHtzt{m(!-F=b!z;wZn9GQFd5ZO8(g^s~{0p^afl-Mx6!MG2!3O{<#Hil5gnC z|MukCnkTi!G2)==fBoh?3&FvX2Og7{E&V&q@YC4@;XAW>&lXyLFW`o>Y|6a9U3+u- z?RDduU3>SQi(%ZJmLGj**3tP7z^%|*eS1xt-xg+XdwQ*>JR$$=NxvPYljjy@FDrx8 zjoY=WL4#jw-XFU+>!vMO-I@1KLG78xduxsN@`HP<OaFo!<v$s~m81Oy^To~J0h6_F zX1{cX#@AtJeEm6Ne)w=<c3Iz%w|5qEfg1*`i=pwA0gA8Wg6zJ&!)qfg<-mhnSyT6# z9u_VDHBEi|Qu5E9(F8S&veW!i^UqGZGwbLP^XB-6*Fa60AHAUdlg?alKVh<Qt#LTG zMRT>d#@Ip}l=#*gm&#RVZ+lwy?&HsWGuJ)7mJ{1@d*^e#W1vdaxSkW@)A&>O&e`tb z*`BtsQU8U_<f8ut^?z^vy*vM_``?S%n=AX%zT3@w^w#pvKAYv=4c^uo9DQB<v$k=4 z_WvLAML(2Icp&%vvCQ<Ttg_E5?ap!id$i}o|8m(sS1YRmzw>{;zW3ex&Odh1I(h#& zn)dJ9@BZoc-0#jH3Fde2&k5OQT`0}a>$HCn5Y1J8V&N8^yuaU0ZT@3n^X6Y<JnR46 zpKq=Dp?vPN<)6Uktye!BpOYSby!u>l_Je+F`IGYt?nO`jJNaC6_Cx=f>wEtnuH3Tb zcl+<xncvH+cFLPp99yXV-unIeBlrGQuUY$M?_RBcYn;EE{IP8RbMwb%zT}_W=c4zg z{$J$$TjtMvzPZI8r_1bm{*bx--p?I>pR1L=|H-|l|Ed1&t52N1t(VX{7GLTS+qD1L zgnc6QcNL2z|Ft>aIPm}JguOEIvu?|$+>QSx)fT*`@N55T`R}g3o!9<8-RBwmd-1;) zu|H?$nf{#iH~N{e@8`!Kl8eRu<lcVr^ZirV<saLA?4Nsm`+@(DZEkVZU+=rgCV$h= z`oVk4_wTy)zqi?L{jc$JLHr-*=PxDxpQtQi__y(Mf@|FQ`nNMeKWzM5VERY+c78+s zE1Mf!|IfUvaQ{>M{P5n7`g6YXAKYJZ&sOUHsmkXmHIHm|32cv_{3!Kaad<_3+{?Y6 ztl!^!y@!8!#p9~|`v2}#zhZlTS2J$!#rMVLmGZtH(@wwlHm~;2`zUw+oo#XZ_kSYm zxBjgwTK@f8?7h?VU*!Is{GHIXeg4<q1utrg-h6o%KI#ALod1Wae_FhM`0*Zl@SeD@ zzYlTVYZl&HzO3S@%Kr2JF8wa(GWYxT`_B3g>+ilk{ZH!uvC6+Ccm6FmvSj{$?&lAm zKh@8dZvOb+^8LK#{}1+5$;s`AZ>o`<f9&TIrkbedkG(&<oHJeO$Cq>N;SY^1{7pYf z&b^*@D81<3FXnkg_x7;PzghV>?9RXRnEkx-uU5V-t1)}Nm@n`D_Pc+&zh8>q>t6pE zlze~x-S=_9_eak6g88fE?H}#^y5jo}!}qP~d)WP}-urxfcDXLT>R9!z_qCtqeE;_O z-f8^`{e4etO+Vb5`)F<WU%R@q)z3=bAG}bV-W7iD%kN9p_b&hcc5n8hwG;0je_wfi z&wJY+CqQy`-+o_Gzjt|l)qCHM-^A<U|6Qv7G4=Zu^Lx94_xxIW|M>sU^L`$zuJ0;e zHuvD)c=7+$>wTWvTJQb-#phmiu#M->+RCu^4|71_XubS?_~m=W#g*sJyxwbnH2d?< zTFb|_F&BO(bd`&L{QYXtz2ff5^EwYfa^AmcQzhTuOx?@ByduAHzkk?=dGQykcXWL} zD6)S2tM6A!_dNe}&pmk0#<lmw>mS)}o%{XFyL-Qb_k?}=eb4dU<oQ+S<=@!aT=|{Q zb)En7?^lBNitWGK&3g2A@t=KVTfV=Lcz^RTIK@=#_xJlU&;I1^iY|V?kH3GdyvN=7 z{Z1yx=*nI9ub=xOcXf~dvL6q&*Tvf(uYMTwe&??57e(^p9(>>0z1Mwu#eAQSiHF}y zPp{UGduUs~_4`MW{Mg6ezuvFZk9lsp<KFKVZ12xX@136aN$&5#-zi<&^FRJR_V!+P zd4>Phz5VY$-TSrp`w#zn+}|tst?pR|?<rh=U;O>&dB0|V|HynVI`;j?=6l@r-{ou` z?KM6++jhUc?K|7QE#FTD-@E<)LtNdh>W`7{S3ciUzP#eK>;C@#FKs_h`u;%l{anqs z%B%0a^DF%SpRUgD+J67j?@zby9WLLq{NC4lb01xucz?Rxhk5@lfaLza{{D31_YcDF zSDxQnzP#cq_x^tMd&cqA@BKfXE3b?Hd9V6g{k_YV&;0oR?|<`N&3Qhrzdx1S({5ZP z@AL89nY#G7N2~L~-p{n$^L=?muHXLkXWrUc_kVvV^8W1m?<bk=eU^N`)%C;8yYJPb zyB`-@yw~kKpSk~h44c2Tzi$8er#8EV?6!YCwCab=xznp3f3{k`w)On;JyVZAFTWQp zAAjIyhO*6Lo2{Dr7JPmq_QUBMH)~De^QXBVUC)#sdHemqL%)0M!8W^h-{)UmvG~dN zqqcjNfBSN8?xVA{H}qfqE8cCmZ^q|`jXxfpYj*x{p|V0^U(4qg95tTL3t8h&RTlB= z+w%EY;SZy8yVW0@wvzuR6o0OA!h^`?4>Ny!I=9&PgXo;&=?}lTzk4E6qx$^p=Z{n8 zmg^sR+yCgW_lMBA?9(4xK7SbcBkSDa?2lLH9>4xD+rmHZ!1a=QIS;cf-`{Im|F)*W zdSBn?2YNQ|ZT3jVU$4A!@OrV_{qFVeZFb6U-_ACR|B<xS`+u_cPyGB~Sd;wx;N*%Y zHZ@ZBk5qoq*|+lZ57rvv=O?{?JUcgg{ex(W_xt+tU)ES0*<K{Kr&)YX`};@H*8TU6 zZ!eUqZ^?gcBl+0A@ZKMu`{ydZd)HJyKfL~<>>T#{k6oW98cdF6IoNk@@yic$=NvbF zWNq!AcC5Vc-W=ZhS1Zf?YRsP>-udzFT=vh8Ri8g>`XP62vFiuhxyQR7S6li|JMuPn z`ZJ>+cIS3S*2F(QEc)^99QM_ZHhwNBt*L*0G3p27xyLqj3qGgR{!w^-w)ew)tM_?L z@^5N(Sk`qEe^-tE&i{RX_49|@ek?q<`0dBTbB<d-vM-+Zrb+(Yo}JcpEuY`b`*D6Q z|M!FaC30{2!{@ZKKXkY3=R4S6CMVw}|JcTo$^Kg9=BEFLD!)tov3P#?@rTEA+0`F~ zK7V-f2j{uPEcO>GYozKXeSX68XZpF>=?}ks3;QnfN96h8&mV*5vWq|L{QM#4Px!gT zr$5G9_pd+XUpz0Sd;YsUm9qb&pWl`KU_6IE`p3+3&Cwrceg44rN9Xy)*dI5~J>LEC zzLme#56g42zdw3z_5NP}{O2`46zcYUejvE#oz0tv;uih$j_8-j+4sgjv8fc>f1~m< z>mQ-#cc*{wp35J9P`_AiPow#q_WVcFt^4mCTwfr!zdQb=O{M()Q<a~U|71PCYgpI& z`C<Bxt>>E8e^~bUgY=*BbBo`9d_CtlqkKZldz+bj|Mz}=*7Jk++-~E?=2r6O+U`HG z@p!EJ{GrW{v*#B7{Ah2{FMcGyaGp-n{a1T-TGY+{{BF{Zx98eVKPb20pLYEAxx-UG zSkHA|{ixisKm7Rr7dDaH|0jP=liPp0vb^@s`E%{k55HURn;o@2cUbqsev5vtAMtaK z-+uJn%75GO_r>?_2>ze_`JLX6zvtR_KjydK|8{8ixx=*|+2^|de#~##&woh1Y@STh z|GSl+4gLr|zpMPgeJ;QDkK}XB$sgx^{!sC!@cG5gAHwGzPyVPrSDo|Ul+VvHf7s6{ zKYw6;nVk)H{jtgdy?f<y*FL7tbr*kl-m+i+==}0|F@5_V?5Py}x9Ib`tv~GN@~=M} zUnF;@gMUuD`D1<S{=B2{g>v^B_rJ2)$z6Z8@^jvw(&u;i{<VF6xcA5YIqduooS#2* z{xSR9V)qZ*=Nz~Fll%PS<R8}OX8S+>Uv{rn?0^5~hulAQpKE5cE0WvSwg0(I6?6Ul z%0FuV8b3dg{p0-nZ28CjIpz0{+n3q>;rPG)^8?|UH#UD{{vWCQBKmLT=O5C4jGv$U z{^R+%*$2<)39!Uly!UIbe_3Op{BP>#1hJY&HgjbE->RH)Y=5EL`R4l9HZ$e_pQ|i( z{8Rn>uIq>YmhyUi{~y>yaPcoI{hRtRbB_Dz$Cs`8!w)Slp0}pU|NWkwR(8#w-$niC zIoDoUqxSqDTg9o$9fo$BKW7Bjh&}%(_G8Vt$xL>$KR?^{<ITC<w;vZ<$iM42|I+4$ zsJ}J8_m7x!i}!xmIrsSQN1rYHza4*Gde4Sq{`t!90yTBd4<G)(I)~l<xbeBei$Ac= zbwB=y+p@p^xOmyTIUV|s_EZ|}Tk$!sN&i_*h19+spC9<xl*H9A#2>1Bs#xRs{Hyzi zrE|7d|G0GSxBsKl7V`GJ`mb&N%Iur-`A&DmwaUT<{r7u5Y3*zJ{7d>r*SXvIkF+h{ z?{8lJrsj{{zOK(-*ng}#S1kY7^7&E!4_D`e+Z_)tkNeLRf4K6ubdB%xzwbY8ozu^3 z_dEJ_WmQ#;?DL&7KkWJZNax3{bK099zqVYz{NvZT+n*maFSs{f{{DrZ6^=E^&tJNJ z?4A4E$)+eShU@;3%GAi3;OAeTempy8d-x&qa=WkVFEYnO7`!|5s@b4|<JyrghtKdD z{;@9hK4W|6j^JYVnbk=j4WBhie4pW-^tA9<=M0;JbE<@{9X%6##@FyS|Fdl~>SyiL z7Mqv&u3I(M!%*b(`A?rWM%4CMR>)mD@`d{huVKx^ovP3NowT``V^&wWy=~>&#H#ce zng<KyXV@}4<7@nRII%=TM~0nU{m{de1tnH8^I6rOAAFEBW0%ab^^G%MC*Ekfc{|~` z-;KY<Z|-vcj<u*@;hWzucX{Hw$QxC1ZU0+(8GZJ1Wv@ScPT<=8#+BuXpXBD$Flfsk zz9?~Q{=tLd8!Q_4=08YjyIKF|>$)WmGQ=d#^RX8ndUR)lxqgpW!(7(HJV6P4zR%tV zzZn_KZapiSkd_(|FS;zO{p75IO=fG>aL<-LuuAWSWd5m`drW-Wo0jG#{8!(wf%(ll zj?cRfe9PNV%+XWNc3L#zC+nJd9Fv0+-<Cw!bDN!OKHHtJj`4H&;TKjBJ2=JiTbEiV z{z;G6CmyzI!!Hh>c?~bq6P~e3?Bm&-f8fq-1N)|n?i)U6>eR7%-#=I(rB@(zo<V){ z0R`UR&j-Ia8Em%TncjBuX=0w}jCf|Z%80u{Y|#g=l^JZem3hPTI5zQ1@C{+@c4Pk| z&kWDpOiujo5@E&pte$&!(od&n8*l8GW4VWkt-it3uw1>^y~p~D^2UD*#ml(W&mUOA zzghp_xBnlDpWWF~{O`y9^-)~Q(%a@*CQfNv{4+6?aYiJM+0jErXKFX@5-Q$cl(Uwx zIQ!7SDuX!rWoE6VhWky6!*#@G9#2Xxf3|_8`uCwnbp@Y-XUOnuc0aH!!fINj#x=hr zzyCsIb-ar&KX{=NVJV%a*WkPHLc+W5tJyP~)pG7~6q_GBm}{_4cG<hOQp0-r;&2`N zGd5z<{s!&-hwg3O@Iz(}Pr@goGkl5BI(tvsh-AwfFaOVN9e?13x5Y2Muzl?_U+&zo zK~pR(^<DSXoim#&6S5s=M04<!EKJ(NQmn=OI;k%7*}90h*_AqGW+{B;O?%HK7IItM z6jQTryg4^9TIcOa8<Fha#>@E|<{I_zwJugpT*_p8{P3~}i`Jd`VfBY)<}gM-KX7Mf zL4jb8e%ngz#Mm?O$M1-qHaG4+>NeYQkMOs5jYs+B+~J?hp71U3hM4#N1i7xQ;xjJm zA3C?%po+KeUena=iO*_ph;Yw0_<r<Ni{%fgZFLPh6V}Q9zd!q6kHI|7WzQN)4edc* z*FR(<Chcw5?tS<k*M=QRbJnm;zM5DTdqd1y@N>YL+@o{!4xIaDaEr08taa+$gf#gZ zBHZkT-;cgJKco3@;_HkV)@*!!4IeuVzG_E^e>Q!#F2ZhprH+|iDxZGy-qnd8r7V8h z`s6j-j82T!`8%;v<J!MdbM}ds$+cW`F1XS>^?2ek{@F<nRiEvPsBO0VA)fPB`q@8b zcKJg;lxO^sxpwr=_A{{?ZFI$T`;Q(wbLOGWhUslbcRomAoRN}HR(M0q`?L}N(Oaj^ zybMi9_mx=7>i+uhDK>-6k~v+ixifwzmAXGmP`{yd@Qz%;2Kk=k8CERUj{Z46b9d5D z@iWOAKYBm=kaEMCSIobC^5(=((KGf6Y&&}C=^5vZ*HZlc^OfZ_1#<W0wNJH9cy@k+ zrr5t@cf?oMr}N1*u2oNbr)g2ackKM(E7}|Mo_*c3ZC%8*;_JtfoX`7kf9=_FZ~C9# zuC}jpKG_y8dOjsJ{ejc>Z4tA2D>be?OY}R>ZOwf!Bhey?DQsHH%%_RLI!|W&P6|E0 zi0jjvXz7D@jumWR?b+V4@@+!wnfHh8h@P%C>_6HzuTn(r#J+M3omY{rlevl)J?BYX zeI|EfiPq<UH7|eKyfnU9ey;UmWkHGInin%IByEo`(-iw+GizVO)y*kQ|EtS-<}pvc zTpZ6PTeWfC{YjUM!(S!+GpxHOdb;Y}$%vd6GgTMP3%pd_`?$O6+KDH*&s@%Po_uvv zE_dUS^b($<v*xXzc<r-^6=Qr`$Fj!7GZR!<jf)R0i<mKgr+%1tDxX;M+NFtkk`@ty z$FvV#k=mg5?0WLMuBW~;jwdZXAd|;iZG9j~(66ld<U51i`Z?W8=Ns`Ko%ODNzFkx8 za|sDqZg=%VUGF^SU#xvHJL#cL-97QurOzHP-MCbHqnP*EK~sZke=i5`dR`fO=5^iE z*o|v`_w^rbdnYOPO77v__7|e1>))Sze5Ui=<+)e)ZoQr4`Tc}km+9U6R%?GpmY3we z^7y_~uGVG!qH3@768RU)A};)$^E;{3s<tt$>c%YAXKAg?I}>coeRMfqC)uUE>w5Zh z#_^<N(PssrH=?=4uD4C*P1vM(CXerSQfBnCg%P(qE%zF~dBeEa_Mt|b=v`s6#lLr$ z9X&Mh&mR4-QvItBD!%F7Wj8J7`uw0o;`P6!D~o5mPWq?xY+b~@rb->NlJn;RzQ1_0 z^+#pk_gi!B9GvsQZf4}&+naQ!mGqxl7;*Wp>g8SYQ=V)7`|I(&RmS#w%tc#S<6Zp8 z!8$MMx@tGBs#awCU;f_t?s0?Vzs30WEfRnIuUYf1@dmwT>2v-czpq|?bkn=r=3>&H zKYcE+yAdrRR=R%A$w_BU1{v&T%IRKubw+!V?)f>_{ywnUwLMy7qkJx>cJ#qB-!^FS z=|A>PO1-;SY3*+>lOrDA+h25w-o2fuWL6sAw&va83;U<Xd43P(DB*9JHRJMMZmXm< zzXf`Z?0Tm-;i4_`u3!J^9q<2@|KDC0djB^6*Vy0FBCeD_V^3Q2e)sY(f4^<pw|d@u zyU&m7{SQYbMBX>_e_j2M*Dz|r{O?D)_RY2xoc$}V)a-QO-_Qil`|W&RWS`BNart|{ zb<!To<NZf=?PH#EvAk~guYY-t_wUNSKlm}U_PyDa^3>?0!26Z59i98u&${$I`1Y@L znQ`}ZW#{><U-Q0W+1I`2+9Y27o@u*r(fcsJBl7ivckP4tKU=y*_xwLMr;erU=&8kL zo;G}c`uXzfzrQ8F?EBkOwZBeH;tW&p=7V2k3?{cdyklUkf95RzXGsZN7Vho?kCHZs z9IEh)h?5GN)_PUPC#+%X)P!2m8z&Avd$nP!TF!c2>&h9i^52f$VmmXrH=#H=qAMXk zIN~Nxnt6M#ZsHcvIUP@PXI#F0Xx@zb^C~sW$`btEvfNIpOn-K8oxx4sXXWi{Z4(Op zXWZfr``-9)Ux9+yw{*Ggwahb&?OS6vRvCO=!SlNP(4oW)#TGsN4KwE-j$(b**QC6$ zM5p)z_YJ|#q0jb3SU2vh)>@-t@MmhD@Z@;o=YGx38|$o!|Eaz``l+E($1FZgZy!^0 zcw%%<e6rtuzUrh;Y{l>53pB2^pSd`@K*#KUlHNYv=JJGSo&U2fKg-_`Uj5!E-Mv8J z+P&m+`}y6I9y32X)Lx*p%`Qo=mM8dstL#Rbv~x8~)k$B2pS?*hP`GBF-nXwIdE-yj z;*Z)AJ_*r1`_ugDnRh2WjehpQ`iA)A_cM$CH#=|q$Xu*=;dw+-w$!srTMSHP-*h~E zIn&tqz`TgL6D_TUWjeNs6xXF$2uW`?5Kn6r-e@XaoLM$w7IWFrQ*+KFN_{(;qWA31 zodS((&lCHewI*-0lrJu<lh9$foir!$oDRqDq+G>ke-0I>n29Co>2Nh4O^EIhPxouz zpdB_Xfp2<Ctzl%B<#rR9&fe4++@}w9-iYekIi+>w(?oZj^#|re3Vu8C%InO{sSg9z ztUn~Pmg{(u@$r}#UbfYTw@Iu&I44fH?C7beGY@ZV&{unH@cdK32F5kH9G|5RPL#+w zIOi7Ivhs$Ru?aia&%EO<W<OLT>E?dm(8UcEHZt>A+&N|bv-zECd$;hd{Xa2<Qcj<E zM&s*;K72Q*Ha>HgZ+H2@d$t?u<z;L*+WQY(oNQ3VR`%s***n%})9mi^#i!-H`26;Z z^qITniO=qDSa*JV@Oxu*`9mj<Z}`M1^YZiCAKuRz?e6Q<osRj>=*Bp|y6@;SlQSoK z3KpH;uf5r*|I5$vIcah)KEHodbH=vzpRDeYI~Skde>!33>ht?QpElb5^=EmR>$7Ec z|Ia;{@%q;v>#btNoA~Cu`27A_+nIxE8+J*`y#D;YsIhXz`TgFv4ZnZ=S)Qo=Y@OZz zbMMc^++=V2{i?$7X1}HQ;e`?R=UZAi=p6p^a6^&E8aejKlM{3szurG^?B<3_yPQ~_ z&(jaTkc!wLCl<Y-M7rmF)6?pNr*;vw0&4w-ZcR40-2SlJ;5XNqzueF6H(spX@WD{0 zj?H_QrN<xJ;>swy`*Y85G~Z0f(wi|)K25AGkz@1e19!L#_G|TAYiTV_tW=(HQ{vl^ ztRr)@4s4UiKRf3h+q3cp=Z$eGePu0A?<Q20-w@SSeRk(wfx@+KiRa#PyC)^vKXc+y zPs*-;_V3_^DvmSL9y;*Uox3CC?QXPO%iue=tp2sW<a6@;kK+$rIk`c3nt$TCe^TF$ zJ~4TA=VgJ$wfe&{a~Y2(efD{F&vHYN9?$va+QK5i;?GGDdsx_}A9^!&gT7k0;q!HE zkJWPi@QJN!7T#EDTm167K`HZ_j;GQyUvEF~XKjIsSzhwHd#tDHTV~oHoM-WmyZG_? z4F&phIvzG##jUYBGN)FePp<Xr@q{bQ$s2!K6o2HDu;IL&^hc!lZRCt<KE9*BKA)M( z*c0FOGCU!i=ikvgVviU0RR{n6p73YiH~9nWB7RP={KN6>=#%7U=fn#>@UE%l{(S%7 z#2NGb=088rv^yy^>{)?X#0>7fqtEV~Ia--u%6ynLG2TZkDNmQLTajm4LvG;)o#IV0 zXHp*q_^e&@_-IAwvl6~%*Drlcs?B|tAscazVVQYL?8Z%k#mUAJxqQcyin*WNGuyC9 zm#3cT_oEc|XV3gL>@emjYrJWj5byIX<=k6VZ$qAM?YV|_4Li#?&b&<Xcivd1@%!BQ z#2OW!@Pu%gzfC*qWP1AAlMRbE^@(dQH)vme=+H~6xSW4&J1f}M{1a<?eqi5>Ij8UJ z5)jjGI(T%0E}vey-@1mK+7APK{`wv7{#bhE<?F<KOCKNob@t3f`GlW*Gx83vi`Y4N z=f`x3yUfRvHXpw8TYAkM{=@7E@pJyn-T9M4$BwJ{c)~Z$8{(7e4W7?yTlzfl15-}- z$L=#*!xP?xT8O+}Z&-e><zuwLU$Zw|iv^4S+Dh!@Kc1Af=JC-}>SrD<-|%5-pZILy zXOFIL_+>BgkKuQc(2HOFk_m-w5@%R$KT0rrmQprjHZ$MRD|@WsdY&iyo#+3|{kme~ zK91ro8an!%m%R_Ji-?@HbE8d9SVQv0ol3>}?Aogj7L?u)SAT6_{&~X=J)UdLH+vJ~ zeUzTvQQNRZm1kR1uA!d6^=-{N-#!dj^Zvk{o2<unANrEFLA&o;(z^G&i)$Z5oRL}a z_~<FeGY|a}9~#K&o7EqSv5^VuZ+dufgTC5vgYBy}R50=Qwd58SXcbR7aA*C40H3)l zA0ORuY>plGv-6ifCjG5>wu0~U^aEd(ZqN?XOU{d7UA+2XL{8k{JG<q=>i7>!gF>)z zXC=4JJ+9{43E%W?h)=dRdj5~ayT0*Yd}4IZ`_y%%iSHaOL|*e7mdiC4@-LHbk1hOS zQv6p^;_JhRGk=1QcTb%@<1nMno^_9pK9fFkwEo~LYlA=LZ@N#`&xn>k@TlG32gkOf z2bZ5YnQrjiQl@KVe#st*`qOj%@jYAquxjDLi22hjXYox7Hn25*(=|16hIH{E4c_BP z#d^=~{n@aIfya1*zMoiXo*r9w_hE&Wo2d!$KGRaq>9TukA52KSA@(?QMt1grJF^Nj zu3b-hw}$=k*TgF38=~&B4X&HFUQ8>{N!xbqV^XU0vx4XdjaL;LcPbYbsz=<FZBxA= zKG}9gG^i(P(2*D|^Jj{srNlG7MrXs)mOk;v^NpAHxBN^l-4pSjwYWq^XFkj2{VlN@ zi;RjNUpKJg?K}GH<(a*k6Q1Ts{1f|j)N}66N=BVHj^^%!ZH&K@iY$xYaYgJBWAnWs z_V~Wx^}LpyvmXSk**?R1`@tV&Gxjl;9lbbz#_RIKFU}X}q`kZRG3jaknTLNjeAv(@ zKD$0$&yH!e|A7ylH^e6|pAmij(4%653b}1Z4>nj<N<NEgbT%}-@-gX;TJbO48TH}E zyT1w+f05Iv=ehj9HFl#>T3!v~V*Q5^Idw<x{04P<AO25>pW}1<YueeDrLwnp3}y(X zrMK1^&g```x7In!+gzCt-Sa$koi0Z)_rZk`@iTS`AFAM-5hql3^kUJOuR1-`+LH}8 zuj&)mwtQA#8W9nFy!&kFjPA;ay8>;}2lvg$IdkWhjM(;ugVQ$X@@-4?t79s@eQ@E7 zTam}RKkA)%8Jk$=FROp;ds5%Gwx@p+e=*z;)n+#`KV@Jg(s%S(;+eh5iA$LdFHVS` zBXj(YjhxtO0}IA9|HfKF%c+*r4_wMmC}7L!{y6i@*5ZVBF%}}PpBt9zHGMp4P|NwI zYq9o>*Wrg=XjzCEUw>J#vB<XgM|H%G$m88-?G4298?T!2yl<&B+}XXelwYST;e+@M zG4}7q>ih>{#QBb1S!@;8BcJT|J>j3cRooi;vof~Kr}Ynf>E56n_V47K3Q@Q92RGiR znY;75*qS}OhszV<=j=Itr$%1PzTsfI!Eeqt-6xly*?B%8lc{-qVsy{`#C3leigzV` z4p`%VxU_GsWTK6*&+>$DnX~6&<}<q$AKEx$rvLHosXu2PW=%*Gl+`yAPu0_7TkU<Y zAoGU!<kT6_+6NwW8ANbyJ9;qd%*j^<+u3BgR+g6Tkyw9xPMrKRWm)}e=81h~txwk` z>|)rRl$!nQ7`S_XG;QL}?b>VJupPde7(eID**kaG#lE*5tTU(<c+-8-e`YcJ;Y;Te z3M_KEKMJ1Nx;XKjgoViK<3{D+&VQ}Yo36zzJO61*{FUlE>f?L7d+O#Hhc_oatdZ3> zdwu38cf!{oi8!|3NtY7xVtKo-A9xUTLyUcYx?f(yPU(jMK6h6=KKjG#*_XEl|J`Nv zul+wFbC>sYee28W#PBtDj^C*eaN`FB;*6cwK|=;VSl)C`PM<NmHljw1?fk(v-W&AQ zn4jG_z2OTR&p+1NNj53#z{3dbNq=LXoohGv$Fa=5Ew=EBWbxl(iCV_vNzbBX^~3fh z^X+eM&D{B~RL5-j<*(Z=AAWVlU=HiIqbXs}!lcBWHyymQL6=V~!OzHGyMj#D%A=)w zB-+osR86Rhl-0jBJ-KhwhCN0;>p_)g=(A(945C1l=dCk)zb2+KOXP9<ev}gY>{;4| z9hN-X8gAw$#QSVZJ@=PoT3O3MzYV&_tc|aG8Ej{l>H2Cr)B5*8i?)}46T@X>&&1fX zxTRW%8QWj~nDo@+*#;)={TDtaeYSY^=;MZ8-V*b8ekT=i6~8u#u#sik-<VriU{?Go zdWJPS-_a|rR&hQ0Nq+G>pQpdB*jUF}T%xLDp7^VLgLc@u<h(m9i^XKR&(5A%z5Vbh zS%aLz`z&_O-uW{<Vh=l;?2NzCZ@N$ZJ+qTN;pKaS3YKk0e*`|eHQC_1h)mbldV}(L z%^yD-{AGI6wRrl>*Xs|w2(=J1&VN<0@sn8b4>O&fl07$S`gi`YTk}u6E%}D{Wd9k_ z@rNFT8_YPc&Em&A%b)UR{xY;DWwEWBp4a`c=giBQ33X-`BCj_ayB8n$WMg2f|E6o| z&l%F-VG*&}-3H&MHB41a+#&L&d$LY(ab-jdBb)Z29KLTyQ%s-Td9`5+-=F>L`CGm+ z^i{-u+uB?5=U9QpHRWgL#0>5UE!*A{Tlhuz*{?E#TXKCz&*)jjt$A}w<{cY%^~;Kl zJI#v=<s)nv+Sm{6n;~=Lj+KZQfAhif3DGhkGbS%ic$Z-z5*#Y4e@xwAxqr*g;L<%2 z{xcTGAN(X~P^<Q)YpPW7;~0s#?8lQn*F3v-bVCs*kA7=yVUcR_XRU}mQf$``y!pC8 zUu}Q-xw{PB^$iDgH|QSQZgl-!%g)^o0@nPWxbt%3$M}R_>o;ik$tA2SPyC`F(>?Qe z$)1RphVA`_4_&s3%dtBg^XBlYZi7GSZ@N!<pILi7;bW=99>&{`9^^iIB0J-^xlGqe zeo(!4V$MH~XZBY=CjB*j_M$lApVYGbjj<a)=@u(L_|0?Cq(H}PdFs2fEZUk9=XicU zO5uC<Y|e%mZ3klvtnJ@)pJY0-lSfAv)-zhC%UgW<z`}_5X*)M7^@KOQoSGOev-Vs} zJhNN&p^Y;lLFLWYnTL535`|^;&CFBv^4M0hO62kWPTIs<oXsAgb11?6hS>4lM&{+0 z3wOWXA(Zo$+xz#y5FYEK8jj*!JiC*g20Zg&{dVM4;F+6?6Zdlo+s?NuSNa^V=5Lqf z|7;5(>E(v*>4!Jo_@i4Kcz)vim=kv{6+iM8H(svS_*Oe{&GY@s*QdSfO0~UhTg?1> zUc}ysmV0==9eq;vY|gyPb~|S8T=sm!^RGPLCPrL0zNTy&U#nxb`%g8it#z%^wyHPY z{m$jVtL4|<&*}cS`OM4b3F!={tIkzE6MZ%>qH?lj4fnUBF6VX6?>k?oaP5oFr#<uB z?fy)efBoy8k1vX!ZM<<|^AYZ<z8@|*FMmFCwN<v6(fFQq>(L6gXII{AP@eWY;oKR{ z-JcJh`eP8o@a^cUq%${<K6F^qdS>U-2LWr+XD)vFFeCKFiGzo-3Y5$~pE+8ZxZrv6 z_M?;Lwa&dYWq$dQPibdf<|c%%d2#dF!g<+uFBh*_U6of_Cf+CCaWd}A&b^=(-QhXc zH!pKfdc6D%H~Zm@^VIL!H0}IREFr@gy}x1U<AhfgHv}IC&$zt&(7FiE8OG^{=0&VE zO#k}l*}tD>JY}EhH8^i9vMhExAO5cMs`gFu*&7z5tNI_gR{G|*`N1siw6A9#H%i<& zxKDN3turgF6W^ULP`LIk@mzVrAM+Wz<janHVm?!9t8MY0<FiMO&8a(Ai|bEUJ<ES4 z|EBs;#QD#;FMjT2wcTB=)VBUb#l}_7KcvMc$6wi8s-EP1{)+C)%3YoFCeN<zf6Sir zw)WY#`ov70;Q0soEdET|`AzhU{{s)7|Nh6jpUTfLX1pKWop#~-^CQQ4&a}=<NHv>r z@pEEzQYqiJvy~-(_7o^>dzSo8pJjDbUUt~|9NCV>d3$dOc~||*%F6t=ch0<(Rsz{o z)3WMZ>*Ss%c%65C+wt`3&Fj}2D{Y^bsF+<#y??dX`*c-WrdrZ{;p5Q;=3o9?Y?^0l zC3yI4$)1S2^DK8W>%3zEIhsZH?J>KH#de#kjy-o+^YZ4rT-(i?mpm_B#`oy{>kenz z?#;{6^Phdab9Um4YoCp+lYVCW`+LUF;8L-nd(vZ;Z>+Z5n-`_WqzQeq-MH#`l-buk zrw+~hQ=_!)OV6o)JKwCXdY2M*evV8><2>1$Lf+GhYP`<(oiUm5|9$nO|Hp4R*YF!% z`hLOc|C4HW)!OsQH|M+;zq0MxciwI7y>k;cvqbNTPmKPq{YK`{zSX})zx5@(lV?w^ zoxga^`{Ix<wSBAhou4@8eBsva#J@#1cCeYPZ`ZxMenYKv@sjt&B429z7VSG9c<H-k zQtkZ3f9mf)zwq7Y(l5EA9^b#8e)XH<@!p+sbxVFf?=Ahmr}N!>@fW}TPg(ch|Mjo` zJ?sASm;S8}yZ*nt<o}*`S4*mQ)yKtuKQH~_U#0V1`+TS-&*}C07ry@2jQxNA!r#xc zcGbsue?Oo7`d_8&UHkRcm+IfSZ}_h%Q^VT6tNvbw)Vj}W&v8tSO!WPJe)aj|cOp2< z#M^c6+OJ>x`*~?t;{90WZ=VXbD6V<=uX66&t@lO6_}fkjZm89fna9HY{J^Sp-ubZy z=j>y3+r_tM(d)glW4~#}me0NZ_3BCKH^sZ+tk%Dt=e_IQPGjBc%4TV8drju(Fl_EV zJZYW%y0vHSL<;v^YnP0bkGW8KAT;*<ZLN==57rqheO>Z-m)!O>uk)n$KY70Q@`EQi zGp?3yRE_-~mA&cx9TxZPhkMrf>%OqMvTB`q?#qAq&Cf0LmcDlRyi0D&n%8#HyVirQ zSD9VIzuCCpZ;0ph_-oG+-|4Wpdmrdo*RS)!>cpyb<vF=W&a+1!n6fVT%<HNno7P$9 z$Q(Fd-G0cEHLWx@!Snk6tLGB#TZ;GTwMoX#55G`a5gMC+eb?mq{8k1_UqAV@OK#no z*Y~7$t&e<f+BbU-@8(^4YnQw}pS3GKQvJcNzKcEv#_Bp}_*d_`w|c9|=LFXomrA25 zWBWs|m4^2+*}s3wpuYRynswb;FMln+_3(}L@dFn-4Hmzi%(aVe<*L`cQPV$uK4@yN z^mWUpU2-edysne_{^|2Q=YmfbXI|}^Gilxal`rdJ=l=ij`J%hQ;@8PsyZDx^dL0|J z`NPgH33@Z41fG@JdPQEJYZ8`tKbqUmtRXU1Jm}I_`K@zrlz(ejERj}H>*jbpF{E^_ z>+6&{I}6!mC39Wkt~*aDz3cqA{Lh~2O_Qw?!mg`MDf#Q@`+fB*o1^F5&mZnt$FK3i zs$rGg`}~*xf}7V{=q)|}^0V=$osp6Acbh*;eW%N#?tN&Dow)wX&+nt=)uty~+!9Lr z^0UNozH|83%Hr1!b#@j!%f46^#?5D+{&i>mW1qTv*8F~Yjghr_(U+dD-x__R{9V)H z{RevN<aJ*ZUsz@LKIi2>@8;{4dP~o1e*R^%Y0Y_A>0RrC@}=%wpT8u*jMw|upY_`$ ziqj=6qBzsO{ETs&e>n7OWps9Sot?&^DR%0oUw_`P$?kiW%)#^3#}A$KG+2Fpsn@SR zE6W&v`!V;eYn80E3%T&zA++}1<z4;r`Q_R)YyBo)e{Pss`|i?(+SaP~MR%Sg&$#+r zNwxN0;Ou$dm8~})x_HN6vw+Tv;*M2z`59|Z)on2Id0E`F$Btj)b?yIS=l<;3u0H1t z|K_UreM`RI&py8S{TwFu{f&})?c*-|eh|9%{@q=D^ZE1IH23<?z5e@R>fZNvF4UG* z{eQ6IB)7rp@2%cd|F<vu{j|jH;q%3uXI}ih*>tac?W@1nroF2-j%fK_9aJ^nWc_#N z)2lb<zu0HCx1(~C=$TiwC%f*|pLtb#?BT&*-}_oz_u9|6^t<8i<{RN(_r>|Wzu&tq z{r(=&X<zn5N4;PFRW5bD4fE%!_#B&*yuIwnd*@HR_&e<H%O9V!a?K=O{#|x*(V4fY ziIt%eul_D@JQ8zXO6-fB--7SiR_vSBzxX?S&AoKVd1v+&vgyp@Kh7)h>Tlnod)Gbk z((mt+=6m0qx%a)m%fGE%_uMmH?X&r-c%1du=X$^TbNyeR|NQm+&mL>r`hSw=xt#x2 z?p|RZuI{}d|Mfr1!=W=T|0>R3Vt+sE%kvw{-gRHTKl3ru-=D_I?bDC_`kWH`efP^( zcIL@<@2g#z|Gn#1act2>tN-8GjSasl)Z4A@)cjkiJ9DP}@~dgBUvDNR``wtsz3o}! z+n)*Pj5lHhzKON{b$ysnXc5EIH?8S$>Vp(Zi8TztyX1YVM4$D%a~FP9XA*h0Uv2sC zi%&kwT#w=pGjC$Oo3FO`_vxN(Y4>mPT`Ox@8k<<gZ*f!lTha{+j%lU#)Azi)Kk3rn zD7NDtK41H|;Zp1W`SIWW-<SA1`M;c${-KZD8x+jur_Zxt?@oFh`OIOB&A~g>GHpp) Qmp}e8|IdF0&*meK0iblrtpET3 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx new file mode 100644 index 0000000..dc8949b --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx @@ -0,0 +1,12 @@ + +{ + crc : 1683845849965284633 , + ccp_crc : 0 , + cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl" , + buildDate : "May 22 2024" , + buildTime : "18:54:44" , + linkCmd : "/usr/bin/gcc -Wa,-W -O -fPIC -m64 -Wl,--no-as-needed -Wl,--unresolved-symbols=ignore-all -o \"xsim.dir/tb_firUnit_behav/xsimk\" \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , + aggregate_nets : + [ + ] +} \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..de53811036a2f957538e2ef601a5cacbda6adb84 GIT binary patch literal 633 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=$e%fdO)i6zfq}u0iGe|jfq{XUfnh;T zetKpy11kdrFfuSOurM$%7%&(zL@`8w1(g{XK=KQUlM{0ii{dl$N<dr&Mh1q4|NsBj zgR~ejFfa%(Ff0HGGB7hN0P6!uz%W>Vfq~)Q|NsBz{{R2q0;(Z1uOu}+wFqn=HhuC? zjsKzgyr8ZQ4snV1@pt!hW?*7q0Apqb1_qGd)I-%7)Fadx)D6@b)D6`c)T7iH)Wg*o z)P2+$)IHQ0)OFRtuJlGSDU>p!6rcg*=NJ+i<mdx-4#?fG&~b!%7VhZyFjwag{~)kJ zMur7o--AMmje!B|HjrizhJ{uv)U6@$#U+U)sbGB|e}iHTgu@*@L*j!SeFJ=48G=Ln zgIpN`g8ZFbgM&T&+~a-x{R0^MLqh^WLm0pcUHx2Oib0lYfNWx5U<iWJrBHeql)eY0 TH9(3P7#ND7^kgV~1xf<|>6dE< literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..57a1c98a5f6d4cad2df1f5c52fb8d6f99ce7db99 GIT binary patch literal 78 zcmcCwfB+^21_nk328RFt|NjTk42&!c3=GU5Q4kj<%*d#~z`!6666S$uWKf3EAPNAb CB?z+s literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..4bb1da6cb0a15d69c89837f99883d3bb83711317 GIT binary patch literal 7552 zcmcCuU|{$o$-tn+z`*c8ih-d)mVqHHF{e0{p`@rZm4Shcfq{XU0TnPZFfcGNFff2< z9;g&Z9f<!Q3e*kM8PpBc88`^&2g!qsV1b$k)&4)PG>4%$KZBvTq=F$8g!4-nQu7KK z5|gtTl2UURl8PBJN*Hp|7|Kc*($W}`ix`UY8HzI*QgTumQj!fBQj(1rQj(1sQj$#= z@)ENdiYxONQcIE;k`wb7Qga!KOOqH<i<24BiVGOhiwhWviVGM@iwhXk71SBj71bHk zmDCy3mDL&4Rn!^ORn-~P)zlf()zul)HPji@HPso^wbU8ZwbdEab<`Qub=4Wv_0$>E z_0<_*-c~nKXHYj*XHYj$XHYj)XHYj&XHYj+XHd6LXHd6PXHd6NXHd6RXHd6MXHd6Q zXHd6OXHd6SXHa)gXHa)kXHa)iXHa)mXHa)hXHa)lXHa)jXHa)nXHfT0XHfT4XHfT2 zXHfT6XHfT1XHfT5XHfT3XHfT7XHXANXHXARXHXAPXHXATXHXAOXHXASXHXAQXHXAU zXHbt&XHbt+XHbt)XHbt;XHbt(XHbt-XHbt*XHbt<XHZX2XHZX6XHZX4XHZX8XHZX3 zXHZX7XHZX5XHZX9XHd^jXHd^nXHd^lXHd^pXHd^kXHd^oXHd^mXHd^qXHYLtXHYLx zXHYLvXHYLzXHYLuXHYLyXHYLwXHYL!XHc(DXHc(HXHc(FXHc(JXHc(EXHc(IXHc(G zXGlrSVMsPKvH%g549SMZ1|Y%^L>PexV-R5iB1}Pq8Hg|k5g;ALARQ(k9VQ?hCLkRq zARQ(k9VQ?hCLkRqARQ(k9VQ?hrXU@rARVS49i|{1rXU@rARVS49i|{1rXU@rmJI3( z)EU$lsxzoBQfE+Ktj?gmM4dr>sXBxDGIa*^<?0OTE7Td(SE@6puTp1FU#-rdzDAuv zeXTl!`Z{$6_4Vou>KoJ<)HkX#sBcndP~WW1puR<&L4B(_gZegg2KDXg4C*`78Ps>G zGpO%UXHeg*&Y-?Wok4xCI)nN?bq4kQ>I~`!)EU$dsxzn`QfE*<tj?f*M4dtXs5*oC zF?9y@<LV6RC)63#PpUJhpHgQ~KdsK7eny=^{j55J`Z;w5_4Dcs>KD`*)Gw+ts9#cN zP`|9spngT2LH(*agZedf2KDRe4C*)38Psp8GpOHEXHdVb&Y*rrok9JsI)nN>bq4kO z>I~`+)EU$tsxzoRQfE+qtj?hRM4dtXsXBxDGj#^_=jsgVFVq>-U#c^xzfxyVf341- z{zjca{jEBK`a5+7_4n!w>L1h@)IX{-sDDytQ2(sXp#DXjLH(;bgZejh2KDdi4C+7B z8PtEOGpPSkXHfsG&Y=EBok9JtI)nN@bq4kS>I@8ASjv<CpaO&uQXWZw3K9ke1`!qr zUw{QtR`IYv$}tWW1_qE478V8uR**gxNE!EsnSp_wfq~%%GXuEH`pV3}z{$YC@QE2> z=X+)b25trhhBwR%44^XaB{Ks9F9QR^GiC+`J_ZJc$IJ{2{Gc+FnSnuofq~%;GXsMl z0|UbiW(Ec!1_p*J%nS^|3=9kxm>C#E7#JANFf%ZSGB7ZlU}j(tV_;x7!py)R&cMKM zfSG|of`Nfy4>JRUBm)D(PG$xMDFz0Ht;`Gz(hLj?8<`mxWEdD2)-p3N$TBc6tYl_j zkYiwASjx=6AkV<Su#lO7L4kpRVJ<TRgCYY1!%Sud1|<dthN;X949W})3=^3d7*rS- z7<!o*7*rV;7&@657}OXT7+RSb7}OaU7#f)w7&I6d7;2dr7&I9e7%G_|bwepL1A{gL z14AJ*1A`6&14Aw|1A{ID14AY=1A`s|14Ak^1A{&T14AM+1A_qr14Aq`1A`$014AS; z1A`F*14Ae?1A{RG14AG)1A_?z1A{L!1A{381A`|s1A`d@1A{9w1A{pO1A`+o0|Tf| zvSnsquw-Chuw-Unuwr0fFlA<7ux4OjFl1(6uwh_e&}C*|uw`Ii&}3#{uw!6gP-SLd zuxDUkP-JFcaA06ykY#3IaAaU$kYr|HaAII!5M^dyaAsg&5M*XxaA9Cz;ALiDaAja% z;ACcCaARO#U}a`ta0k^v%nS@33=9l^nHU&685kITGBGfCF)%QEWny6PW?*3W$i%?l z!@$7smWhGEmw|!dB@+XK9|Hr!Qzixme+CAIhfE9%0SpWbcbOO%0vQ+>ZZa`21Tio$ zTxDWl2xeelxX8r75W>K~aF&UIA(VlE;Up6SLl^@C!%-#%hHwT3hJ#ED3=s?r411Xv z7$QOO&&0qG1&V(r28L)*{4+5y#DL<TiGd-Ofq`Kq69YpW0|UcSCI*IhQ2aA7FeHHD zpNWAX5fuMS3=B!2_-A5ZNCw3}69YpEDE^rk7*av;&&0rx28w?s28MJ{{4+5yWPswI ziGd*#6#q;N3|R~e45dsA4B4RgXJTN;0mVNP14Aw-{+SpU@<8#=#K4dbihm{sh5}Ih zGchm}g5sZvfuRT#|4a-F#i00SVqho%#Xl1RLn$c!nHU(#K=IGSz)%i~e<lWo3I+xS zTP6mEN>KbWF)&nt;-86up&AtbObiS)p!jEEV5kMfKNAB(9Vq^p7#Qk7@z2D-(7?dJ zAj8DK(8$2RAkM_V(8R#NAjHJL&<u)qCI*HU1_lNWCI*I9P+7vnz|h9P!0?ZefuS7~ z-;4|l9SjT%Ul<t}IvE%k-ZL^VbTKe6ykcZv=my0zBLhPZD4#PjF!VAoFx+NjVCZ9D zV7SJ}z|aqhUq%Lo384JV$iOg>fq~&TBLl-E1_p*hj0_BuLGjASz%Ye@fnf(D1H)7X z28PXy3=Gp47#P+uGB8XB#U~>J!wd!nh9!&)3^N%R80IrFFwA0LV3@_oz%UyWkBkfq za~K#HCNMHE%w=F;=w@VKn8(1t(8kEXFdr0uj0_A5K=H@Oz_1V$e~b(ai$L+m$iT1| z6n~5i3`;=q$H>626cm4q3=GRa@yE!(upAVBj0_AbK=H@Oz_1b&e~b(at3dI`$iT1~ z6n~5i3~NB~$H>6278HMs3=Hc)@yE!(upShDj0_ALK=H@Oz_1Y%e~b(an?Ui$$iT1} z6n~5i3|m0)$H>626%>Dr3=G>q@yE!(upJbCj0_ArK>3%Efng^o|1vT#>;mOqMh1r6 zp#00oz_14tkBkfqdqMe^k%3_!DE~4tFzg5AUq%Lo1EBm1%1fa51cf~)|1vNz90uiI zP+1Ggzo0w^%D)T@497t63W_UG{sooEp!^HUo1py5z`$?{lz$l*7*2!Y7ZkUk{0qe= z85kJOLCrc3wetcfo}p?%ez*k6zYGivmqGa#R2P8qFVsv>7+(YBUj_z->!AD#sxv_O z7iu>s4cr37J1DJz@-L`v0p(w)8$oIG9w`4ZFfiN)#Xl%*g5n?Q7f>F01d4x99R-Si z1_p*Fp!kRS8I;GLf#RQmf#EqQ{uvk;UV!2s8aALZ=oKjb85kH|gW?}l2ZG`s8it@U z@*ODtL3srf{|pQaA3*UB4Qo&t{s|QS3=9mPLGcgDTcG%d#tEp7`38!A1_p-jp!f&X z!Jznu#vP~*`~`}C1_p-Tp!f&%S3vO(jbl(9{SOrX3=9mQcEEoq$jdKDWhhT9%FE14 zXGkq7$}eI_OU%qEEdmvKP<NpBYe0NZ+W^#$0o9?P<^ibA1@S?3H>l48s`EkWpw|2^ zN=;0O&&^LsWhgJoEJ=kh6AKDb^Ps{YLl_`+A4ngR3#LHkfy6-OgX&z6AgC?}@nQDC z?8z@k&5O^^W+*O6EGaFHhxjNjF*g;;1UUi91Q`RaTR~=nIZy(`hxrdwM}vex_JZsK z`4i+GP}>Eh4rVVX{y=<?8jyMh28M?J|Nn!ET5$glR40SvK^P>j^SUL{-t*mmC=FJ} z!0^Etr2lU{14CLdLqRb^UNJ*yF+*-KLvd;{LvCgsLk6gh0O<$S;UKd>ZUON@`k;1# zoKz1YKo}|xZtH;fAhjS2Y7>F@FnLhh3B-Q^G89xFfYQnT|NkAFK&>@MTMQ)6aB3FF zE8sR8m@lyO3Me0d;v39Au>RUaGf0~d%x5qR)H}t)0AeF!P+I_G6|7$iauYI!s>SdJ zs11NiA2hrhV17b257bt`rVpeRqz{BaY-BwkHmD5&(g#WpAU+I()PgWb9|(ikAURMQ z1DAS`JV-4lo?z-hZ46S>gR&(l>OpN5Qq+UmHl(NrwcSBs0~*%=`45I+;f5Z+Ab*3x z0E9toP@5m5AJnIS=>^e6ndzW$i_|nwBZvXgCjhAf$-yv4KPdf!Xi$CxiG%t*AU-tx z{0H?RK>E<#0a6Qc19Dt|#9$aa7yudsfR4|A2LeC?1E7Hf(BOhDs22cfi-Y?|FpW?e zRNjKz0V+2@LZH3`hz}|+L2d^1O+euc>cfEC2XZIK9FRXiVF~Ixfx-mjZV-m~2b7LM z>Ogux=E5+@Y!DmWPoTCeNIl35kUTnuxf5L+lny}ph_wgQ#s#UzW)HHPK;;)Mbs#^0 z!URO4y9d-J#-$IW7UWKB`asDCmp+hsT>3z5Ze036_JQO;`ax`D4C=Fi;tymlvN*C@ z<S+;I?Wmz1l%`<zA)5~pL*}nxg7o8wb>CVN)vqH#Ju!I<Qh_rt%!iIYfcyi)Ab-K~ zJE*S>5(nisP*{WV8z@Xb`3;n&K=}>iXHb3v#T_WWfx;b>-$3~cl;1#U7lc9P!t&b! zsJ$S)AoF1uWCx55QV-)VB%mJD_5w8sVCol<s2<ek2DulPdyw-Q$bF!8Cn@Sd^)g63 zC>%igkukE_pgue?>azGD<3zaJ3o;)${BWrU#U)5B2qUXU=9edCmc$n)<`(3nG8C8O z7o{>36y+zU78hscrN`&w=NB;KmzETimN0-7f?A$X#SD<K7*JXQnFqrlH-pj&D6VXv zf}r*wh!2_v0J#a&ZUUt*P&*W)9+qy1N!uVJL1SW|@iGt_8N>VtO3xs1P<jU03rf!* zdqL?LWG^T^gX{&RXOLS#=^2!+LFpM5KcKjTxeGM51hNmL2V^b`gUkl8k>eP|2aOql z)Pu|b@zF8No#^7AF(i;aV(kI77x1YEnU8E2XiSG1>Oo-%G8=@E%}3^g!W=YKw1I_z z;Rg=`Lk2Gc!wp^r1_eF_1_wR{h6#KO3<3NM3?2Lo3<vlb7=G|GFlY!cFhmG2Fmwno zFzgUuV0a<Gz#t*Wz+fQAzz`wGz|bJbz_3G*fk8rufuTc)fnkRb1H%U)1_lLT1_lpd z28IS<28JEN3=AKH85lG~7#Jc%7#KQ47#L27FfjZOVPMb@WngF!WnlOr%D|u@#=sCE z#=y`a#=x*cjDg{W7z2ZVI0J))I0Hk5I0M52aR!D62?mA}5)2GKBp4VBBpDbIBpDbc zNHQ=S0CgN07#I>D&3v$5Kz^SA<%9eP;$J}HbAS>W0|NsnOhED$P(CP}K>Q3S9~5>V z{tOr&l;A;Q2T(pJ{6O+QpnOnRg7_Msh9#(v3$@n)jh_MKgTfP}{sfc{bMFjL!;%3K zzF>JYK6sEBG`<8CHvnZ|P@f#ikAU()@dMI70gZnGjSn8IMzYTWlp&G$8EE_&X#5*c zJ}B-%_Hlp)y+Msrs67@?J}6Cq<;6fGQusspF!yFa`JglcQaJ<4hsmEn<Ntv2L1_r2 zUI8@74hkQrxCfLEi_Z!){tZw;!~jWWApIQBbOlOxAie{X4@!R^egTvZlV5<wzktRE E0M)<6C;$Ke literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg new file mode 100644 index 0000000000000000000000000000000000000000..832e56613973f956b8646686c3c128fba9f57e20 GIT binary patch literal 31264 zcma#Z%*o8FP>2Z5%vFeRadKBMFl3m*CYRyBz`zi|!@w}1f`LJy3W6CK85ok785p=3 z5P%WJlv>FNVuLV))JjeP1_lNukQ`K82+C$)WMB}6vO#KvK?GEd2qOanBa|%)WrLLd z|Ns9#$V`w@F{n6*Esn&NKw?Wm*~ofF*^n@#bvS_H1!M;-zQBAk(}NU9Gc-L&Be7+W z*s@4$IV83`5?cX@jm>Q!X;}IMv1ws1aynN8TLQ^1N=R&FB(@3?TNQ~7az8AOs3D1~ zBe6kDm>LZb2O5T;{0I}*L=tCaK;%0uBykp~I4Cb`BZ;#@#X&;WAOdPW8<MyUk~lk( zxGj=62a>oQR2*cNJ(LZiIH77l;{G53s9ju8agb0vh=7VGAh8pX*hxt2WF&S95<3-% zorc6tM`C9nu``j_SxD?`Bz6uGI~R$ahs4fDVizE>3z67GNbF)Hb_o)@6p3Ai#4bl- zS0J$~k=Rv8>}n)-4HCN+iCu@pu18`wAh8>f*iA_6W+ZkC61x?N-G;<&M`Cv%u{)92 zT}bS1Bz6xHyBCSwhs5qjVoyL~Pefu*LSj!wVoyP0Peo!+Lt;-yV$VQg&qQL+LSoNG zV$VTh&qZR-Lt@WIVlO~qFGON5LSipQVlP2rFGXT6Lt-ySVy{4AuS8<6LSnB*Vy{7B zuSH_7Lt?K-VsAiVZ$x5mLSk=5VsAlWZ$)BnLt<}7V(&m=??htnLSpYmV(&p>??qzo zLt^hoVjn<aA4FmwLSi3AVjn?bA4OsxLt-CCVxK@_pG0DxLSmmrVxK``pG9JyLt>vt zVqZXFUqoVGLSkQrvUwO77_LCsAnE~#fR@7#k=T!r*pHFePmtJ8k=W0W*w2yJFOb+T zk=U=0*sqb;Z;;q;k=XB$*zciiP+j){$_7ziKm^n;Uy<0~kl5dm*gv3bP(Ae%iOmVB zbfN7ZNhG!=65A4q?TN&WL}F(mu`7|-ok;ANNbHqJ?43yLlSu5FNbHwL?4MA!3IhWJ zC#Z1%4F_E)8{|hlC>x~44$20%O&C^!s%#LG0TiZS1_J|w#7R(%&A`BjBoAsw>=0PV z`L~{d;Q(Bo-4Uh-)NX*W1x~~Cvpa%<7ld)igPae-xa2|31z}wBAa{c>E_sl<K^T`j zs2v2txa2|NFpSNApmq@mgVbP?2L&()<B|u36bR#z2ld}T7?(UKUxP3%d0qwv1`x(2 z&&R;P0K&NB`571(Kp49`BK?5E5EPG~FvvIs@)3x&6rMgn{lW|Wkn~bv1M(3>9+Wmf z7?(WAOc2H;4@w&#j7uJrHb5AcJSc5|FfMtJzd#t5JSbd17?(UKZGbQ?c~IH_VO;W{ zkOW~|@}Q6eVO;W{v;o4n<UwfzgmKA((gq0Qk_V*?5XL1Bie(T+miKS~xfmq66ka~y zk_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{- z2;-6mg(L{$k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{y2qVi&xPV*?62%_>$nv1L z2Vq?LL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<Q zK_Lmkxa2``55l<QL2(blxa2``55l<QL2(blxa2{x48q9rFWf;c28m*ie`I-3+=DPK z{h+u9VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Q6e zVO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{SO#Ha`5oRM7lTBx$3L<>DDFWRmwr&( zgD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qN$f-o+5 zP~3wsE_qPggD@_6P~3wsE_qPggD@_6P%MKmvV4a>$i*O0?D3B*4~lyb#-$$=_aKZ* z9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIA_aKZ*9u)T=j7uIAk|2yr9u)T= zj7uIA_aKZ*9u)T=j7uIA_aKZ*9u&(Uj4U4!4016@6np$5%Y)(`gmLKy#XSh)k_W{- z2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6m#XSh)k_W{-2;-6mg(L{$k_W{-2;-6m z#XSh)k_W{-2;-6m#XSh)k_W{y2qVjDgo9iR62%_>$nv1L2Vq?LL2(blxa2``55l<Q zL2(blxa2``55l<QL2(blxa2``55l<QL2(blxa2``55l<QK_Lmkxa2``55l<QL2(bl zxa2``55l<QL2(blxa2{x48q9rKcYY`28m*ie`I-3+=DPK{h+u9VO;W{xCdce@}Rf} zVO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{xCdce@}Q6eVO;W{xCdce@}Rf}VO;W{ zxCdce@}Rf}VO;W{SO#Ha`4e#<7lTBx$3L<>DDFWRmwr&(gD@_6P~3wsE_qPggD@_6 zP~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3wsE_qN$f-o+5P~3wsE_qPggD@_6P~3ws zE_qPggD@_6P%MKmviyuBkc&a0*yA5r9u)T=j7vW#?m-xrJSgr#7?(UK?m-xrJSgr# z7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UKBtaOLJSgr#7?(UK?m-xrJSgr#7?(UK z?m-xrJSdhy7+F3e4dh~wDE9bAmIuW>2;<TZihB^oB@c>w5XL1BihB^oB@c>w5XL1B zihB^oB@c>w5XL1BihB^oB@c>w5XL1B3P}*gB@c>w5XL1BihB^oB@c>w5XL1BihB^o zB@c>a5Jr}_$O5?-B#J%$k>x>g55l<ggW?{9amj<?9)xkpgW?{9amj<?9)xkpgW?{9 zamj<?9)xkpgW?{9amj<?9)xkpgF+I7amj<?9)xkpgW?{9amj<?9)xkpgW?{9amj;X z8HADLIr2a*28m*ie`I-3+=DPK{h+u9VO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{ zxCdce@}Rf}VO;W{xCdce@}Q6eVO;W{xCdce@}Rf}VO;W{xCdce@}Rf}VO;W{SO#Ha z`5T2G7lTBx$3L<>DDFWRmwr&(gD@_6P~3wsE_qPggD@_6P~3wsE_qPggD@_6P~3ws zE_qPggD@_6P~3wsE_qN$f-o+5P~3wsE_qPggD@_6P~3wsE_qPggD@_6P%MKmviyot zkc&a0*yA5r9u)T=j7vW#?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK?m-xrJSgr#7?(UK z?m-xrJSgr#7?(UKBtaOLJSgr#7?(UK?m-xrJSgr#7?(UK?m-xvJo5SxX$A%c*!qzN z*FZi(U0(un<AQi;@OpuOBbf3aHyR{B<Qa})%7ff!kOYz6fJGkU#sg6Kgkw<sjEMCM zAoIa%-5D4d7957kBdvb{t)qF63^8BeI7FU-VIkPPpp*>q|AD$@Fu$S_6eFnq6Gig> zhf5Is$l)V~BtPRaro1?kyu%etc?l%>7g*#ak>n>_#ndl_ByWKwen4Rp5ArA|d@f?@ z7eUhRfyIBI@Dcc`0uCPwHq7t=$uEG)@0bDd4Qlv-<PE+-^n0*l*M9&izkmz7yg?%* z{$_Asmp{+|kzc`sUEbg)#QqI@*yS0Le}J}PFzgV3$wT;{U<0`yydIW;f#HA<LLL&5 z3=GKfCqxkP5EiQZ1u=*yBf}2|P`*Jo|AquY9+a-p<sV2P<U#ocUH*j(LLQWF(B(hK zA>=`8chKd3C?MoPWjDG!gEB%MRCc4wbEqQZL1j0(yns4F9#nRt%S&h?<UwUOy1arm zLLO9hqswdPBIH43H@dumK0+Q;cB9K%7{cTc<qIf&6;dGS!NC~2{06AJhbeY>hg69E z0CVi}7ohSHmQZ;{h<cFwK<Na$PMCp#;Q}K<9u$8dj7uIAe;|xY9u$8dj7uIAe;|xY z9u$8dj7uIAe;|xY9u$8dj7uIAe;|xY9u$8dj7uJrKS3CmJSZeV7?(Uq9EP!lKPZ2K zFh~tHc~JfYVO;W{{0YKX<rxt14@!Tq@`u3-WFn}1{ow%e8z_As%NqnD<UxKzmrsa5 z$b;-fmp_q#kO%n<UH(TtLLS0GE#EZCA)=u24dgd;{SkEtc~II#mp{;lkO!q*bomcc z5%Qq4i!QG)7a<QyyXf*AYZ3CGw2LmkV+%qaly=eOU+hN6gVHX#yu@LIJSgp=%Qsv` z$b-@@y8MP)FnQGSry(7Z9(Hs<Vimdl0g8Wt42b*+FG#GS%P)Y+Z}7)1Z;%Pmzas>@ z`~j%^fk^D~0a+0JC*rWnKY+?#NX9N-kPXp)BLllULk>j#K`wUr2~hbLMcCyPav}OZ zlw+6Q0G01(#4hiU2hl&F4ZHk?5{UeaZtU_7r4acA6L83T{sgx_R!qe%e*r4LVHS4z z1TTpG9rLlve}Kv#Sb|-?!5gCg#7gY)0zMG=3+u4UFM!Ht?7%K>;0w`Tuot`h0jPY% zA?)%2eh~c)$Fa*lfXa8A!7g9m57GbOH+Ff30EoN-JEYV@&p#8O@-sNG%PRyz^b7D{ zm)`)D@8H8O?+^sh&mf3h{sL6KLKwSzLNG-C2T|<uAE5FX64>P%LLmAdNMV;32!+T; z$l{QP7Iq0L*yRnvAo_2pVV6Gul@HLwE*}sM(SJf4yZi&Fyn`Ng`GN?D{v8I`<ryL& z@&?A(<tISpSD0d#SBQe>SFpe?zX2*g!wS2+Lo`JH0!Qrf7ohSIF4*N0Vj%h_xM7$7 z0F~$P#4g_u3(?=;ja^<K4kG`<7rXodsC+>Hc6oz%i2fHr*yRsE<r6}&%LgPt^xp`_ zF8=^3{~!aqd_f{aKSwThd4?p2yg(6l`3X>YiE`}n8=&=rLJf9#g?vc)uhEEIegjnA zpbfjcLjgp;MK^Z&3s8B73E1Tm3L*MErec@>0F{3*54(Ir5k&us#n|NqiXrkJR$!N3 z0G0o-7Q4IwwEkq+j9s1~9}+(tJFv@7fXWN(#V)T<0MRdT2)q0SsJy~)?D7tU5d9iw zu*+Y7${)CjT|S`*qW{D#?D8L=@)z!7mv1PB=)ds<ySzXNME=1`?D7ks@-N<Dmp3Sd z=>PB;yZiyD{Er{l<patf`WgOVmwy12=U~R(zAY$+=wHBtU7n!=BELcqyZi*G{01@X z@(Ps@{X3+w%Wr_nA5g$9?@$HNe?k?z`~|4|1ug9I0nHHoH}tW~AArg~Fu^V#&;rr_ z!V)TvH2w){(ZKqj3Cz&`0S@^N0`eOO$lo9!&%lD;ehmWh9PsfCME?ibe+C5PBM8Vh z5RhL%K>h~-c?Bl?{`VjtUqC>91_Aj41ms^3kQX2jzZNighM(}AV4(gdY<%K_BPg~& z;}bs}u=U>;xFY1S_5T}c5b~hDF$g1@pRgGrk8S)T;SoX}TmO0m3#@$l=>ToAqKw~i zC?e#s_3u6G5c1f@2QtzS^4P`~Zp=i;V;i5?aRDKZZG2+}J2d|z&2NChXTt<Y`Ox4D zk!OI)gUkTQ3%qOXURTAyFe4FF9u!CT<Uw(SPaYOO=;kw`l|RRzfpG&z{)fpkuwu_Y zFnJBAJTCu5EW__Vba|NnK;Z-mA9Q(`|B@LP7_iBM^nt<$CLeGHyZyN2VfN#aN4Fnl zJ|hDpzG3pP{3pNz8Fd56gUm*kcMwL%gW?`tzCa2g5Aqwj`~pRoJfeIC`QKqS#61#E zApS@1KR<xVulR&rzF`hTf5bQJ@(Oby@*n<Vmp=fNzrhT1-%ke!3w3<*!Ffda05J(w zKH?HW9+DGL<u6=8$U|7D@*dYAqM-1Hq-0e212+-!*vc=9I|zAf<=2LL2zhMf*N&$M zd2HpE!3%^uw(@JmD}+2W%c7Kz3U3ke*vhXN?-BCY(vQF=ggmzN*YO1*k1hQ&d`HNG z(k2Ll(jP4SSNy~;e?bG1DnRjrE&Le_5c1gK&%hiZ53va~eiQ5v^4QYP1XqMSw)A(v z2O$q(p_>087$ORCKeq5uh(^d`3*UewggmzRX~;y#V~gJn1qgX;>El5;LLOWC5~xSW zV@sb7Z3ua6>ARp8A&)J8ESQ3j2c-=VMou3WW+UXW#{Xi3Jl6PMg^<S@{~HnVSmS>O zLLO`U??=c(SZMKo3?hm%{?8%gvBv*Zggn;xzk`s+8vl<G@>t{l6+#|s{C`5oV~zix z2zjjW&j4D@0cl@ijemB8JSgr#7$yGs5b{{#Uko9SHU4E0@>t_v1tE_${<RVESmWOa zArA=&wD`9~$Yag_jtF_I@$Z3<#~T0s2zjjWABK>}8vn5fd93lDijc<||2YVGtnpur zkjEPTRS0=d+=DPm{5K-xvBrNVLLO`UPe90HjsNKgd93k24<U~={+A-;vBv)zggn;x z--3|G8vnZy@>t{l5JDbn{GUX~V~zg{2zjjWe*+<pHU94-<gv#8GlV?W_<xI#2gN-I zqs0Fgggn;x|AUan8vo3KAR`$-<uBIw=R(M1jekLeJl6P^K*(c_e|dyF*7#RP$YYIv zJ%l{g_%}t!V~u|sggn;xcSgu#jel>1Jl6ORLdau{|44*9*7#3A$b%z_0k!<RkdBbY z8vpqSd93kYhLFb^|FsBttnuH1kjEPT-3WQC@jnS6k2U^hA>^^f|3ZX3*7#q6kjEPT z>k;x;<9{1M9&7yXL&#%||Dy<btnq&aA&)iwFC*l!#{VsZJl6PsgpkJ?|1S~pSmXZ# zLLO`Ue@Dn;jsJfLd93lz3O+#>T>fB<e_n(<*7z4e$YYIvX@or1_*X*6V~u}Jggn;x zH$=!|jeiS-Jl6QPN62H1e>a3Y*7)~D$YYKFP=q|z_>V!zV~zi0ggn;x&qBy!jsHS~ zJl6QHK*(c_{|1CS*7$En$YYKFK7>5h_@9c9#~S~05b{{#e+fb!Yy7W9$YYKFO$d3c z@xK!xk2U@eAmp*e{|SUV*7!e<kjEPT*AVhp<Nq!~9&7wRLC9l`|JMk4tnvRDA&)iw ze<9?t#y=xywK$}Ig*E;;5b{{#pC2KQHU7mB@>t_v4k3><{#6n3SmR#@A&)iwjS=!# z<KG$~k2U_C5b{{#-xDE^HU0w-@>t_P93hW2{^JnxSmQqpA&)iwa}n}b<G%zUk2U_Q z5%O5$zX>6aHU7I0@>t`4B0?T({LethV~zj$2zjjWzYHOdHU8Hj<gv#8R)jp(_}_z& z#~S~K5%O5${}e(VYy4kC$YYKFn+SQV@&5oJk2U_EBjmBh|2u>{*7*O5kjEPTe-ZLn z<DUhz8wFDSV2yunggn;x7edHmjekjmJl6PEM95=}e+`5@*7(;)$YYIvGlV?W__syK zV~u|oggn;x_d&>GjsIYTJl6P+Ldau{|3rj5*7(mr$YYKF0)#x)_%BDuV~zhhggn;x zZ$-#sjsG5mJl6Q1f{@1=|FaSDSmS>YLLO`UuSCdWjsFb@d93lj9U+f3{`Vu~vBv)~ zggn;xKZ}sZ8vj=i@>t{lHbNe2{69v>V~zh;2zjjW{}CaNHU57f<gv#8e}p{N_-6<0 z_Jfo^SmU1$A&)iwMG^8?<6j0Lk2U_45%O5$Ukf3RHU5nd@>t{F5+RQ@{v8nVSmWOv zA&)iw{Sfk4<39``k2U^d5%O5$KLsI=HU6^^@>t`)2qBL({;Lr3SmVDDA&)iwI}q|% z<G&vvk2U_MA>^^f|6GJT*7#qFkjEPTYY_5S<9{<k9&7yXLdau{|APp5tnq&mA&)iw zFCgTx#{YGMJl6QXhmgk_|4$L}SmXaKLLO`Ue?iD&jsM>Wd93lz1llbODPOS0KPN&S zYy1l!<gv!T1VSEb{L3TcvBtj|LLO`U>muZ_#=i+d9&7yDAmp*ezcWG}Yy5j5<gvzo zAVMBn{AbJt?Z$?LKeqUvu?QiLE&fleM95={{~sF=^4Q{EV+TSWTl`1tN62G~|Bhn_ zdB{u!>iG7Kvj};}NFu8Iiz^6u$VdRHyu=-ZJfx+LD(~?aA&)KnRlGvTLuy)7{VP5q z<RLi)RsO~ggghiBQRO)pVEfB|IzUvS%3H7_<RL6n`3ydYC}{o$w67kNMv&)+W{4u> zLHp{_<xj{U<U!{mpv(VIM##&6B+=#{G_(=&vRLFJj1cnJ@^6PFLS7Dw{v8eod3h}I zFWeFG3RvVN{1NhsSmZsz5b{b`<SSwk^2%7`SEL~1v6VkJvJvvw${&tmggmzL$D#@$ zkFEU4Xhg_kD}QEmAmp)?KPUPT^4Q9sAJbs+sO#evWJ1=jY4}4@EhznAGyg>bq*MUO zgUkozG34}#EDtKbKxqV*JgEEvg)uI9Y~|O3HiZ44@(bO5WO<NEbo-IzLFE^^{mAmr z<bkrjY{gQLsgUv+WH$&SyB}E|WH$)ok_Xuh!nowIrLP625%z=97rOn(@}TsEZa=a- zD1D*Zk1UVP{~XbfQV$gWp!fx;L3aOvWQ05@e$nMCauD*^;@6-QArFdQbp0=C5%Q3b zKrKHPv?1g{@r$lMq8}lTt$q@ifsn^mKb=^BkjGX(HLOI)W2>JmHX-Ceafj}{54#ZZ zSnH?52zhMvQ^Fa9JcNZBJ`z_UqM-PL<aAW|3wIInkdgvbzT+7}9$Wq7@E#$Lt$zCP z9U+gce%inQaTUmY*y^VYPJ}$R`bj|uArDIX=;3=q3L%fJewv_+ke3H(Mynq^bP)2` z>L&(MggmzTX@@OB9$WoX;D(ULRzE!mN63TnF35?<`EN!7LLOWB5s-<H2bCY_`Z<aa z@}TkqUH(87LLO9ppvzY@BjmBw9|k=Lc~Jd<uK&dph&)If8N>1lObo;~g>j%XNDRsZ zQy{(xn1vufVhA3n9A{uKLlywtCyIp+OG~ga1y*(-^DVIGLly$rZHz2{!!C0o)PT%F ZR)&oai+^M{g7hHsjX(lO7@IjDX#fM|hFt&v literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini new file mode 100644 index 0000000..f324561 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini @@ -0,0 +1,50 @@ +[General] +ARRAY_DISPLAY_LIMIT=512 +RADIX=hex +TIME_UNIT=ns +TRACE_LIMIT=2147483647 +VHDL_ENTITY_SCOPE_FILTER=true +VHDL_PACKAGE_SCOPE_FILTER=false +VHDL_BLOCK_SCOPE_FILTER=true +VHDL_PROCESS_SCOPE_FILTER=false +VHDL_PROCEDURE_SCOPE_FILTER=false +VERILOG_MODULE_SCOPE_FILTER=true +VERILOG_PACKAGE_SCOPE_FILTER=false +VERILOG_BLOCK_SCOPE_FILTER=false +VERILOG_TASK_SCOPE_FILTER=false +VERILOG_PROCESS_SCOPE_FILTER=false +INPUT_OBJECT_FILTER=true +OUTPUT_OBJECT_FILTER=true +INOUT_OBJECT_FILTER=true +INTERNAL_OBJECT_FILTER=true +CONSTANT_OBJECT_FILTER=true +VARIABLE_OBJECT_FILTER=true +INPUT_PROTOINST_FILTER=true +OUTPUT_PROTOINST_FILTER=true +INOUT_PROTOINST_FILTER=true +INTERNAL_PROTOINST_FILTER=true +CONSTANT_PROTOINST_FILTER=true +VARIABLE_PROTOINST_FILTER=true +SCOPE_NAME_COLUMN_WIDTH=117 +SCOPE_DESIGN_UNIT_COLUMN_WIDTH=162 +SCOPE_BLOCK_TYPE_COLUMN_WIDTH=103 +OBJECT_NAME_COLUMN_WIDTH=188 +OBJECT_VALUE_COLUMN_WIDTH=49 +OBJECT_DATA_TYPE_COLUMN_WIDTH=75 +PROCESS_NAME_COLUMN_WIDTH=75 +PROCESS_TYPE_COLUMN_WIDTH=75 +FRAME_INDEX_COLUMN_WIDTH=75 +FRAME_NAME_COLUMN_WIDTH=75 +FRAME_FILE_NAME_COLUMN_WIDTH=75 +FRAME_LINE_NUM_COLUMN_WIDTH=75 +LOCAL_NAME_COLUMN_WIDTH=75 +LOCAL_VALUE_COLUMN_WIDTH=75 +LOCAL_DATA_TYPE_COLUMN_WIDTH=0 +PROTO_NAME_COLUMN_WIDTH=0 +PROTO_VALUE_COLUMN_WIDTH=0 +INPUT_LOCAL_FILTER=1 +OUTPUT_LOCAL_FILTER=1 +INOUT_LOCAL_FILTER=1 +INTERNAL_LOCAL_FILTER=1 +CONSTANT_LOCAL_FILTER=1 +VARIABLE_LOCAL_FILTER=1 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimcrash.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk new file mode 100755 index 0000000000000000000000000000000000000000..601c500522af25ddcb1d2a63828bcfac88d7619f GIT binary patch literal 319288 zcmb<-^>JfjWMqH=W(GS35N|>%M8p9?G3>a`0%b8UI51c+@G>|s$T7$=urV+&fMj6m z(CHgY5N$A;1HxrshU$|5F&G#a&}kW{Iv5Rd6G#X|gX{ybvEoRmD2x_>@Im@mLCgdY z!N9<PMjvH^I0QyBAn60!R{)V`K%?JWgqR1Tk@bPX=7kqnH^Yl4R60Q%qAvqV!}NiI z3#9J{RNoJ%J{YY4Qoz8#0Ha~y2{IjoEuhXsrxhU9F~DeaeGyQ7=(Gz|AB+as0TK#+ zT9N`{quT@H!|Z|4F#7_a`li%DEX1INK&CS=Oo7rMJ3u0VPfJoj;R0e4gQ3yK5CpXk zS3F3769oeUj0U9>koo#KnMr0Q`Z+1OIhlE-6}lA`X1Zo3dd2yAMj&Z$ng`hd((djT z%D~jXZ~)|1n0^pjgn<E^&O!2z8GapQnE!~sAdW?MS@sG2n_gcZgVcb`0O<j#0T~Pm z6=)QLxC|h6JOcwbPeT0P2o?v4ffyH4&*g$>T=*(80|PWDQIvFWU>EP?!Y*#ciCtU= zhdFOpu&ZaoA^r}By<s@)l?CMi6dyr2+ZeFh%gTsd+!lwum)NkY&%j~MZ5-~D!C}rH z9O1SNM|_=R$L^llIKmT@reJvw5;7371&4YQ9Oh@>5bt8b?w%kV;%jk;2jg(hE>`U3 z>)?p@(>ToU$6<~X4)w=y#G^WnbP|X|{2dPS=io@6=W&FCIga!;4M#e8io^U3IQ+E; zhqxOKbMD~?&kZ=zi75_ua^X<_8Haz_apV^s9N}Mx!`?qQ>{Y?xuQfQtD{<uO9vtEs zIKnd<NBEz@;oq4!%&)-V&I}ypEW{DcD{!d4jU(Jtaim)g9R5=0#-6V^aQMprhj<hY z|F+_ArymY;w&QUBEF9+S#gX2A;V_>Khku{qNS`b?#DCxj&$~F{Q4fc`6L6SgjibC$ z!C}5E4tHAP2+t}U=9uA#$7~$#k>F-vP=ZutsARlnh;MvKYEf!>W^qYsQHZZ|PJUi$ zNMce>DnopHdTxGRd~r!)QAvC}16V0mg_+rz@nt#r>GA2QCGiDC`N{Fg`FSPr#U(|h z$tCdxB}EKi+2s7Z;*$8HjAF2w)Z*g!)QZ&P(vsA8gZQ+>;*$8h{P?2ObP$!8p3VR_ zwKT6dGd(XgB|a&$Bt9<{NhT#VC$%IszPL20peR2*J~OW@KRK}^Ge0jrGc7(XGcU6^ zBQ*tLP)15ld|GBsYJ6E@Q6|WHAgjQB2FqvWWtL<n=44i-#+M`(XCoN}mIk}OG%vBJ zGCnac1>&9J%-n*URO0=TT$Gwvk{X|q3i1uu)Z*lf)Ra;rGYX0_^NTV|D&uoYb4oG` za#F#{z?Q?+mJ}uC6(=TxeF-utF$WTOWgs7xCFYc-#+PK~rp6n9^}<3J6f3F4#i_+$ zQQRhi!XFeD5M>}ElQUA2v*U{r^U_n}^V8xp^HNeP;`0k23P6#boS&DRSQ1~HoS2hX z1Q7=5%gjqH0tIP&QEFCdatT7BpeVl}F&z{XNM3<RgN!RqEr~D5C`wIC@l1(N%P)#A z%_~aGOUchgC_zaO$@#fSnR$?qf(CI?PGWIMYD#=cQD#|c5hxv|=B1ZpAPh)JO)Lh5 z9ViVTL_x_XJ2gJ7G!GoQ2nk3^g2gmK9BZ89<>$pG<>V)4XXd5Hr=;d2R>p&@O3aDR zFMx&u%$v!jMMbH3kSqhr4k`IXxrupDMW8TDEdxnIwHG87CFZ7<q(Z_N6imfs@g<c7 zsgQJCkXVutpO=^m35nv8+>-eGyc}4_fMdKoF|!1ee&a!!^HM87Sv0W(q5-UcK-46a zra2ZBC04qlXo5I0IWZ?EDKR-4Z{9G3Bvs6O4suXYa(qcqW@1i!a(*c+5I`Z7oLdlI zmY5Uo7aZhYmRgjP2u*v40D{{MDl$?k^AdA2L1rQH2`Fz6h&E^-gVH{z00QNMy!?2S zaws=77vvU5#)8K^JZHrxmOye|RccW_*fw-E@v!_2mIa4+aY+$4ZN?|(7gU0UkxC+1 zN{k125G)DS1IpeA9<&UEs|BTENFfMO0}3X%Ly&SDEL9fg<d=h07H8&`=76##QVErj znphBza1mG?*bVS32q_eEGxJIb6)o^gQ3fj}a`Mx`MRIvjCNvcmrRIQ2aA=Yw<aBUk z6{nVf(w#wkab{JjA;N`N(=wKV6;ga5^x+FDkc9+PBKs1Q&%kbD042b9aNvXDDIT0< zK#>ZPMKTbY7?N|LNgR~?L6sgTal{+MS0I!o=jWBB7L~-8fU3;I9E1cUKJpQTL~>DC zaePW?ZUMGpA~!K7CqEgY8x-20f)kY4N>gFU1GUrv$34Cf0;Q(-;)2xV%(O~q>6elM z$uVHP&=ML?9Sh2csVVWviN&e$70LOz1&Kw_<Nyi{sD5bi4W){Z+XPr$hf*kmY=8zC z#QzqM005bfRX0RKJlJojzR4|!4|NGhO-WD1T09kH7K3U5kUZE5P%&3goSB=FSriXW z=+LH0QEF~tK>@6(0&)i|)}h++iZe?xtB}H>G^HRuH?br+BQp=u9)dd@S{^~lVS-66 zsWi<q%>cDPhv#i*D+E$5fuj%9zynzg_Yqh$w-i)pgIWP#=YqxH?g2Xi+Fn742IRUC zp)VsbFC`}xRPiUL#uugLq=M2xVjjFQFG?-W&nX49eh_-F+69d?P?m)j=nR>~nYm6y znV{H8Pb~>gNeTxQJVgxg@j01E$<T&wZenI0LwtO4MIxvL32qWHKuUfvRZz*0lbKYM zk{J&zFY}Ayvr~)mQgif*^O2;IAoie0C4&Y+%^2K$Je{25jr0sn;7nr#(@4*hLBF)P zNIxS#H&s71uec=1P~X?pRX-v#Co``?zbvyXF(u!~z{o_;P(KXJ(TDPKGL!Uk@+!<s z^z!xf^gyVjpco>epPrnoYpG|fXP}>ynFo>rkJN%X1B?uV2Qp!C3o~4d1<r!G7&Oic z@dGnMHa7!oI)Guy#A$4x8J1G0*cLFKnV|v7FO|yVWME)o=;j9LW`NH#z{cAP(jej= zpz{bYaRaD0M*?URnt=f(4&y7Ji66*<n4^IvE|3EeH$W3-fR0LApot&IgQ#~v6F10& zh<l)kCqTsm(8M=D#Us$f8KCY=Kod`Zif5pUL)}w=CVn9vG!oCiP=O{MkN^>HKofre z74JY3FGz%_pMWNQp%voa8EE1SZ4mJVXyOmrA>u30#0@$i;v3M!8=&Gl(8Mo5#Sfr~ zD|AB4Ie{i#02RN0CVl`aegjQ>LnOq%575Lv#6iSApos^pf!O;4U3@J>oFNf4eO`d7 z=Rgw=SO-xrfF@o5HAezXd;wHk0ZqIBYK{h)c))sy`37j>3!vf_XyObTAnF~^#1o+6 z9%$kRpyB~&;tCrf=0u>0H$cS`(8M1=#WT>v9X3JCDL@n70QGMLy7+O3`UW)dfC~`u z4m9xu=sf5IG;xJX5cM<A#2-M#7odqRxC~Lh0!=*O3PgMZns~!Ci1-dPafj;=@dIe$ z3^yR+C(y(jZbHN_pou%&f{5Qh6KA*$5r2RtegG=|0!>`uK1BToH1P*e@gHd73m!n! zGbEv=|AJ=_aSk+bgXa)&0W|RoP;m(~@dd9S>J`w$6W&0?HPFNZK0w3`(8L=)Lc}f5 z#2r3C#2wJY7kr0^d!UIY{D6oDpouH|gosC=i9djfC!mQlSc7K17#K3p#5Y7h#0${G z3nC%n6=>oHQ4sM4H1P$|5b+Ll@fe8s1T^u6c!>B6H1U8$i1-3D@rGoG_zE;}hZKnT z1~l;tQ1KmT;uBIK>JOlaZ%BuTpFk5A$bpDoKof7Mgoxij6IVD0iI)dx;sH?c7ii)Q zQ1K6F;s>DOKhVS#4nfRkNJdTn4N!3oH1PvaaRD@Og~JeYB+$eYpyCQ>;tQbS8ffAI zM<C`Hpoup?#Vydp4?x8o(8L*zLd@|%6L)}$C!mQpK*clA#6LjA3(&+9jzP??Koh?J z6>mTjcYv1j9cbbTS0Uz1KodUzEk9?Vi8tJVs9%64&hP{xz5-3Wz!j3NHlT?+xIx5s zpot5(L&OiDi92{e#804!7kEO%FQADp@PdfnKoh^<4H18UCceNABK`tRJi#9#{sB## zArK<|15G?37$VL9UNwVgZzqI7#5vH!6`<vV0GfC}8brMWn)n8&xB{AZ0yMvCpou$x z7BVm}Fc_eT8)QMuw?Gqj$b*PGpoup?#XZo(H$cS$(8M1=#Us$f1@a;0C!mQtK*clA z#0#L}1!&?M3LxfGpot3<Lc|-;#6LjAJJ7@niXiGIpot%ViqAk37bu3PUw|g=Py!KO zfhK+cD!u_tT%Z)9eg~R(0#y6}n)rk=i24&~;sWIm@e63;2~hDHXyO~7;t$ZoA5=ih zd4VQw0Ie53pot6AK-B+06HkDOGo+%H=LewT9BASSwGeXz(8L>{;u2`$1=SGs3TWaF zpz1Zy#1HgB)El6QH_U;ETcC-5*a;DLKoeK^3laA~6QA%8A|8MyZomZT=|`Z6FJOj< zC!mQdutUT%(8LdbSB5b#6rhQJfQnb3i!(yZX+RTyzy=ZTKob|>gosZ-6L)}$&p;FZ z02N<=Ccc0RV$KRQaRwfU_y#ob0;u>7G;s%Bi24KQ;^GkT6KLWBS`hIYXyOXRkoxEW znm9uVMEnJs_yVZ-2Q+bmQiytnG_>>#73V+`Pbh<^7eEvL02P-&6K^Pos8>J}7pQ=U zYoLiQfQlQSi5pZx)LWp5AApKGpoveYf~faE6HlmyhzFpF7t}+<BhbVh8X)2cXyO8p z<%<j%XyOl`;st2p8=4^IRG^7BG(*H2(8L2;AmSZp;sVg|mI>(MT@dv%(8M1=#TTH7 zFX)D-Ux6l`&;t?QfF|BB86v&|O?<)>i1-0C@qnoi@e^p`0@EPk7tq8nK*evMiBFgg zQU3r<JYWVy`~{l0z)Xnv2Q={uQ1KsV;uB^;)H9@`rvHH15OEGPaRJc6HwFd<0W|Ro zP;m(~@d<Mw<|v?v2h4+rYoLh>%!h~@pow3Aid&$GPgnp^?|>$*un;2dfhN8IDjt9) z?yv}=J_1er0#rN!O*~;SM12OD_y?$X0h)Nj5{UW=G;x8Y5b*{y@dZ%v4m5FtWf1if z(8Lcw#b=<22P}uEUw|fl0V=)%O*~))MEwRd@e5G#9cbbKD<SF+pow3Ail0Ce4_F0J ze*sPW0#y74ns~r!i24U;;uoOeFVMsnK*z^EpouqZhM4mMP26A$M4TZ5HT^$;igTce zFW3rEFMuW<09vpN+W&wi&afS#UI9(~0#sZBO?<%)h<XDw@qnEWaSJqYhFuVG2Q={w zP;n15@r2zF^#N$&0(&6h5oqEEpyCN=;stvl>NC*94fa9A3(&+rK*cN2#24&`sBb_M z4>$l3??4j=&5?j)CZLH=fVQt@pos@?K-v!r(8L>{?T{5{;tqTe^&8N{8TcXMJJ7@r zK*bNBi8ly9)So~TcMyb#UqBOQ5Q2!`KodUz6@P#x-XIK7{{l_iK?EZH0Zp7i6e9iu zP5b~<oFNl6{Wpj~)N`PTZ>WZd3!sS?)Ih`~(8LXDA>s;X;vb;m8ffAh>LBV3(8LSs zA>tNj;sy;6aR)T<4^VLrH1Q3M5cL6Q;ss3*@dz|=gJy_$0-E>-sCWjN_=XmU`T{iZ zf>wxl1)8`)8$`STP5c8?yaP>qLpwzM1T^u24v6>+G;xDYi1-3D@efe(6=>ocKpO%W z7#KF7i5GN3%-Mk^ZqNe}KY%9w0V;k1O?*QyMEwOc@q#{x_zg61gMNtk12pjuQ1KUN z;u|JF)PFz|FPI1s|A8iMFbN{gkcFE5KS0Gf(8M=PhNu@n6EBzo5tl#{H<$_$S3nd0 z02S9j6W=fmqTT>aykI&++yYJ9U<O3o0Zse^RNMnie8WtL`T#WXf>{vp2sCkn*%0vr zH1Q8m@eDNa4Ravs3(&+1=0e0P(8LYqLBt!-#6LjAJJ7^8%!jC-fF@qB03tpEP26B1 zM0^37_y?%?3N-Nziy-PZpoteOhKTP#6E|1_5kG(?{sAg}0!@6wQi%EsXyOISAmTUB z#0{21#2=uEe}Ia=Koj4v0;2u{ns~uVi1-gQaf4M5afWQP^bZy1Koj4v8lqkRO}t<Y zL|g(*+yJy;2(;G+P5c8?Tmwyf!#apL25901>mlM6XyOJNAmR>a;vb;m9%$klHbT?~ zpotf3f`~_;i5qN&h$o<le}IZ-powqT0#RRpCSI@=B3^+eZm<m^-hd|l0V>{sCca@i zMEwLb@q!%?@fm3120J0*3(&+rK*d*}iEr2iQNICAykIv(d<UAi!5)bC0W|RsQ1KIJ z;v4ot)L%doFW3hWzkw!hupc7+08RV@RQv^+_=W=z^&im03l2iWf1rsQ9D;~5<e;U0 zs5l3j_=dv}^#W+(1xFy_5@_NEM<L=0XyPBB;u>h;8;(KL8=#379EXTopotrtfQUPw ziGP5Kd!UJLI0;c7fF@pW3L+kXCT;-QkO$gkjVAs9DxQHRzTphSoB}lQg0m3u3N&$p za}e<cH1Q8m@eVZc4d)^1C!mQJT!4trKod8(2oYa^CjJ2`z5-2r!zGCN4QS#8mm%Ui z(8LX{K*SHAiGP5KpFk7ea22Bd0-AWiHHi2PG;xFL5b+0S;vb;mFVMs{KsV@rKoc*x z2{Gpfnz+F&h&V$oTKb2IbD)WDxD8P+fF@pW2O=(kCT?&SBCdcY{sAhkfhNA;9z?wX zns~u|h`0rsxWNO6xC5H_2dKCQn)rr?5cL6Q;suW&;t^=#29F`)325RUpyC;5;v1eo z)EA(M7d(ZCSD=X-JcEcgpoxEgig%!iZ+H$-KLJg=-~~i{2Aa6RONjUaH1Q8m@fB#| z8(u-wZ$J|-cnuNXfhKP71|og{P5c8?`~;f#hPM#)7tq8DKpSlt7#MD#i5t9!sDFSa z{sAif0!@6w2Z;I)XyOGQA>u#K#0@?{#2NC?(mzz315JFxXNY<MH1UEj5OE1Kaf7cA zaRoH-4^VLpH1Q4JAnFa!#0$Pd#4XUo4Sqnx9ni!-K*c@K#5eqes1HCBFZcx!k3bVQ z_ze+HKokD}70*Bu-|z>bz5q?U;4ehH0!`fDA4I$XP5c8?yaP>q!+(hS325R4;1e1c z7-pb}8!$rFD=t72{{R(VfhN9z38H=jns@;-M0^LDxB+ORCj$e+0W|RsQ1KIJ;u}~Y z=3GD%FMys~asy4=fE}X#0h;&+sQ3#s@eLdh^&im03pgR-KhVStxFF&T`Dp1MD$ao> zzJVK}UI0zJfCnNjfhKOi3lUd96aN4e*FY2Bzz0!pfF@qR4-vOO6E_fmh&!N(e}Ia6 zpown~gs2Zd6E6^gh)1A_8wf+h6VSvzK*clA#5agQ)EA(M7l=Z{E6~IZ#314gXyPBB z;vHz>8^j^%C!mQJKo8!TfhKMs2~ocQP5c8?d<B~L1}TX84QS#8(h%_-XyOJk5b*<O z;vb;mC(y(<fHoX6Ffd#|6EBd1m~#V7+&~^8{s2w<162G4n)n6<i24s`;suHj@gHd7 z21*cdh61$o4;AM?6W^c=Q7?cdUZ4UImp~IYP=$yqpoxEgiff>WZ%~7%H$W3FP=|<H zpotr3K*Sx;#6LjAJ<!BAXhPHnpote~LBu1_#0|6|;t6QtAE4qHXyO}mAnFUy#0zvG z;uUD(26_<j1~l;xQ1K2l@eTSA^%Ky<3k)FQGtk5h3?bqR(8NDL#aEz-Z!m(W-+(4w zU<?u8fhKNX0ueueCjJ2`egaK=1Lz<PQ2Iv`FEE3ca|2D>z#Jm}08RV@RQv^+_y*{~ zO&`$23oIe#{6G^ou!4v)6r!bns5l3j_y%i;dI2=?0vm|91e&;kEks-aP5c8?Tmwyf zgB?V@0h)M$Jw)6BP29i%BJO}D{sAiPfhNAe5u!c-O}xMfA|8PzZr}_NPe2p@02R+b z6W`zhQD1;2Uf>E5uRs$waD#|9poxEgig%!iZ*YgGpMWM_-~kbzfhKO?2@zj_CjJ2` zz5-2rgBL{o1~l;kZ;1E~G;sqTi1-0C@efe(6KLWad?D&DpotgwLBwyMi5vJs#2=uE ze}Ia=Koj2(08#$|O}rowBK`wS+#m=d&QOGw{-NR=XyO}!A?gLt#0x?o;u2`$2B8pf z1vK#wP;m`3@eN@R^#*9-1>q2J3p8;9=s|A|XyPBB;y2<U{sNsj#s!)<h2jTD;$l!S z5cL8{TplC<#UGHwVP}?sq<$cY2Z98k_yu$y5NP$B4O9$71whx+g2XjJ0#F=*Bn~<g z3@XfU0lHobq#ks}8ch5LlDH&H5VQ_97UExa=viwpDGMZVNDl%c<A5X%31Nu12a-6% zM2L6*k~rwhIEW|%Lj;mID6C=P2}t4~H^Ia+ki=no_CRt4NaCCz0Vu9O66c1Bfv5%~ zaUPHW6n7wr^FqZy)C44PK9B$u&p;CAhl+uy1xVt8AOR>|fh3N6F5Lzsabc)h5VZqI z9CV%@NDPDzAc>2D1fci?k~r*WMUd15BykCl02JRq5|@ICfv5*a;?f`iD1Lz?4m+C? zB=rGFTn;1v#Xpe5QO;wFgQN~n+$uuVf+!9oapZGu1dzm)K?<Q*0!ds2Dh8qyki=C% z0#K}hB(4S(15pM@;_4s)D7HWn*MN$FC<i2QEsy{ddmxExL&ZQ;0FpT93^$M%2uC1^ z>w*NJH~~pq4=M(tGLXdeK>|=*fFy1J6$4QfNaBVd0Vr-j5{I1V2$ASO5;ukjfyoI- z;wE4b2r&am+!P`NCKn)yn}J0j#0n&FbBGX_+<+u*0TzJ}JCMXJAwppC0Ft;BSOh|x zKoYlx2!Y89NaD6&5eRVuN!$)11STIKiQ9ukAjAtKaoAa}Aej$H;*KBzDE@&Y?gSMB zQ_%7sl>VK;0uX`&NgQ_OC`d*CN!%4A0L2nW;-E9@pu!9aNaF4=K?Vi}4J2_7Byj^I zaZe<13nXzbByk5Mac?AX4<vCPB=G<wabG0y2qbYoB=H0!aepN73?%UYB=G_y@gOAe z3MBDhB=H6$@em~O4kYnVB=HGI;$cYQGmyl?k;E4uiANxbuRs!q9nlMt-hd<?1rmVb z9Z2HQP%#j707*OsBml)Hki=u5Vj$`Ql6V|QU=)vrz-S1JhQMeDjE2An4uQ}7a=$#9 z-*9+zv!49V%;3>_poHoF1&`(<9FUFB|4o1WVP^QR`sWWb1HZfj!+%u}A9R{6!^;Q% z|NsB5`soid19&s(%L`!sD-a*lRepH@%zp&pgSyBsH-P!KKzvZ==j8%0{}PA~>Jq=4 z0Op?p@j+eTmknV4ArK$bOng}Y=I;XWL0#XM31I#v5Fga#eHj4euLAKwLl!R`!2CrZ zKB(*a(g4h#1>%Fcye}2N{7E1_sH^)@0L<?K@j+eOmkeNj6NnG$+P?ho59Hq}5Fa$8 z@bUqeUj*WVy0|YdfcaS<KB#N^@&K5h1mc6bv@bV+`B5M~s4M$&0hk{I;)A-dFDHQc zULZbbXys)CnC}GQgSxUW3&4CU5FgZqeVG8}8-e(sp^TRSV7?ZJ59-RkbO7^}KzvXa z_N4)sF9qU*x~?x3z<ePPA2f9GQUJ{70`Wmz*q01oJ`;!!8q#_B;V;PlzkV|_WPrM| zFCT#UpFn(27xv`^F#i>Z59+$UJOJiD0`Wmz)|VT={97PCsH^&N0hoUY#0PazUrqq? zPl5QLuIbALF#iyU59*S>ECBO&f%u@V=*t8!e-nrg8WMUL0Oqd(@j+eEmkwb5A`l<c z6@6&{=FbB0L0!<73Sj;u5Fga_d?^6tcY*kzF6T=IFuw`J2X!@He)t3Oe-(%i>SDfp z0Ol8g_@J)k%L`zB7Kjh(QocL@<|l#ppswW04Pbs0h!5&QzFYw22Z8vYuH(xIV7?cK z59%_$Yyk6}KzvYF@nr#+Zw2Cmx`;0mz<eVRAJjE`835*Mf%u><;Y$ZFUkStqbp>A< zfca7&K4@s|r2?2Q1mc6bgf9iad@c|l)D?Wm0Om7+_@FM}%MZUn{{QuhnIQwz^?UgM z%>M-9gSvb#FM#>4KzvYF@8tn7{}G4}>f*iJ0OsEU@j+d?mkYrBOCUa|OZRdDn12ey z2X*CMHh}quKzvXa?qva(zYD|%b=_Vjfccw1d{CF|WdNAJ3d9F>)m}P)`HMh&P#5i` z0hm7v#0PcFUMhh3lR$h>m+YkgnBN8BgSuib8NmD|5FgY9d->rP$p2L!KB(*U@&TA% z1mc5+FkfB(^RqyFP#5gw0Wd!a#0Pb~UTy&Mqd<I6m+R#MFdw8oZ33(c0+q*xZ#_FN z#(8u;@@RhYAt1!n@POe-k6zmZ24;r;qRzjV8NQ_H@ykOtI(qclHuA7Ac=WPXfhfx# zC88ePtZcs^MLFmH2mJEjotTHAv`4RPAV^`asTYXS{O8g6!Q<dNdyj)Zm^~Ped0hNg zBI41_diE#80FM6;()i_F7#LvsLGEj?<>g^vU?|lN^=P(T^^=)_!K3qO36Dp&?Y5uH z3@<eQ|Ns9Y<^TWx$5@|ovoJ8m9_E)v(f_ji|Ns9`_c(?-hB<~hh6H<bUOwg&!O~n6 zz*uVM(_QV*UG33b?9&|_(){ax=MR3DW6i%99Xr3d@Vk7s{O-c<_ray};|tl}|Npya z7kjwyuP+YqW&HQDpMinFr?)u5qu1HPqnpE{+kwNQ(>uYV(>bHtIib@zrrSG*fBixJ z_1-C#C+br?JO6t&9)ECJl3@Y_@NaXD@aa`K;M1Lra6z|s%!|#x{{Qa=X;0}qq#f+R zzdks`k$+o=D1$HKA1D58B1#>>5tb)Pgt~)s7%y}>$MCN|#J~QO<%znrj$Lfgjxmn0 zj&Y9hhmScqGVpJ6a_DNYFgzp4(Bb5G7-BJ$Jm%!c2$i?OCLan4uwakIH=uo-9-Wsx zJOBCgRyi2HHT<><G}i6Y`Ts@5umAsT7)ygaI%8A<Ji46&y1gU1SU5UbR6xnhqc=n) zz^C*3i;4gL|F>Z-)dDHwF#HD6dGFW%|6L3nE-D@%RU9By*&tO+4*XM&zGgCf+xfzy z@d(K3XpnDW4^IF&hl2Qv{Yj1Zb3Q{j{yzVpO8j{oZwugpT*u<kyU&4<fx)Bkm<1OD zgW)B^lP~Lj{{Ih+NGKKT(fPSy|8-FNrbN(VKd9UWwKWXCz1Z~a|9_wEX3+Xm$8Lts zW(IBs2FGp(md<7tZUzRA-f9hx#=|e5CVO<=i+*|G+yDP)=7Gw6sCh{c^FZr(z~*g$ zo3{mGUV=yC;ThZzmx9e}z%&n3Z$Qlxf|v)I4+Wc-zyot%3J=JAyFn*`c{CpO;6XA{ z_ZwOOpt%=R4?)e}^cC#?3TBY844usaydVb1|029#^EtqQd-w;k`DeePnGa5XA;AG2 zou55B|L+530gul6FP4HF-@ADSI|GA9^X><r=A&a*J181TidqhM?g7<rAa|7Tc=pz) zFdKe*q5Sp#e~;eXpykgVy{3k&%nXK?Uif|c|KAZ7eSDtHM_54l<oSzlU;h91Xgmy> zGxz8<WdSP&St|#!w(~ov`3%bPagOmXPyhV?AH_y4h!LAXM!@ac$pW_T-d801z)oW{ z{Pv;)q!eyjA6O~KwhhRtL9Szh7#;ED|Nl??0<0P=%nUxgtUUk!Ljnd`Kz#S<{D|zr zl|PWe6fHbK?Rbz?p`gg>-E6@D4$lNo^BWePE-eR2L_PL{YHU!5dNdzrGW_=9%4cv0 zfmYXf^qM|m26+!;(4Q~=|NEeZ5XgQ|2*FG!frijT6eFg?joA4GDUf(Q{~v+)I_dNO z|31B}d7!!_`~Wy5;PCK{?+6d0`4`kr0XZ`j<jmg9J3#*JZhiowS`U=?{C@yX4Wb_V zeHa)Rpk8J%{PyDEC$N`67le8An!aa(d6^Sr7OI!GegFU8a}P{E+)Sv)XP_9g3~tbY z&saU4`3Vx;j!fX_21VKHcr2!a(-SCeEKtn1gPWg#Y(6MGF+)O@18TtoMz94x|KU$h zk;r8LTK)(1dq6=3D*rx$^FQePCUE(30G|JkK=ME6<Qb2~!z*}^%9pbr5m5&&VL|Z+ zvIA6+?*p~kL8k8mbuU5DIPViuh=D`*`wOd&|Nnb*H{W1oVCeP;Xs%)8U?{Qj=-&N+ z6;$eonlmyp7=8nv_VR-N%m4qa2TB}0x_5(=d-R%0f@Cj2WEsEx|NolZqnDM1k(r^J zqxm7DNB3lqDV<#14lK<N7(KeX!CXfccnouU_SS*2^wtkxM+mT?IYNRB?1)Va2uE~% zhC2eJ+@sfY3P={}h?=iZN7OSQ904)~$q`^Knj=8zzyRV14|GQaKpde9vIZIwtO!Sd zlza4=@`Gfdj`;os>WE+encyJ-G6l&IU@n>?K;`c0_u!CdKzBq3#1Sk0Gr^;y;S)S0 zK*~LOO}jv{P)8KO98rqo2#_gAjsSDf9KmY%?S&e|5j)TwaRA~7ZICt4kof%(?g)@_ zk6u$=kSx>@Z$Cpr;>SNkNPtX1as-%*<_I>!Z!Z?U1Bb*1bVvMvIAYm9L`ak&905}9 z(QDcPl7%`V1LlZgBu9WuL2?9`i{=P+!*4HSAdb*rM@yInpqjK-R1stiG$cNLfQJM~ zxks-lGe{Qdh{vCxA@S}nA|ybjAUOieMREk6XY(;|OZ5ATDR04nk$~<F(0ybcy`nS! zA_5~5;SP{;k6zPikSx?4(J*(UA-Mx&3X(g(Tt^mAjm`<`%-jdJZeI#~`u`u?-Uc^t z16(_gJ9eJ)==|)_`PZ@YtZ(lU+X7(*2A|I7E}e&790t3BzXfz&jYsd^iW6K6FZw}& z*}IqFA{WDpW(fO&5Xb@>6_3t)E}frTI)A=+1<Hk;hdq1u=yrgOd*;*m>qQ8-bktGt z>3ok+3Np{9^SMXoJ&+PZkdofk23;-&6jd`p1zh7%h)oFHuqq$i4~czQ`~h5&Li`sJ zjO@A26F$95*cXVv{r3DlIHr?4pnlo@_W%Fpy&FJ<d5Hu_Pz2;Dn1>`G9zr;@10>M9 zmjR@z@o0h`7X#c&o}DkC4W-zZ4?q0>597c)MY!F!LKN;kcZmDKn)e<64WxnH#3738 zrdCh}?>vlf(-)9H?_RK~#-kDXINaom?k3#sIUo*q&-QoV@Cs|*djm9Z2X>EwII?@b zf>Hyrdr~0o0jp{}T49F6J$v7yg%NJ|WXQqYqYiNoDE?M}(&G2mp!ll*4f28Ac0v}} zZIS41I{^~t-3wOLc(lS4huaj<-3F?lal5ZT9`3&Ox3KVP01Y&P-E=_?*-b}5#Q}17 znLykGR@Hda!T^VxdfyQpUJ(j#_k4c?a}Ri+6YQQH^2qKnMR(5<kU;NVu&TzR5{5Y3 z^Y<;$?r~6ryC)s)o&eB5E7&~?6p-Ds^v(bO9?0P%0&x#mRpZegxZIOVyn8g1;O@Ep z8Wuhlpn+bndpZ=6-6M+bo)(Zm?_RK~#-lfkaD>m@H$;bzfHK@Y?r`@gfCieu?kP}0 zc2DbTwD9=?66oCvR@HcPhcOQK_!94)9|-qse+3I44$we1*gX--$nN?23e7z!5chyp zH6EQ|g2O#~U*iZLm(IhEo##9|zk784_32$MpvT3~yk9|&i-Dm;-lKOfsFDD;T3s|h zGT!v*{O8j7>4n4V|NlKZkGp7o{tqe{T{^${bpGnR`9k95|NqTD7)#`!8Vygn8lHs6 zI(FW8AqnxFBdFl#;%0d9^X32lh9|+*Ajklal4T(8H68`o>S}nvqw_sPcjrx5`TkPn zC7MS-g~fyk6JX^9ntwik{llOS^AD&J!SGMPEA0O9c!BT_NF$nmJYRxi3*?_27TgRk zG%@{i?Ioyd2d=ts_$TBAKL3CQI0IZdk9MB+?EDTMvRnQ_9~47Bz&?`o?A-^dg1|n~ zyvg{|r}JOutrrVkg8k#z`PNbMuH*lsKAq3OHNQ*e%NHfjA%0SXY6bfVEbH3&;zijD zkeB#dYMB`rT5p%Cx*8sE1xFFs;LevXLZ5;ZfJQ&|DF|^hyf6g?(Qn3b{noc7+KxLw z!zhkm*Z6ckhv`v7=!sC^W_ZB}*27e8(EOLFNZS#7kboojcmO0#Z=S#{N>JivcyR{g z?%&KHP0S!opbod=P7sNtX*)twh6*>si|JrZEFeuRAWfj|o#RdriKM9sp{YQPo8d(g zSQ9Hq6Dvp)Xb8@6Cx}GS<crW$p~21YLKm!w4Wx+;qzN<+>9`X_B59I9Xll^nW_a=A z+5i8|zu7^W*g=}WqfI+OB$B4bkKqB)p~KDa;xJef2S^hKNE3KSWhaP4(zFhtX@VX% z!;3z!CQgthPLL+hw5Q`v5Q(Iz5}|2^0XM^oNU$a@kR~pWCeYld<4zEXq{#)LNkfF2 z;e`rV6E{c`H%Jp`0@ZORh(yxFkI-Zw#?A2J{Zmjt@PIV&fHZ+-SsizRNF+_S9>D{` zLV}y&#V)WWUXUhUkS0(E-f<_0MAEbrp~*pto8d((SQ8&e6CX$uXuj5QCx}GSRDjUr zA;Zn^A^@z3AEb#NqzN>s>$np{B5ATlXbO<yW_Tg}6k58$GuO$d|Nnb79s^}qSHlB7 zo!`MSE}bvYTG)<H{{Kf6I*hY?J7EAS4Q?2~$~RDh2Auzp%j*dsi?Ni~8IK`l0jRtN zX#|%AkP;VE7-vF~E2w;1VZzPu!t)8#M+ha~Ku(1;qi~dOC6DoyZy~{G<@E|fkbia< z!u$hj5MlV|z!Px!hEe~_c!cl|NF$nmW<pXO$Ug#n+zc;3=^b3SA^f8T@efEd4*x89 zM3R3xj6nXGVFdFJs6mC{pAV0*`{%?%gnvL9(fo4~lHx%A;o#wBc(L*kM*M_52G>6z z%{csX<snJ_$uI`_r@|QKA5en~!#@f*{PW`h!apF5X#V;65S+n5{*e&iW_WS)A%=h2 z9)bM>(u~7DETs9z!vy4?2osopKn*$!{{%e39zPmL{sC!3^N%LNKO4-r8D4N=`e)li zuzx_BarnpN0ZH+rVG8n(g(=KGpavm^e;OWQ_fN!qMErm>qWLHC0X%*d7;!VauzY|K zKhGY3{R7gB!#^qaN%9Ye8OT2pW-$MNI*}Ou+3*0ne>(0V`~%X6=AX{{VE>q+l>tf+ zKY%pg@WX<8n0~;~{^T$RyWbq{elsox4EKMykKO$z?jpJ0jEe!y{U`5Xb$`-*a2$Y! z0&uwh!Cg%EJBC5#!uEk?+CX(YXbAuN3)fqq;WX!rZtsEy8xH1DG0*?UATrvw{{KJL zya3b!d+p@eTL&5#76+;Bt=2I72Ab7;(Qykjg;nC{*?bH<Bk=vjx0@j2z;l<~-Jp|W zK-0@$Lp*wSgHF=(Xgu5jUKel=QUk`lRK5$V4ddX0I};{MfV&qo`-5=rREPzj!|1xZ zK}WRlZ)4%`X#T;%-wwL4$fK9H_$3pAM>0#J2jhwV4?KJ8Kx4`A5M7|7eY?9s2hW21 z%HIk)3fZ&y7<lgP`wOd^AP;-6FfcH_1RWOY*;~g8n*W371zm5^-3_{Y2c);O%(M9z zA0(id;QE+ff-ceWY(6IPy3n(?PTcU@i>Eih0fJ&PQ>nja^D&9n@}AAdWWi>@!eaZ4 z|NlLkk8!=0_G~`J29|oU_y){b46k)On~w=VgnQt^Os_>fn~zCBgiGPVEU-z-#={0I z;4UW2mW{Xn|DOPw8}Zl=x-1P6xG$_BE=7cFgAE5`Dd(}~4=fA}%&*xY3?`4>-O%~h z8xT{YUnU~V16`&DHShIxu+K5go52b-55xeO2bnBC+yI(q0ZnHMBFxKXU|@inHyew2 zOd$6;utCiOF+k=)=Z7>v!{Z?H4&H+M7j!8d)I4u2=7IhD0%jhF0Wxm`WWM<V%)CN` zd7#VepyvI)hUs6h`zEkM-3MZT%zFVbuL3l34sxF&!aUHWb5QeEV=<2z<X_NSD>yzt z43K#WOrXUEhZR7Rks$Lf-h}%%9}#}hxXc4hVuH;BF+k=;K+HP<Gp`Y39;Ez(_E!&g zo`rN)H>iPD)$A8gV_<OUbWvf^JPjH#_}_V|^X7|Rw?L&HxO4i>qw`Sb%@;RrfXlnm z43A#hKTnw$_JLLlzIX&u*LZ9P$f(Zmo$q`)A9cP2wNEQ=LF*ro*ej4o`YrHO?ty1a z46yFQf1l2Gov*rmR9GO%8@iq*_N6w+zs*NDKqCu~p>5>i1v;Jp_K$1lF-MSxzI$~3 z_UT>Tpw7V1ynli^0|P^ef=BP}2WkwkBK@Tc<45oq$*UJKAXj%Dcj^4<qWKow1$O~C z^H=A~7ay-fyy*a~c;5MR{&MVm@nR*YB!QUc(RlblJZO0SpG)T}m(Gu#oj1^&&vO-4 zEW&(*;(R>rx6lB&-vhJ~672pN>e$>La}yLhSlw@k!~HKoB}DITka-@BhZ(~0y5Hvt z(e8KY1g9tH_=kc4$oU4Kg_I?r@s9?5sPi>nf(NKNU%glWDkedbE_WR@-$KVfK!FS% z|F{MT{PfnhrL`!-8?QQVz9_o_u2NHA5-KXt9v(<C^YZ`yj-5wbJC-Fm^D#JnJ5o?$ z;Mu$Hh7%vdi@R6;|99<JX5BBw;Mu!xL!TJKi&Izr|9>rp8f}N6YJ9p?RGR-XmWQ_9 zE(ydkYyz_G)n%|-jBrTbzV!dUV@KQP>0%5k3cv$08PmlWUW8ov|G)V!Q+ZhPZ>FL^ zEQ2c`qx<1TgC_k^#$G_u4G^POFMu1Zut1FA#m~ziqnSZQGlPr<RsASKFd)4qaHFwG zt3r%UUI{n)#tJcp7xTbIv-7vlV`N}x{>{SQG?kHo!Epy@sKya_L<D5T#Y>=o;co%m zsN>nYZ^21Eh8JtEg51VZ9thI|(uovzbHRE_jBrTzT?7ZF^d`8oCTtXAc)<>K7Awfd ztRNqQ27yq9f<Vr3f*Xxh+8APV_hGov42Q)SUaYwUaxfdnXf}}1prIm^0V9yn&o6>} z3UW18=^Gcou68~HH+siuF@_g`Aftb?^Eb_A28AJLJP9KVd*LQym9B%B{QC;r<bo?= z3@^T41UZ@;7EK(mXabEsp$zhX9Ipko0v=5-;`kU|uz^!22Pm2#dO##nG<~}O^Ds!l z5qVe(WaRVnU=MG;3wM^rT``6i31DY&g2Iv$6qcZIEtJtMklxvFqp?c&K#b0Q1~>Y_ zQ!$1YtYD+LKt^+cj0RP{C}UtCqaENzW0f|97_IysZuE?IVhk@<T>uSwaq~BQ0Vj3P z5E(`oK06N!53JJH&w<0^_IJ3+0pG<KUIc(l{>#tbJ`0?ic=($pf~^1zsG*EofgIlq zwt~L}bibBo@4g2ud<-w*K`H1j4=9=-dO##nH2H(|fYK#M0#?<62Yf+BIzXH?nL!*@ zG;uJ9GrZV&9+Y}`L1D=Y3QN#X9m;?mNbi?(Fdt);etH({V{cBl(FZuh8D7MJjphRx z%?C0XG@yqvtOqiBCfsPO(p?avnT6m+R|twTykG_y{hJ?TDrm$HBmA7<rec*gftXsX z3^(<Kk~qVQwdau9F26uS@2fBV|L@tm4^*9j1_{f+wP)w6&YQlSA5bfimjY*z*B5v+ zzmah1Jc`sr*>2DRsv{jhE4@LpIJ+k_L+eNv&6nUw0+-HLFRDNmb{_A{QQ?6$QNF{Q zD1M+M+j+$Bq)%swihxfiROSU6C}x}gFqY~$b{^|!PIl%4#jt@#?{07{c>V1E|1KSy zo7=<~JbHKkXcc34apWwt7L-70XhGE&p7iLhQ4xUH3Tgo@zW`oK339GS<KYh_;4p9j zH{W<5O&}ywUUHrRuS9@M03o$M(b{V(x<G-k19UGBxV^*Cg$N8pdoAYz&i0PuIY^TM zy}kDHJlM4$^E?_43%J8vi?zKLbDHS(4x0NDx<T$Q0No!1cK-pa?q72rr~4bvVt2nK z#Qh-iJQ@#2KvEp8_U4>Z<hoy?2jqSY&^<?B_g8dd3;#FgaJv8C8HoFRJbHIuXyRjd zao{X8^B_`A?m2K=fXoKPMLyoRxNs8FFSyf>LNCbu2EDNMenSs7_v_$xKO@xr=;>$Y zS+JWy<`H(Y3>G&-Qa*&l?S73ukoztAVD9he#peE;vpB=w@iZj-(cS;^4A}i3^9Z{? z<^*P#LtG9aal7B3ALM=q&;|`~`kBy&&HZc6;B<fEDeUgIgt#AM9%1*-IZm$o6DEP& zUoZ(4{s$&vbN`#uINg8nB*guo^grP^DE*(pO#h(brFS>TY>&pn8O8Y1|1nIz;12(c z$sqSvfbJs$hyRI5*xav!+x?7C_oJtuouDG6cQ?p9!fuwq;$}$7fsnY}UoZva{sz#! zX<+wXn2gQ+Ij3-jzvBr=_@lf3Cn!ty?gp7h*!?j_F~c0<atMjr{S{L|?(YEI?*?}N zjVajNzvd)P_ctEL?tV*%`$6UrcK@6s<hs9M8p!<<K=;jo-Tz=JHut|dfz$m5k3rlI zO8+-vK<WQDX8H#eFTJ}#W_vUqPAJEp{tsjN1$X#&Ob5At252xC?EV+iu(@9cxBD5P z?nh5QJ3&QC?{1KJgxxHI#m$hE10iv{f5Hrq`xk&VUxD5KVLCSV=N!iw{*Ff>;g9bA zpP($+yBlO4VfV)z!VGhW%ONCg_s^IKa{mg@eS%>3|CoWz{cDclbbsR!?C!UOxF2L5 zVfW8DNUr-07J%IE02<;4yMMxbZ0>(^6sP+S9>(teN>Gu~yBlPlN8{lO=6KWmode{$ zKjRO`{SAL$_1B5t*xav!+x?6<+`ki4r1b6vnFk7gf4uIOA<F&G^#o^N>)Eyka5FMA z@6X_7gsx}Xz{Lm~tbGU`E_CU9^rGMhB<wXGgGQ&nyL5t9Qg_~b;c*BO@LC>?M>80> z89X}Qzi>GWDSM!*96N7-`ZaNm@v$#o9!8p0gQRDud(MLw*KJR*;9~IT-4BW>&_FnN z6#UC!kljbXU95kQVepFwA=Wy1^zL<d$j$KL0x0h^9?bx02Q7*F=L22=4jS<;ML6f5 zPv;|K=R_ep2Ra^%>Yf9(VD}i<axuW&lY;3UM<n-r0J+Bj;+_*A?P%_KdI%mo=<Ydn z2q}25y61x{*gXlZNbb3E2qSzp9zcZ8f=Ap8FE)VkX5-NxAnj=G3B~OmD}wG>;0|^V zsAmTc9~VsbC?dHh;4wGD3k8UKR)Dmlx##FXoZ++TAffO%;01P%ffrKvY&nP#J`?vN z!iV7rH^YkwpuE#~^aMydntM!fyGM$kdp`Js-IL&t<Q^4F_b?*4X9LJR3=sD)1b`N+ zzIW+FO3zCV;0&Lx1BAjyAq?!E386^tnQ{Old<yp=!so;@ZiW{H`@u8j8X)ax;UkLM zJ-_x7a?gZtuzNr=A@KCWg6W=zdlBxD_{h!h;=w+!duD*Nqq(PbKhE&UBIurmIIw#@ z#3F@H$$pIR2}E+w36OgNAnxe^X-9L<*L^tMb88==@F_?Dy9YGW1rMJm`!L*da1SDU zG(K}Pyg0BI96l8w?P%^v#qAz1g6?^c1a?nA5>ohtV7kW;$vrnf?lFM4=L1MPntQJ9 z#Th=k_F{xjZ~$zs%cpmHfi|e>YS0E%U9ujH$1i9>t1iSu*Y>@jz&ft^8eDHd<`-|i zn7A7fUNWHJJMe_*FGtw?A-JM)0J#@D^$Fra<_*F16^i{0dLa8J=)vrNpo?L@Iad1x z3E6*O53DVM&;AL9Ao~{>!tDQGfMNgkJy`v}i;(|`vcJIwWd8&knEel|G3+<TYQG>M z`w#3U#{UKGAp0BKVfJ5e!?1t*Zmj;_Nyz_1*`E*uvcDh*X8(ae4ExQo+Am1R{sX&+ z@qa)p$o_;_nEe}KFznyH3#<Qk5b{4!_B&*N><<7PQB?xUe+$wv>^H}1zaSy|59}ny z{|3b%`#p+b{-02UVgL4>SpC19kpGFYU!x9WzeOF){*GD<`^~Z1FG$G#13NJNAJFYr z;?wPx(Ru3NFZqM7q!~|jUO)Js_26@6kK-($%`gnE-8>eJk3cJ|G%vbzp1S<1Q$)qF zyT*d0^T*{EE}Z9^A2D`{s9b*E{D2X()TFb`1vLBhoUs#f+D31khDYNu4MqkA&&~(n z<!L)N|NkEWDg7o)pn?5=VD|q2E$D&R{{YE;OJw^&>#g>ICXhkf&*is(M^-^=%A#K$ z+76oL@HpNET6Y6F?#H8dAE-0$(Rj>(39`lgrQKGL8c0tXLI!&@zY*~0{M_wV;(;2h z*AD(;J@^bBtlc&Suu#4HzSBmf+r@z8@{8u@jGZ<r(4h3_o($@}di2()aCme+dvReK z$a$MVH{KXRcFTHn9)GcF^Z)<dE-D<I%^-<x7Zsk)X3*s{&=X01K;7!mdGCck$bjY} z0@1M0p8#HOwhuJR4fg+LkOjLz3%{aY9@+--n@@KeC^$en{@V_K=Cwg5p0phStrdg^ z#|ma>aNOSr(gFzxD2WIU8=r1B1EgdI4G+g|76Zrb5(5@kXn0(H;c@w0^8?1_XN(>P zpDB279`oodQQ`3D-3+?>z@vA1KozLHP@}@}B5pHyrK2Ip|DZr?2Axg<3IZ^v2JFge z(77xLP$z;yp%dgwSSWzwA2b&a_WvfZ|G^9CdQI;hU;>>f@@pel5ELjLy_<iqfcy$x z8u8)+B)M>~GB8BHoD4|{-F-(usjj<i2O|Rml5e4z3g*df5sPjY3zo~TF287g#0YZj zG|;-Z&Ndy;YPQ~ekhOBhKnDnb^32Pto1h`v-Dd(?2G-rC!9=3@H`o{$I@|6*%!jOX zI|ka?4mQ6OVLs?WTaWHG&;mh{+~2_tGQS73daidLD9?B_9xGr6g_hyTm!b&s!D+L* z4Rr1eN#=u=)pfSnfL6ct?gJnD(s&HCwhrw6t+15W-PZz&_3k!MN+8Mn7aSn>zk!$! zJ}IX0*aZ%#`$G}te}S0)f|<ndpTP+-e-3EFdhb3^mhosj*1!oh|LX>L{HB1`Z+5pu zu#jlJ2N%eEA1=^=6#FDVhBO`n?N0%R&s2o@S3pwTZ6`=I{|DIoKM?afK!!9PdjLJ| z=%p#ld~kafw*MZyf9{)S=YNmh^$d+H3?7}|JFj(~a_Kzi+4<r{<63Zi$=?DR;`Qxa zzMzqX!LfP&hej3#h7u|8YHiJ%E{rcdI^X+re(SvT!eJAr+CApd`Bw8TcxfMKBhRPK zmoLOOfK4h5Z2m1#uH$NWz;P$&z(w%NiEo{^K<m#$)`8bI@Ow5MUm(iE06IMD;|53{ z0lZ1?lVj%#wCVndpo|Z0t|Kk=L-HSZ3(XnN&TrsRru9EiJm}l`;>ChBU}u%2HSc}V z!~$B8xpzhr3qy%C*l#YH;E^zp-#~+5$r~Y_gA9iK2M>mQ>b&{FdOg^XQbka&0xAse zR=^zg^63Ux)eaMa7V)^<o6v$BAt3jDSPixc<lcZ*h<h1Yak+Q%2JG(bUI#XWfO|pd z1vR*Fxc9tg=Qq#JzaG8oPqZPs7nFb;pzba6?OmGD4oW<GceH~N4=jLxGTwwF9+%Ev zFPJt!9sC>AYkLkE{^-2<;ua(smKu0A9t{u!C5`tluC0S64Tvht@Gf0Xa(EwTM|M9b zyc<@5g9;Sh8$cP#r+05c2d?mTUJrFXc(4?j5IS$ZkcK)RfBHDOj%4?0bfLTV!b-S% zCAuN$<3txO_fB4i-M!h6OhF)hh!X2wMEaP}gX~^V`cQzlm%jycqM~o_QiEP_8foao znMUrdg*qB7jckLYv{Ea_hP@ugxfvKs`8^wto;b!0I?3Zj#3}-*#eFS~(1-0W1h01n zmnR7Sc=VzBCtw9Q{Net2(FgXALqAUc@Zs>!Gf2t<`{%<+n12dRLj2RQl7N58*AVR= zMES@u0ogy`^uHYJA5i*dm<UNvD<<GdPtI$gQS%s-eUZ{X)GGwi|7sjA#$7(1n27G) z3(Mf{JunI4-i%4O+&g(ScK2pO5+$MZPr7>#OhtFE0>Zr;ra|1BFb$V`AFsmh-rbNy zN5H+Qt4I!CjTz|fEm#T<Ux}Fz_nw%6%e}@p+{;ard$+D6*}XgFpu6|L61aO;%!Rl& zVlFQCHm}4UzR{3OKp=csNq6s!`RMKyK)CnB0*HGn7T|L4<rUc7yBd<H2)H+N1<BzX zu^ipK35((3>#+jj-XF_xxmOv7dw)X`6#@4yT~4HX5#@8mDrEP9>YELVAnq;m?OiId z8eBGKtj1Y37cGYdFj`&X1$8i}J))olX^*%qBTyngT}HC|3s$4M|HDFr`vum3-Jh@q zr~5Z8!|whrNGb!jr(o`HTS~zFs>He<QJ-Y2L3Tf=J~4p0KdpIh#9Byt;aH0+y?kB@ zbv{~savGB82&9+Nr9_4|!o3M=(cRmy021D5&3gmZLEOu*4wrkKaky8SDEA&+LZo{U z={sT_vU@@4`@(#%d*SVmAM3zr#A7|qG}5;O8pvpAJPndl!0nHS6R`HjjpLB^2ggDJ zspb1(9KM+_!Q*%v2k7u`25>hR)IarTJodp4+Rg4;2<>J=N2exC2=M4`lMrEG=;i>O z0r?O-6vLwe8p!nM-FLzmv_bPBXj|=z<b~i-OBD4NUp7Bv>^yYwRr4dp)&u-57dm-V zIzv=gJbL#rm>{%jK(vDGgpG%JbWf82ADdaD!m$r@u-6NrMX+(a69Ehiph2w8<}(2x zZ-7UzHUxnDuoHCfKX`lby%&k|z@dOVng<%sgN#3VbWgj%2ht2OA9TCHi%kn*=2ry5 z%&!RqDX9jXG@K9!HXn2`0@Qp)Z037(w<$=1f~&JnBZz?kJQ}DI#K6$}fRXb=XB$Wi zF-|HF1Tqt}efq%5&2zy)kL3Rok|4`L?gMQadLaaN-wlw#;Bm}5K_DftlZy|4jRPM( z19f8DT=XFD=x$pe3UOaYFw}iL!EpD1#5{WUfk*fnj}-(X+$RiipGS9FfHXwEMF>>C zO$b~+NX!F%j<iAuLjUDC=>Fpn1SNsz`#@XfUYIQS|G%3>rL*}3$W#{<md@rkAq))s z+g(&R(mI<zK-oNLoy}iDz@CN-0Uo{pwqqygLIbF$Gv^?A8Yw(c>^m|aYTtrTaAdP| zHZKW9*tY`82HCeJ6l@=KyJ|-$$WO@j{hW<tA82Gy91=beVbJi234@0ZNX(;mA9Q%p zAq->|H2!CU!^fk$?E+LkM>teJPdHpZNX(;mA7pFqu@6Z4i{bh^pz*T<rhgAYKS<02 zKK!^K9AUpPM88jW8|aK~@OXAc1n2~750=ipoCpSn=7)@&2Rr*ff*!s5G(g9HH69Cy zfSB--1)_aI0Bl;sqr2~fIs*eJKX5ZJbTb$;cCx5=bWei__9=h_Jz2QDSUP=FSV~wq zPjs@VfD%C4iV_Bf&b~Dzpg`Ye0Xn6w@z{hC==2CUale>83tZNK3JhfX7&O54MSzTk z+XoTs0}EocPoV;2pGpPTKF}e49*xI1Dxmfmo_wK&uxtXTJcLgBcy#x9XoKyWfMOp+ zun#PV)xLrzkbNahVEc9`f%ZTji)cdFw`(Tcb7=PMfY^5e#Xg8&A6O8peHWTR_FZWP z+m`@|wjIq-`@n}zy>LfZi5#Awy~G~fZ45lf@dpv?0}Eoc&!81#pGhm&J_bnqNwmW3 z15Ks8xIP2!MbLT2jv>JTkaNWLfwtFy#vZrM1f3|b-ve|{2!D$;2LprSvGxTZo@aNv zfimbYSC;P=eS4Sx5Mp3x<=}78VF#a{_o8POXgj+D2k7D-_Tvr(poys$>@&fq(|H^R z@fbXMMU_^7j$1W63E9I6nk70i=l_3DJq8*@eD2wK<3;oA|Nnh^mpkx7%r(Jg?)n*M z=AK#(GZ(bW6l87=*xX%A3=9l#qctE#2M9roz6o+2=w64`10`@LhoBps4>uYz8*}`H zAjD`;h{BCNI}7Y;56D@kFY2%v{c}2+t3}{OgDM)3tGCStg~l$BC*VdGL5yC&197!7 zHlydE8@+QGEI>eYILPQ|h|!>W8g8^W#ONDPqfdbRjvgS+=tjq37|npi=sh#R0g}K6 zadj>>qhCxz3lL_w(V&(A$kj_{fdd3o`@>zG2r+sF)Mz1WM)#r{y<#aWKww6DVKJHw zV)O|Ch^x1Q{EikN`$1PWz0d_&+IiUHxC1EXFd$O+7PuEc)hoygk7k0s0IDeAUYIun z96A<)5PSS_+H({ngUucv4121u*kcB<Cj#o9=b%u*>YrF#_B?@y8|dmIkbi_A_GlsJ ztaH=B{>hMn_@^F=JuiMuL5pqCC9o&~9TNyLdixAeP=O|fd^*8}K^er{1yFO<v6;IF z-Q2y4(anuVH&+f~?hUB9=ci&Ryu8rOO~o*m9o^hx)4<^%APotJa%|>)nv50>ycp)L zpN<v|c@T3SNI=Y$$7b#<baS^ZLJx;<baTZZ<|aUnKz?x?<Ylz<@xlq++*k~A|4&16 z@4l(vaCiYN#PhM4`)U$eIIzOa1>HghN)OAy=I#Q`nZdJ8GQ{Wv8AwowU^BWO-RM;d zVL<^i+6Rl#91x=$cp*mb1bG}iG)&Nq_J<n{S*>yW#mA|j(AWhk)8VdOJLUiXmv2CK z3Uxkz@nI5ZYwvzg75Cx^gbk{bUfh7NL6yjhGY~eY)PHdR!Uh%7FSbC~pmO!a3J4oi z5WbiLVS`Gp7ZV_CQ0elb1;PfE052*aY)~$Jkpp3aa@LCk2pg0eUW7o{pcMSV1HuNS zx)(MOHYlaMFo3W@vHL;=!Ujdh3ke7t6n-ywK<sWe4N!YPz@yv20mNWG?jQlGk)dr0 zMvq?Bx!*xO@pe#y12*ply50zU9}uh$u@AIEAG8iad?M&Pm(458!MBH805wZWl03Sb ze}GN|2TxvtOA=)ckLI6@rMw>9%^yS<7@8|m7)rE28;C_17>={3fJ$tSUQ;Ph#9acl zML-+1T@6oyY}h&pcCCm2<m~nkQ2OfKy#t}ur`PuW0?-N!B&|N3|6XuS1g%0n+<;IC zD##pnAWR3TdNKiW$;Oj;OrSee?6Kde0<}DRGPrL7YH!2Nx9a@24|J#i$gP|cK>eTH z0ie5pN-~Z$uK+PTx|?UHLYrx=93|o&y}Lp2f$;YHc}xtiRXw_!1C$WTw4lm7x;JMi z!vo3i61bTP_ri<m6aWAB#1*L!2Y}+V@INSLH-j7oiPN~l@bkGk|Lp@ET>x^wXFu5e zH$cuVi8<D60J?<8qkDG%X#AmjGRPr@-@pg0zBo4lG)oSSQ4d~tjP~vZaR|8i@dUhX z23ZPnb1lTpAjiPn3<`G`Mhbt>83!QuclLqZp8#?;$o)G&43F;J7NBd5x+gctGJtNJ z0JXnf@FCo9z=Py|5XYl?Ge{{kh72!(hf)w>u8iOPAWM<l4{{74_k#{n0J;BUFWCJr zK+Xoa-vM-KlSlVti2HXJfbL8BwiE1rzkYc5XCS*D#PR6f4AOzi{mK1!!yjZRlKVl9 zA>@A0X$>Ivb3)u-0CG0S{U<;SkM7AJhkJDI1`T5wLfzlj2X{Z{dMId#(7PMN@#x+R z(t*qUi~I1pA7m+#`$3Mu>i$qrVWt7P^r5>Al)%B`dYz{(zUn-B@on={@G#wr&Uek< z7+bHE@Od0}0T)vYFP|`g#~?ZnHGg7kJypU9F69glyk_b=*m;WcP>BjiDd=1*29NH^ zD|i?f_Wl3=pRwCY@w;*nOJ|LWLT8DJO6!4Ame!M{F(9>|$`qs)<YzRs5}hR~GOY(n zOh7C91VA^pDfslds7UMsRhm0Ngd>=IvA+BN|JJvqsvg}gDgqvzE-D<a?ORWlu!Hyt zuUSEq1V~t*^=-**k6uyjSxgKdGdV!U31AqP&<!5}>;(@B@pLxV^n&`ayLW)fg2uxM zy`WVpE-D;`m%uA%K+EO0x<JYIh(>fQXo&_={sa}cp!D$)tYG&KP_h7}j{wk7h92F! ze}K&Fp8NrlKSAAr7kNGK^zi|?d;xJhx;KNABGLz_GlIyU%{_S22gp*S^Z{}V*7Sko zeozMk<bF+v`x`*c2D$$Rh~d#a8RGuk27KW11?>KHpa?ktE*~}ckjfVj$D?~QNCz(W zAMVEMevqX|?gu%Bko!U519E?6Cn$n;bAav$1-U-~#PH~z401T=CL|>Hzw3gB{{>|C zgE$`Dn?X8oxt|%o`$3i>xgX>htnLS8Ptf{U&^RkEXdJOSfaTyzmd*<YUx2P)YQ4no zatM|Q#XP#3Gx$J?I(<|?<MW*^Dm<@sS`U<@_;fde9P80N8KeN5=J$bOXeWqp1d}fc zJ7CEjbQA+*KwYN~oZK(SfQF?G3-lq9J0vvky^wAPg(hf?tOvx7*A1|`2}C2MACOg` z@P7%`v3mk2*g@@s7a#_xO{9XT_(AOhP@C~MiwZc|^_qU34s9P;C?b@B+6N$I9^IQk zH)TLo8(snr$0G7Vc_-eq1abf<E}-oLki+0{f#iPB9mgQ|YeL*F0lHHblzs|843F;J zGXy}xf0IEDG5od@)Xjdet^=NaCI}#<e-MX&n-6#3bu-9Pkei|H1CV3jZU%)r3?sQ8 zbe#>z{h4i`7~8!7<ZMv*{{S&Ox|<Ww+Gn74G&FU4^qT&k0uBEaXyFf12FlAy$n7&w zX^sg0@9lW~0&)PzFVOG@ISlR>B=>`^z5%&^Wh>bI8lXE4LE+y3Vt91#2DNBCx+j0& z2bVvfYT<=mJ3Rb1@FRskhyyA=`Ek418NZuBmV(?24S$eh;BJP6J%|o<3<G6h1C$yT zl!ci=S(v%`0V7Hl=5jUswinb{c+GA2w)KDm|J0+7o$p*aKXAU_Z#f2<q=OGPPX=8m z=h68bQEk1j2c^vJW@tSQvV{p`3lq96pn?JvTHPF-J}MHRIVl$vnb+p62THU&x+jBV ze0o{=CPOMm%xc!7<^TWJoQO*MxQhztltzYb9~GG$plY7mM}=i4h*8Sd?V=*V%%Y+S z;u)UobWzdh^ik1ieOtom+WL*Zg%!N`8@G#Lvj?yu&g3|Y3MhEM%?*#<W{_K(YgAYm zO3hnO^0x?qjbi}&O90|8k=Kr`2l!h)fWi*6#+(79-2*iD0MYHyE#TA3syGSaS<FU2 zbo2lJAg@B(GToq1?+#HBfp|2KWf#b2rM#dP2QwqYo0mFWR1`WxR8(ABzm=$g3tLd5 zMFZ5zP(VZ%w9)db>Hq)NqOETs%@hqtGerT^OyK}6)9-A)(FbdM+<}zFAEd#h@d2dL z7?j^YjgQ_&P~JFV5bYQjd$^lN<>ifk5a)wRQHGbs|KS}sP~x0`IG?!l-#*Zt?4bPR z*#t6U_Xbe50+kOOpv$qbln<c3HKKgDKLJ`k6rhz4AZ4KPK^k{nyWWhqd;mEBl-Ho; z1IS_UyoOXhfUcqfxxW(}2D>dl&IXka6F>}5%?GN)x_2*-L6i@C&G7PJh73~q0OEl9 zZ!);utc>5yAWK1RhL#T?$H3hT4SFzzSWoBC{Kmtx^NUC4-+iFi^yz&5Vt)f@)?<&# z0gw;)TRt#>raf9zBtW;5dv>>|C<uWndr&$*)%=LR^H}pU{^n1toS*nxjxvEJ=$M-y zFgJf>>^$`SlB?m{){Bn(Q;xMB;GcTfMd7pIf!2%s+ivr>g3gf!C2-L21A}Mx7O<&4 zpglC;SqkWdC;wj<HA9*Q-99Qj%@3GCt?TB8%$*?K2gc4*-!HXZKy(8*4G*-Q<ez$= z^Pp?TSGehrTzs)qu=PNR2*?T^P=5lHAsvsigQ)Hh6%LU3ofljbUvQo-;pM!+dC^7j zrQ&JD6R(*JZ##D0b^Lx6ocZ_v|NsBLW9w1=R#Q->@61urfCq^{w+Bn}Bj)CZ%*~$| zJ5PMS04`Ep4ga?u+5wvK0pFWi3U}k7&V$V#8Cy@4vO0Di2c1y&&Xw~Yf6IJOk<nYD zA^>tfIRC&x2N5tHpsvgd@g{K42z2|Xh=79pA#*b<Ncg850tbY`1-K~&hf2j-4wT4x z^tz~sfbzM*aTgT<koQ3y6oup95~|xrMWEY7MMUweBj@oFeizQaoF`lqKR7BLS3LTf z+12nr*#9n_KfYg3e6fPDgcIy1!vn9m3=g=r{s#s9A&>4H6^+h|oHsj<ao#Ht<oxKu z`C9Sw_e-1?6mNXL(0RP|Cx6QvkSCA3sDP3j13UmhjmPGP{LK&eK@~)&i;4`W6tnom zFTi@bhlwGLU*p7!NA>^z?*pYoaA<&rMIGuvV@PqV8+w=+KJg2>s2F(k#;6$h^oFQN zc=Yl{_AxQ+2YGWJI1WIB;||c25;#tLJ0E5_1ZNdxu{Z{0mA#l!4=RL?7<hEM;u@=i zWCTzqd;SMBSl0s1Cmzj5JU~ZXK+j5s<gXA=9h?BEgA?SSnWp(6d-F%8<_GMc{Ly-m zf675fh3lYj*1_OqiL6IAD_<WI11N?BJi1wb^+MPjFF}XDf?^XKW}sHD3<CqWy6YBD zJf-Zx(ix&6(dnZi)6H@4r37fji;s#1D3cz9a6v0yPJn7bkLEWV9=*I9dqG2PH7X81 zy*?@i;AS~EG9i<Zpc`2JXEDAIt^o~*#dP`I|_JL+Tc7X_R9)+~x!C~ps`Q5kk zN0y{x(2F^ca1;RD2rC2f_`#Qg5GN@>odn{-oTLJEk{Z-W79b~SfU7JlPHL!zIVnXY z0K+w)1{l;e&q1yc`oH;x3<JXpE|`mYYg8P-x^{y6;0PvtIzRb#K6v3)`~SZ~%Ue+8 zDcaNnshT1F1*?Ln_3S+GVsG{T|E~o-x;Z>R1LB?FZa=8+1K!_fF#%R_+Du?z*uen0 zA-&sS0t18M!Cn7B7jKrR2q<3TJlDAyB&gi%GJ%0XIYdQ-^HAqx5Zj}7_YO!^t1to4 z@c~URfvQ@iDzNVpK#48RF&^C8N2yOi0RXCxeu5S5_5kG-P<^@p#PH~DzJS)=291S7 zdoZB8M!TW)X$RVPC`g${_vQnT`V>?y8D826aulMy-Cl#YJ_R`dlx?8(Dac{)x(TU1 zl>!wFo%df@Lfn4=<m?jXW6c_lAc^i~0e(<=0xtuDW+ac^-3kH>44fxQIH4{C-5+dv zpbIoC4R+&;Gx(hbG8^QyX^>%0kezU+f$K}?`T&pSH=sc)&(44Qq(QFfy#HcSB`7|2 zM}S<%-xAHlz;LX21Bm0%-3+ZL16Vq*ah?NJ3y(k*7e{A^3Xj9LW6b<5`xzJ*I?pwK zW8}QX-|_>>VFGcsgLcP$Wahlc-^vc!NeHU3Kt&gLpbTWx1Bg*BDm<VvQUX*)E^mjH zk^8F9%Sf3jNEzAF4lN_W8Ct-nHwLt0llN#RzB1CY^JbQVV^CI67L#LeR@sYuaLgPr zc$o{T0=sutfL2iTik|2I%_>29pgL9H7LK4tcXNRtq=m!ZVh2**yBj0`$?&FAJD3<= za)N}rn>7SL9c+$+FS#HEg+R9hPv=1h7gkV+K=kplc0$T70Z>690p1vlrJx8e2Sv>h z0iWI!6$$YAu3ez+F|^nM%}*g06x#ncgPic<A;fnA9uSW~`_w+2k6wgVf;|PANCEi) zJZzflf$X6Y6@}Nf9^I3{YrIhOzmUSB*#M@wdox%^^Bay`ph!j?N5~R*p$krAM+ET9 zHh|I`C<)j71<y8s(xXT75e;ZD0U8qoCuArA=^jdWbbbb<Wf^E%K2`<_u-y+p$(O$+ zk`a`aEu27-pr!)IWggv|L5Uia<OIMKFgP$@^j7}=-yH&JI&@xyw9f=M4?)^%BHs=% zmsE6~0yT<4R0KL*Kt)|iI!J)26E48t0y=`Q`6DP<L)0APZ@mVxyL&QZh-3E#L2wfq z6o)TPmxJR1l)zTN6WDT)^4{Gb0gvv@AYHGkJ-WgAJbFbn+7Rip2cjJml_1sNsLb(z zXhjr;-&&a%UOT`{=$;&*hj4%w785|@LLlQjx;KNALJh(g7m6>(TTX&P92AocpgvS* zGblDZnvY0CJ0jiif+&wXpm7LV$pR|&nM%QNm;s75{uW_yd2|58@qjhApm7Ll2upyc zWx=c2K+Bzum4h3U5}+P6sDa|5BGLSi9n_`(cP)KXR6Ke`bDJR*qryH=BMPkdg>Nx< z$#JQtNB8a*kkVjR3nH}T%HWap03KQ3g_FIzK?2Z#^5`{fX<=e`=?N+jdPV;<VOsR0 z2x5^F%%bkeGvpAFvb7Y8Mf_mnz!rg0g&YIJOVBY19=)Q|S}<*Bg4n>{(hN>aqKmMo ziG!#qwfBIhO^~mlMa2t!Y_8}88wYoV00YD8SdU)O|5%LwUkLUje=F!vS43%oY{i)p zut!1Z7ZiZt^b1OTAdUy5G=T;xM(TT5f;aVn(h4Z`9fOo3pmYRl+k$4IJ$gkMup|H< zh?7fAky9BcW_E!FY<GgL9|k3`)YAX|8&XuH>l;#3<m*9`vvLd!ufsihMcJ{0!}kJc zIQW99Wu)W_DlAbA=0P_Yq@>$}<KRnvNNu74txZ5&SZ$&M9o6yZ<!xvNRVXQ-UW1Q{ z#y*gv(JGYZFE|Q8li@ZhpsE<`q23x53yf+7q!rwF1~n+BmVj2$fU^WNae!_Z=Pw3F z9;oaB#TO{@_**hTxg9M#l&Dy|wD|`bI|1c)P-g-(CJ!pHLDP_++%8fG&g~yS8Jxf6 zGy|x__HYIzweDsOq=sIANAGUX0t@KCiBGTXvU+gq2)zCPG@Qi%zRC38i$g`=a}+=; zFrbPJFM)6Bgtzm2I{&?n_vmhxKx!d|K+X5)-VEyKAatUb{~{G(I4G6MBad@{77)Vi zcwt?HH<g0?2uh`Vpp?<s4D!DR=&T4wP#Q%YUjjuHD5zfMgM+F96gr^snF}C>NB3?} zd(xwOGRWD6-yp?VULiPwK)H(pdHfc{A>hO2LcHz<SqgGDbbJQn7}SO)w0;KnA3+fg zYi@$Z0YSb{hWLWPg@J*AzvVj%D8eH^91m<QW6l%&t(QStR=S%PC^IlPeq&%PVR!uI zz`|In3(_D9YI3oFMp2Xnz8zvL;RcU!7#`p}_*w)$l)`!NH9J%sF)sT35@`Arnt@&m zwH_$p^FSHr>=sdR0o^OqdZ|Rvwe@5vPxB*2!?&FmUV_IrplxG|?hKaZ=k?9sJ({1^ zcfR|6&DHQy>vvF>_$~j`0}3Y$-?o0|-}a+~)z$FQYjekrQy?Gg1T{oT*d0NmEe{!w zyQqLxATWRioP1O`92HNs{x9Kj<ou<0-cj+?YbL}0puq~T`(0Wul(KaG?flt!g7ZS> zdl%00CBmFPzF%_Wyy&R-^ZP~4vo4BfUo#oL?R@$DqT)gDpn)T3p!NT^gN*#G+ZY%a zTn%rxzIEiEde9Nn0eQga(cKLS*ly167eU>5#Z#bA<OJ&k&q^P6?EDWJNpbe*?%p8J zz|gJPUC7e>jPd)`&QISjDZT=`>)RnlM{r9NG!pco^P}Q<7tRAEe4NK!I1jog{!~1n zc>Xn$;Q`l<)13#uUu^w}81Dj2d!KjVyx)1qh4WU41m|^^&U@dlxp3Z7yw?1Yk@JM& zhwm30I}d%o?xJ|z;oEUW$etij>kZstD658c7<%*2I}ERLAsvS3YFLK>()7*&kEvwV zLHi4!waSoNbif_}_Y^|2ieC8Sfil|>&;?K&vY@#<Q@v_XdGjJT2UH@0QzIxbvVqfL zFru&IzycWnYW~Oy3eeVzC7k;}gExkkUUM2=0*xXb>b%x@z4<%i21d}}$N$!UrP7Aq zS}*ZWJy0U#&~c38!1rsNA78UWrA-+5w;gCbSds<zbYm6N(=&3>J^d{Q;_0+1u%}s| z;}SXGK2j<nKS$(3{S3)$2=9Ting^*_4di>U&mBQS{{LG~mDa&>U-xDUQwE0aZW~hu zhURaKo#($_Z~a%o2Fh)(C0b8HGiAy9<1Q*Jps)icvd(kguPK|TID9+CSi%JsaWy>o zn#a}fWa~*#f_CXV*?Erhm`mq*&f_J*oc}lvDgJ8y$mqg(Qt{&Vi;kTqzF%`uJO<K^ zmWT{1q2cL~gC3sCvLWFqRtXMI7ZnazA`<ZE<$YO8AQ7>H(n>Qp5jj8-(aQ>OBI3)! zTgZaSLr@|6=oh#v1S%^bT_LRFC5oWhvh)6nlbN9C+uZ>wOhNU-0}#WbdpEeh(><9( z9^A|Xt$KgqmkqBUB!nQn+Y(Ug3&bH%uO(;WbvnpWkkg^{1IRI0>j$Lq5+$hnIU(*B za0Q)2oN=r<1H|y?ZuUT%-v+Ixgx1d<y{7Zaq2oOh6u@=C_lvC@V84Krd30}fK&hia zEq+8DJsp2EfE)nw3v|2(<S@8jkle2fb-!l@IQ(aToDB;94<H6;;Sy*yWA|i`Lkz!x zSKz%kmkAGl0mO>N-rXP$0XIL+#2fw~OF?dihCj$La5qD%W-tY=pTXzncr?GU0C&Ou z?*pB~2CAd$)4^q&f*S*a2WS#7kDY<x|AUv&><kPq!$3qJi0}as?jXVmMA(7|3lL!p zBJ@CnCWuf05%M5H3Pgy42my9by<Y*k+!Iu;X@Ew5R+d8Z;em|*|GNuVKv&ZocToXN zzccLm_y7NYaHi)j;3*YvuHaxO<!Q87(8kEX-zLeyzyPl0T2Jw}9AX0vId1;p%D{l! zln3p-1lc5k)ajp*3GVbubi1g?z&iaBuui|sw?oYQEue-YsGrY>=;xORqxJCvKu2A5 zcZ0H7H)E%ZibS_3Xfu`!XgwEy3n-{M4>f;+j1>5&h;W|bZ#fS-!|G7;CuXp*P{CJF zK>=jJ0MKbshnhbLAq#GW3JN0&UVsXMW>5t>p=KI_4ud+>{7DH}<pQXnGP2-qsGtF| zpd#ojrbEr2jF1J}pn}H8f(xO70my>v+zbpLzXu@;7D5Gskp+98f(giiZ=r%o$b#WK z5HpjJ1&g49ppi+q-|s>NxsX*l@j|q7BMZht1tpLL_dx}vkOfuwAljvo1#O{%8pwjX zp@Le-f@=H_?b^tKQ=x(u$bz7i7NDTBLKYMgfM~Zy7HohDMj#7Lg9=6=3x0<RMk5QR z2|~=wKo+cl3T7b-J_3z=e8>h(7J!r23H}y;A<)vD<_-~f(aYcB$PCVapmo!ri2%?J zs$SLBVo<&3)A{U$aPt5EhL?Ogzk@hz$)LVkjS3|H7sB#?3VJ;(mjbDW`wF4;uz*Kz zjtZy__JlQRuuhJ_nsZs~|G~q4S!FMZQ$VHk5rdaAnHU&ec7TXx5K#vrDnUdEh{y*K zSs)@6L?nO+(AfCPFc2#cMEHORcM#zOB5Xl~1&A;P5qcm(6GW(h2zd}81tLU2gaC-( z1`%u^f)PZ3&Xaukosogz<tGsF7DRwHxW0T0V%-A~H$lV|5OE$voB|O?LBs(Nu^U8e z0}&fR#2OH>97HSv5pzMr3=lCHMD&4(P7u)oBI-dz6^JMW5d|P38$_gmh(r((10upf z1nA7zm%bpD2Z(S65uma0mzE%w35d`K5n3QZ6+|e22x$-@1|kGO1P_P+O<%uc0<r!w zFfhCXO(DGe3}U?l5idamXvyr$`ykdW5OEbmTmTWE(Sn!9K&*oxVh@Pe4k9*zh_xUB zG)ez*F^Dw}M1U@tcnKP&eF++wdI`ES>}4xR0(5fI>$=x9ud7~Hye@lP^1A4C!Rx%& zIj^%`XS_~(o$@;Ab;9eo*D<f7UPrtRdmZvR=ykwrzt=vmy<U5~c6;se+Ud2!YrEGr zudQBNyf%An^4jRN!E3$OI<K`}YrIx_t@2vwwZdz;*D|lAUQ4_ddoA)>=(WIWzSlgj zxn6U;W_!)@n&~xzNB3?IHwK0mj`5%x-W~h&?XhN1r3tFx_x=Dk4#Aal^AQWsI5~9g z_Vw1+n_q8yz1|1XNd?UwD1dhv>;i2y01tRWE{p_sd3-vbXEFcZ3>rmvu`CIkIRwBH z>Yxc+4v${ZtHqE(Mh>tUJ3(f=kc|V6Y?W$z^lp~ez`(FyVgnb$F3`2vh9_T4hy&$n z-k;^5f`Avaw&$e-_&7q*Pq~;zU5bSmm4(eHSBO#TAVx9#`~UytSMbS+n6^qmlr}?@ z#{K#K|K%xI!@%%l>nZ-$r{Dkof4K-eOeM;J#Zw*-m+-fO?qUNS0}Aq3#Cn9sw#R}! zRsgZW>+k>n`#{n!(;-gsKv&-mQSSgz|MDA5y*WfZM!<zb)E_7V2b?*`x9ni0qKQSA z0XH)S5^&MjoXP_+>L|phy?<a<?*X5ii5Yr#V!&Q=g{YVQ{r~?<$Pt^O?+P)kmV;Q$ z-`WOh`(jA~y%6KRm4bcp4rCmteTdDaNf4#d5S#0M!CWeY!=*+L^|K*HpZ@j#|I0_9 z7Fe&S4;Gh}L?Z$TBfOtSgFPJpG3pA)mD9mSZN`YoJrJd(5T(xW@XP_L7rj}48Av~) zz*h6OPJjdwhM5@<GZi6b=KY5GTmoz+rW-6E>OYo%162y{h8Lil(|a-1b3oJ|gs6W8 zH-86My{Il0H@iaITpETgGwz522bUtmygX3IXMl~uOisNJrQeFdPAvq5tSwln=>B}n zkUAd;4Jlm7$qr)Na)@!kAe-NTP6ox|X<>-cGZ3ZWpJ9P|7@{5{4Lptnd&&o*p6|#1 z|1T$lm5Rz@aj7cArKMQ&+zg0Ofe@o)e!{Hw0Go#yNEs0I7mL7wbRJYXfzMOz6<w8w z8Az)lpn-&|bdrP^cL`#gCfxdypo3(wgw)Fju+JAk)PIAQPBS6uF+yr5M7<J3eK^Ru znP8=&%vhZJI~?p>a7bYol?O5EdLh{7yFo_DfsMjU#Lf_<e;`WZe!v3tGiXskujm9U zHA(}-YIr%0Vg37Xu+JkPW@dt{pAR+;)A}P2rL_>Ho^b0yjYMpv*%XL+Ux@n6-(k*m z0h=%SG6yqaFNPsP3Zsm0ftdHV031@TaPvTy3S-NoA`tbp5cSz0uYnJW?iDS=Vs#kA zYTV`c(J*jG=|PNp_4WV%m!S3`HlNReC=G@veeoXVb1(4hC1&c+f~dco5BAwikkL|L zrJ`4|F#~B$C?t@u7EJOGqdFi)9RwM59#ZCFME#dgu!mwHN-Mwo|Nn9cSgB|l7F*3A zw(_?^&PT^g9!nv{#X*eQ{RQgj*Adu4r~;zc5TckJmf>DwZA5uP6mQ7`2hW~&|Nrj; zwXu9Uzk75Z^6b2k#gN7Df(?{8nvV#){Ph*&Ezwn3m?2jb0tvZTY)*O_0@BAj4`Ry} zxcg^dQ@jJBxDcZF`&+1IUuJ;juzE#Ju~_~!7-D$>HuEwd<|#tVD~4JAQUYuqW?)-D z)PKwc2gy{p{VyO*y1kj0o-c*i4=)NZ^4F7KZ~)AOm?;f+!xXTYm~PktQJ)J@?+90) z0#T2V-dZ5)-{yebJp1GS|1V9zN=3!6IQLi(p5U^C81)HalnlIPdkLE8#!|j>Lew9E zs4sm3i>{qu^`i4KFoVktVn2UtBREfBX4<Vm;NX&jn7Q%O|Nk#j!Ny@K?Sd%%oDKFl zCrGIk4yExBr6(XtKY^6;gO!RhVsYfcKu92g>R^oU7J(R53o*(TWYm#AAU9)1<;y^j zIPW5e(yC9e@R<o#FWQ%m89r(dt8pi%sSq>$AZA|q2s6_aY$j$Pr9#x7%K`_|{8#_~ zzZ8Wi#Ym}E5T&0XN_WA_*H^zm-VlABhUwh$06Zb}DgdO9HyC1G#fSg@U(Ny>g&9)& zAxbMCN;zJ^e3cJYFIs}d>OcMvtFdPMe294(5c7V(y&?}b57T~oi25Iy;7}@g3A6t_ zXnv$u^iL|L*K45m8(=GV-ur{Sz5-&N#Rr&q^C9MK!D5~cKJ(^7%!`1Sw-8iTgZqX4 z5c6`dn0Lny5<XbVet(F0J2Jrjo$&tu|Cj%Nf}AX>iN&ai_>5wK7?le#${l3X7Kl;L zQZPf!4WCgv{XkCORe~6G;oblLFEb%VEyiNhZ(lqf%!3$pJss>p3y@KA5Tg>X7_|YP zQOXdbdLTyGfQ-5f8X4&omBnIIDn6rb_<}v?2r-K3?f?HTJ0M2gO2!N;DSSrtLX7&B z2KL~TH~;^?w1pTo9g9)teei^p6U3<15Tmp~Mt%AYa;Rts7Nc6AMqy3&-+e&(csD?d zdiolc?w3Q%<H2H{2|n|dL(EHnm{$Zh57amC=oLMZgc)j2ydhr2>b`J@c>)mg=D}+$ zc8L3Gu$VUmY97{n#11j9AQc=7HlU!}{tXlaqLx^U^2BG<9&eC7UR8)uO0WO_f0+X@ z>RTeFFaLQ#e2LXng%G1|rGQ=K1u{wzV$^0VMs3Dtlp4gSeuz<tAfv89T7m^wjLN`g z)NL=Y2VEdWWxf3W|7ADCC|xW@$>K9=0>r4F$zTs|02$=~G3r$UW>{VF#1mGo5Tn*X zj7kF4k6*ul94fjTi&5=Rqp&8fU!EX+yqh6Lg~KbcRS@&yv6yF$&%9L-^O7OvwY-33 zrYMMc;#kak?g8;CR`*3g%oB!~*9SL`3u4~+c+8-ij?X+Uh<S&Tz_E}9H*eQxP&kOT zV=>PipLx4HK>B!#A?BGrhxs=PVxBz~^S--7{EIakWI@ckoCtQ`<rn||zm$R)^(PL~ zt84HXB@Z#G17cJa$f)z5K&}<tiN&Zyd`4Yy2kGOrg&4Ku+5i79TOdZ2VKGV!Y82K8 z?SvTR1TpFgJisg==ILQE@0c4Tz_6NU2{G?e0yx0>;O4#j2y(LMvslbfE5m2rOE-`{ z-bE1ePCSK0=uC)t^RSqwhR?j25c7f{=3ROQGtU!ZUKkehuDRmzuP4MjCWv|MaQFTE z0CKk|9~Sf4@R|416{L@MQ#?5Q%;4@@2{G?j3}*P5;WKX~#JnVkd6IDVMMBK0!(!eu z7d-xrgqSA;G0zch9w)>+Gc4v!!)G2R#JodsVE;0}&D;4N6b_>AqA~sJhR?j6E+Bop zMG*5+pTOcb6Jp*nEarW4#^c{ih<Qp7^X@%{nI{P`FAj@&%kY^e2{G?dEZD!?aQB^j z2XeQl7#8!w@R@hg8KjT531VLBBbfUtA?BTn!VEt)eCAa`%rk<RHwA8<Cd9lpEaq)< z!V`X)5c3|zfc-lQ9)369g4``?hsC@!eCFMB0_o$O1TpX0LzsU%A?AIH#PqKiKJz*u z<~c#kd-ecko+ZS*by&<h=7`6?mJstkMT7nOA8y{uHz0S5reQI!44-)~9YOkd7eUOk zfyc*8h<S2Y%u~Z>-b{#jK@jtPz{AfIV&1g~%<#MBfXBa{5c8NI=B<O9_wzN#-J*S1 z%xlAE-cJXRKHg1H;PAV99~K`gA?CSZG0zO2c`G62B|*$<hsQ@G#JqpunErickH^1} z5c7l}<{iBUa~~(fylq&_n}*LkPKbGjBEkMGgS&6%D^NIy=3z0<4WD^C?Lqo@iy-DP z!QGb$F;5MPdEe~t_%{<`o)W}7Ex37-5cBSZVTRu_sCif$RFV+$nj*mdEx7mp|I3pv zK~5H(jm4;Fd`6wK1L@;6hZrRWGO7+@R0I~I1fWJ?ZM8H*jIx0kwfPP#R*fO%31Bhr zfGwU-GlrP=CLHWbSGakPUx1t}dLk4v)C%yK_t+Msk9Q8lJT7=FOoo`(fW<roeCADt znCAmAZ{J;*f1M%bSzs~mf(;)3Iz!C+69)G0GPrr4pM%^j`XL0<zYS3Hu%?pFHXwbx zptJOSdU<Pa|NsATF~q2~Sd22oXVeOaQGyVssowqn|78Tks4Of-y|TvR%UFm}Vi2Ql zz+;scVxAHf^JYQK!|F?3h<Rn9;81hA_5c6Nz0W`%7rh^h8DReSj5=fu(#NX}F{%j^ zU_}t4=3p_31)ovn5ThQ1fL-<W=KudM)geYjVlir`6(qp0dQb;q)KrL33?NtCc?xo< zs2CQb@}Ndx&7lviK>B!RL5vE!4NI{TA?BS6!VEAueCADrnCAsC@5v3Ad7u`pN3Uob z7W2+oLcEIAeU1?Geg%U=?Z_>dc^{vE+%0N{#k@Lv=6$pT>Em4mF>l*Vn0X5!=6wsq z^sgS&JgiZ%5Mmw|#5^5PS__02wHb?1k1Zfx#cEU-#Hjs2V6UD9B@i}<Q3Y6xnt{(K zZirDO5TmL<M(utK^0=rj7NdNjMq!PB0~R2Cyp<56LaxICtN>!((*Vo>`)iKJmjw{> zZU%yVdHcry|1T9FMlHf()F!A=Se>Q{G3p+~s2+G)yZQ*^WYH)r<|RSR!x{ls%|ZHj z?I7mqUi<(5C8*)=(JLyB#VB#8QCOYU1u@DQV$_kVuu!vtIPJVYW~d!EgM=DZ^Q<7| zeGUMJ8viwzd9NOVoGjXo#k_KS=Djil>Em4tF>emseX}6u*<&$J9cmudpqm9Tj~Qa# z?koTQzx09_^~Vp>t9MKxUd3vZKg6gV{$Q`3IuDxvcmQ&(=uRv~O~hvu3&f~gh*80y z2;BlPstk)!ZupGaX$o=zuM)(lS64yv9}uIAu^9E+1dj*vAVyvH1A9;v6jpK&qdxdz zhSdgqMkzy#>VX(_`ttw(FE8H*IaG8l7Nb(}8Fj-1q>tATViYULgB=i~valE>h0my7 zh*95s!5+K>@}MomD0M7Goi~Pr71ng`1TpG2#Hi}auyp_F9>}$#_kAz}tRA0vpNv8J zcvnNr1BE?g5$7U^dDF3&r;pFPMG*6%A?96#yDtc0o<A1z?i)e;i#72FLCo9j0}i?c z7yti%3F>Qj^osIeF=`4vqu3!v6+n!Ny!8M7%k6hTz85{|jTvg5_>9_P1k%T=3Nh-) z1<?El#HcnbM*TCy<H16RQMbIo9z1#a|NobY5Tl&27_}LnQECvQ`XNTugFJZc4#=UR z3|NfHz-QELLy$gR7l=_!pkVKY7`4|6GpuBxMqy2{6Cg%;LX6sc5td>dAm)`~G4HGa zB*3tm=KwM9rx!TDR$qXb_u)3k$)dVg%&Wy`-UkDaKHilO^F-n9TL3ZdsVAm?b@7?E z0AgMw#JtDnVeSinm^T-Td3W{k_%{Gz9w)@SM7VjNR<1{{Xebu*dZFfF%~1>x^D;fb z;TL@J|Noa8Zh?Y8R0xYvPWX)4st?k~D+e)324qw!#HjNgn4$Jv58_L#uF8TKb=d># zs?VTGP6}dF4;G`=K#jthK;$7t-GCT110G=KZ-QJa>Vn0*7<}fP*8}O}?SPnf`z$O% z>mla-amVy34?gqiA?8^?%)4+7W}ZI8ye(MF+oKB!I;{THhnV-m9UOF_XJF>tzX5W$ zXbu+ha`2gVUl*j0cLv0~_~S71`XT12U@=bypLzWd^E@EtHNo9y4>9kK8)o>O(ZS<i zdx&{I+`#_50*{aP*Fo+Uor1-@8hqxx*8%C{T>&vK4{qLkh<QF(%+tYV-h7C85fJkj z;O6;5%wxe~-W_c`{`H5L#{n_#&nZ}Z{J#crx9A>M%<${MXWoBpkUriWuHf)ffXByr zh<PPg%(KB~-g=06p#8x<y}W-;!`v4SF;54Jd2h7v_%|M6o&>~w58&p38u=c*qEB2f z{W}MrdHfLbPPl;m+j<1%zWrB0;UGE(i+Miy%-gR8(#Kl?F>m`xn0fgS^Fpwg_eT?t zfAb;cX+X?lf%{h;Vjd3`^VZ-qPab044QH@__rTqE{tC$5qDP!D!!HJ(dFM4j`gl7a z<`tcQ`L`ZoUJVxWc<`B54>8XIV%`UM`JxXo&jgEkdo=KbpFYIA7fxXR9*4W{{$-H6 zMc+7K`ZoukdG|Fy`gmtR%nO2tUq8gWC0NXp!Dn7S#5@m(c^{9#!p|OLUN`D?QK6II zJAhEOi|U41Lbi*(d+|gAzFqX)i|ZQy|HC)6m8b}SH>Ba%)b<^|sqG>tH#8p+fNuxi z@t>Iibm+E#;=$&ROv)}QBA~M>S}&GLw;li;(gZqkqJ;mri;4`01wIt!H4A8yILHLh z!I2E0V@#BNR3yF~WGvwV9W4h^_*%I2KnXwcAu=v10-z&g1YAG|U%Pf*aOFI~-_pYX zI{E^90LEnS0T>?{JFk7e*7}iu$^p<RAO{r=g6sjEm&0IiqExWuWQizf3m@p%CeSuY z21n@m7~M503f&<pDxKFj|CKnqbkDwH!oc9tdH4HO!vo5zZ<sJJ@J~75+IpLR>OqBr z{M$|{9_Kt&qHK7e^)3ID1Dw|tk12jq{Qg?RvH2mRWAh`%*A~ss89_9Y;ib+C-!FjA z?l3&r`eQ%nxSCRy&g-4m92Gx0a{ek2bmhFr`Oii1n4{t+N5zjWouC6YT2GePdh~+r zg&dN>TjBsc9|L)BF6cZZ@V-OP-du&ytnwERL8%3NN(OWz@B&llM&Rul=o^7WH6R;- zTTS5`fgy*%{=sG!=*lj*T@H?6Sw*l!kyVDYB{%`%fPj~6$3RC_fzL;PomXW5J{sZQ zK2^|y=+65uQq({@BzJ?VP6qxK7B0{+1`O^X$?j&*83WxOEZ;9GbMS{B;MWA*)7lI^ zTe5pH=;*(0A!UJ15fzW_$q>P2u%H7Aw-d{^gP@ZGSom8uvoJ8U9w_nSW>Ep1wcgzg zQraEJ!Y!yAq5?W0mj!eXk01j>cOeV6q2jg95*3gLT$SP>uniESJy^Io6fbu2sCaZk z-O&xU(vw5k19XHvhX=%bk8X&12Oe%m9?<0%C1KnyDjcArs-Y^n19`XuIg~?GctB@= z!kxgwUC04;0}qNi#Y3GTDjYCVJ$Sf1K+f<12~7qa0tY&XK>&R4804_c{i^@}gG0<k zMFFOZLD>a-)FNEKfrT5CQ(aV4N?f=>2gSRnD1Z)*<_4Yk9HOG4cnWlMyhrzDuovrC zxa}0Lch;z=C?4$eK^Pmr!Yu$+i7=N#*+oSHbizK&Y6l)}(1{Q(Dmo=@+@O>9T~stc zCkk@=s7Q2&sOW(8>%eW|;jZHVTcrcGN&{w001tNn2Ux8JC_{tKu>ch+pzPG`qoUC5 zqN2jhqtfZ4BESv0sM$qDq(qU^MMa>SMdkYikPxV70;&9dk=qCA5s_}tp~x;OI@~@g zpeU5!23=t7q9RkG#0ffj!bODxtd~dGMMVar7OWTIG8vC<Nbq+;E{lc)zLN@a;6u`z zh)TDM3X8H}X9y_dz+&8vES;dRw}gc~JjNkuQw4N-4>u1y8o+71yNHF`Nb#WJM@STa z4eAb2;Q(Edq8tc1tw9573?$*{l-R%m94Zb^csii-%|V_9ho}xT6LlBya2IhvZ2$)| zD9<y%&eQ@09ypkNR0KMCRG<lnr9_dF1>{2ka8QXTyQr{$RDy#Fl3qZ3jc!OVgU+Pp zfTjo@a4>`1F9FsoqwJ!>gBHv@9=*E{=z%WkeGWal5Oh%-w<_pN6>#_?Qa<QN!9z;$ z@CThX4bI67#w?(_;o*F6+6RTdNC^ulg+TLjw*!YUB%eyaML=m6l!s-&DkZ?#3S1C@ zvju}OC|4o)V1q?K*U*<Rb0P_Y%mw8McqWGD2_CSy93H*9f9Qek9e?)n^RO<mzyau@ z0*VSy2zqpPgG#V&24zrzjV|iI0?8&KB|+SvfClS>Xn+>sBAp>BBJlDPR)m8@z{Y}9 zflE)A$=%R0+y}!24jjskpduO+^~f%R72-1BLL3x{5->-@3UQgv5EU7?BjANNNC@Hz zP+<>t2F&noL?JE#D$GD91%Yyz4zw@>pScey%oIQ+7r3YZ6=n*^g&C-f5CG>g5pYon z;)74$0p;sX9~BK~VWtDlWuW|rD9k|lUjnRG2CP>H95LV|3(7JuFLEk#fa^POQRC6w z4E7H!T386wt6;_W>s7E4Xq+I|t6*^~^(t5#79~93C;>$ptmcImZ?Jk5tiBK)B{=F; zuqs59aDbu&wSM6Nm2WKEJ}L^(`UO;;!0Hzl6$NnVr2?*CcvQf_$^s5nQ2hcb-gvlu zz*o+I>K7f*MF!v~0Y#PuSgQ`Se&GP?<pJxJffjroy(KCF(4y~!umZGr!-y)_)$*{W z3D{GJsDhS9$dT*7VGK_4pi&7%9NumLm5z|c7dY!dnl(tJDJY|Kg9|;>(i9>ND)m6I z15fqfQV*##g_U~99yF2%9oK>5X4GTNpvEESxQ?r!74V(SpoXJIGx(wz$9SYetwBd+ zg3d<u=xzfyBRRnLm0`bzh!dLhU)!`E@ab+op~t{bqUg~p%Ao_gX#uHjcZAgN7o`9H ze=XqI&EVPX!QlbA;|z3<PdAH7XY&WhQU5HR&0i)kFz|18QQ=7IZ2kdd^Q3h)|Cs<f z{cyK|KKSmO8xuhF+5>3hf{ybKmI5`1LHC$}+s!ZnyMLHL*D7IL-X!S(@dVO!1RNfn zJSwlXS`U<zdvs3*`Ov4knE~Vm<hBdce;=g&{|EbK#YFJM1uUJ-YbGLmvjNHm`DV*R zux~OzCN&<OFcIB1t&%X`ctGrXnGU)72YTMPXXiJM?zRiYpkt5rahNbLbc=VFvnUI6 zN3ggW9_akYd9#F%^AxuPx4Ggy#gB@gUNae<1YM+}cmaGG?+V6J9#_!0q>lVk54dz5 z>bw9tDANFxeZl=o&+fJk0|o~04HJ-Ke?>gI`@lk+-!F0=gop{awjL<a@P()awWT>g z*CYvmJ8a-H(j39$iyM;v|G!pgy;Q;jI?n}qv7-pg4p8e6bYBUmy$`xDsrdn;V`p2! zBybS&KzJ#W7#KWz_bt$8VDM}_<}nF0-T=O}5p)sqw-@0O;LwslIoTVM0_YZA8HO0) z1s1{<ULXlP;k7~nM|gqsf$zq`3@?Gn$l)b289BUuz`_e0_mCh{5yu{6bPF#JBaH9@ z3t<Z{kOZFasu0H!ULbuq!s`G^cpX6ruN9M_=?i>DA0)iKhz@XgX&7UK7gz{ec!4DF zgqMdHj_?BM!x3HuQ;^eF$rR-D6)^=CUZ7(wA>nmI1bcWv&U*|A_Go+qI_nB@r4PKO zx%jg4!o?Tp*WGcs8vY000EK+1-g}qMAD~P1Ku2?d$}JC23(%vxJHUW}VaI>a)h2?9 zhq^sMt%(p7P-Wu-QU$sLg~6k{+W?eXkrX&|`lz^o6o4*`1}P8#B~K&;7M(sSHXsF{ zOA|l}K0p;HJAy_-3_4v@Oh8xrcz|vKaR7~zS%5D2DFEFWX8`VKL9WaIbqgJLf(}{+ z4+!~me$V1@49enh49?<l40*8@k}VBDv6leW2Ch1NIzPQo5(Qn33%YmjKjexNkZZvA z_Tln1_^LjTD)1N{7GHzfWZ;W_p*JCQqx%}v<&*)L0In50y1P+*4ZdCi<ZBI(uN6QZ zmH@dt0OV`PzzSAhBY8RO#V#>$N-=P4{a>Qu(fJ%yha`Xu2tfEBTEX@U|NsA5x%Dli zB1!P*_EE`r%>}Ad0zm8tP&9ME+Y|~@!AX{-vsq;-q88SGvO%@5&Qx$MtRV_+Q*ca0 zuZ8~$g05aa0!pZ$W6cn!-a_gY5D9Nz9A^RdMLfE<fezsG=zNa25#q&m(f|LuAuVCh zH4r>1kUJq*nt!sCinSgn74m?bbPUgXueCkU?{VQ#=?>rlHCwpg*#k6K0~&n+b^Srz z@8*taU?1~zHup>e`|JY5X9d%s85DGLJgBjx2J#r_nD@i5@kIsC&hH-GZ6LQpZ^i-L z9tJ-=8g!C4=;Aqr(*`$7xWM(uOVCMnptJ$Kcy2o=7lV=j2e{Y-AMfVV`TfN%5%66Y z;Cta9_n2@%?ls{79hcsE0de&i;zBq6ZKvTTcOC;>Uj3;Qdg)w=3eu%>Y@qS(?h?pA zPUk1iQ=A7&xHwOJzsC6ieBs;y&;YsOMbPlLpa*yW9i#ztpq#+#K+o>}75WSe-GT>S zF*iQ~ovaEzG4&LL+j^4U<znjrP!F{v&!bm#yAotFLjaUCB{14JUj)Fu6acqZ+AXGo zBSHkivzZPmE%)C5w_c7bOov2<z%E#6`R~OvesCU8hz1|K4@%GyFT=nMKIr|U3Lc$b ziH;s{tLx=7&|ok;Rc-~vj%VjH)VProMoO5>ouGsXx)_iJG7kZZnUk+M4Nrnj3_sX; zihtW-*y-8e`<7Zil?t?;ED;7pJPUL}!0|XFUPM&7V^ml=FLEB_yivl%dGPxs&dZ92 zLH7W5-uQk&@x%(o5)sr`;duF;fq`L{kzr7%@zfQdv$=cMn1XJ0`hLyuK<g*)oj%|} z{8Ig0{%#Bm##1+d6z$ytQuOcpH54U0hL<?c^G`hljw|p<=iq>8{a4D;d7<+n=vdqm zb&u|;;6z|_@Eue0Gseym6^ZU3md<MsF6eI8PmtTo`CCATHv06ku2X;}1W-YRnGjy{ z{r}&3o4=(O6#TuS4N%q4E6PF159|tP(|9i*IN5MCKVa-^eE~@|pf+!3>znDI9K08l zXgnH^UVtVVNZv9$>CyS_MItXe(Zn8xU6U^HnhP~Z1zK<Ow-$p=vH@Lj`f?ih77S3y z1;J#bFCN3&U@yF!4)P*_6t)MHFJMI<mK0`q32YXQ6b71)I#(*tdWm`otecU6p?fbV zZMk$q64wt?6C?j{7tp!xpj#6@fUiXW*UqH|-L0Sm=F;5?N@2|(LR`Q(;{uWrUc-~1 z>9nZ_!Nm*sjAqEif2|k5BBeEr-$CgaWb}8isgQXrgB{=m3^u=eE68}zMFy=Gkd*Km z9&q72$3OJ|_!<PzDZGr}wA^|fbicu&&SRY)I8V5AUgtbtBEtCtRGM<0QvCJ(nj_~Y zN5xB^TP81or^FNw8Xov|kg=2-bhRYte1FHz11_BhIS-bodUW@KlfU4_SI9*mm<KKa zLFFIl=xu1?-zbYW@xSASB>p~7%IFnshN{Mq_z!R+CH@&Rz(pn`@z0roocJ4NKuSyw zaJ>Ub{3%>Wi67JtWe1m<SW|xyJoW$l3!hknB>xFyq<_ev|3sCq`*;Ui`TB@~fng^o zJsS6d@<8`gaQS)-T)ut;Cu?Z=x)Yo{dqK&+8&SR@E8#Ib$$6cB>OpXt>^$H6oe>;A z;PUlE=P6LRUm^udV1}^5)sqD>cpw8xRiHY`r<XNa8gHta!3jxKpks19dPQ}is&S;M zEKa0U<uMZ}Rr$<BPE`gop{WYeD0=rokOL`6wO)eU=Zw8PErX}1WoYFo(s}%9piIzt z|HV$Qxw{QOv$G|s$C^7p43F;S9ccFn{1AfQ;^Wb4>MstxPv8O4eaz~hfj{tB*PAPl z?-MXQiMUL_iwo~KAjo#mIA96L3!Tj%S0NwFkK}%JsQZ7igTiI^4v@1;;*K>7fVx_s zp)#;Tx<L-{=;rh==0K`=S`U_jF8w(GyVL>Xa1h6%8@IzJa^iJ3$XbxYt04{tIS1L{ z(EJP?zktq`gStnciv-LdUT^@poWF$|d>`Ko5a-1jP~@P-g(K*$MPUX84{*%{s<Ay< zIZ8p7Ol1f|?x^5zIROfr-rXPp#1#;8M41>~f-mIlZbrKGlfPvaNOgC!0r&#zZqO~N z{M!PUL8EKO4!)CUe#Qv8Nb5s4W49;E!54y^=MTOYY<|uNDt~_(Uif~2^Lz6HM$RAL z1J7$fvo_5IAm@Ru7{(gvAXhb4q%f4IdZ0NGJRXjmSY$cyhB+vVKw*9kbW~htGbsGf zZqP#wZ%vR#JMX_Z#tKd{CqO~W-?9*Vo0ftnNRpbNV1YLj44{z(4h0Yg9#I&fAkL0A z6hJNqg@Oen<$-(!4+T{JgRXG}rMF`&VE+ezyv*N{2fjOS1&HI(-3>b68XVQ21}Nlq zJaE+xx{qNm1LP2VCJ^Tyl*0_-NH9WVSU?=m`RE`$tRT)>s0<s3vj%kA=?8YubyYt= zhgfzuqu<{Kx(yUm^zt(?FdTfr-~52_CFtmP&=qpv(d6zE;B1YVpFtU5f-x)u%mSI- zyBj3n(Y+a@=Vcy9sJj_-SzWgWN4Epd!I%7?+nf%*;%|NgPU06pla9>~896V4CWBt* zBP<17>4dEiLA4Zggu4gaQjngPKOu<<YAO7dI*>0xcb+2OQYQkp4m630UR<Htv>RkB z#3tlq^Kv3cyt{b@B&ZZ585pp+8dUUwLI#`(ixDmcsekR|0g05C*Fl*IZWbglV+K2l zS)gJRWUxo~W{`TQ(?As%Qu)t<H!*{fAt*6tgAO+AYz8G!SYkFj;L+=&BGSqD@)EpO zLrveHi=IL0`xzrRecu2jY5o>daCvIr1qmBaN3$DrgF9%jg1PhD!FSA{67D3w%g4^| z&EFYYk0bh(;f4oVzwu8!aPSoqsMKSEm3p23n!hnZn$d<QTdzTyB;1DITK_xnPd(<? z`N5_05a*%J@0`a=L^yweI{BOj6@NN@zu>}oOz~XjN61vi3dRy{&@cjc@anZw>&X%= zA82^@ioWNEwmHDf7jRJz?UQLT{{R0PmRP_;G6JBY8g$uvck>FoWzGWRG6%$g#t?KS z61n7E%!D_ZK%ohWrX`?6(%B3OX;?HtYdQ!O0PBr=9B=ypYNUfAp?BX33DA(%u?I7u zLt2I>Ul{%W_dfzY-UFKB1KocJnm7l=s0af%MiW4h$lr1T9HTox9MHw%$_xykdvHF2 zR+NF;c#x~KKz)i(!~d<{9Qdam_voIyL4tvy+li&~*!OG7MxYC>O1L0FYWVFnDDn<K zBJZP1=PAx#ogX-Vl!$X4>IB^v_fzqp<M)d$oaYqJeZK~Z-qu5~9`x=2NqDo!r`Ohk z540i^e7hh#$%B@)Jo*3czen%x2NH0_D7gZp<RC;zf+%h!e4upQyW2t#tOS&9JbFzn z`I#7Ag6c5PG(PC+IndI&Ru2A_Mc}XoJJ6$h^8<abCeUb@;U!cDzHozB4RRf*1%$(q zFXSQ0KrZy?-h2axLtkWo;tJwQkM7L|5M?08LZ?IF0rSF+0dFD$MKCCl2|^MXDDpi( zqk`a3C`kH3Y7gpyyxV#I#mm3{{(Cg<egR4yp!=m9yg?G(yC;B4!^xm<fZZ>Z_y6Dj z)&t<CV2326>Hyz~1>z70vgZH){(E-goX!SW3UWI1ekqV+u(SqI?sL}zIkWTr3r&do z3qZ~;(L2_B0>tp>-7Nuj|K<u@vD5e$uZuv&fLyd1(n<gskHtku;i(UG&&)sI@caRC z2B>`<-~*EAZe9QyyzTA=B?DZQ%nVUzpn@s}5C>nfG5m+u{UB>W?uWLoLC(SNe$Y$^ zsOY%)8|?lDkh4qTk2T)_F+93=gIXRQ-IGD-6MARsi@3k=^uPeR#Rt?#)%EDz4dUQQ z%8*jz#fCq4Jpi&7<bf(k1c2Ow>45-`?lw?D?dCZ6k{Q$!<xv3@?uVd*z6bbSK#dfZ z&b}417#KRIt(gVtGVVJ7N*ayFCd>jCr_h1h7Y}}dN>oTbfsvr`bjJ|TtN`dvaGze& zdLGb)xHT#Q`#>v>!NaeH2YfpJ`*eQv?fmdU=ik5opxGi0@Fmb-lffhBj$jfz806FW z+_&>b7NcX>i#~ADJpx+g!~vQ^XpWc->)*0>Hpk2cId(V1v4<UIGcXul0vqGec@K1n zuE9@GL>-ZU&SijCeRy=YIhcah&$V&P0Zo#$d6+UVq;<9lKzKe7p2!?fUm2pZcOL_& za_Qan0b&6t8Na;x<KKU95Fn**Lr^jX^?$+E?&k0X-KS@GtT_S1@aWxb0m*2fg3F`V zG+Pv0u!BrPlwW+m@WwdEBv6d6fW$b+2J{#Y1w{quYHyG3wgaH+p*XrTSel<Qe!tlH z0y+kbv{e3O03!nfcvXvwiUFvd2J&flHz)~ra~gwIDj0ZlcXNP6o57;6bwVcK<%sBd zJUNWP3!E*`bwL*j*?@Iffac5;K*Pz9nL_ZC-wUIk{~(Kz!3&lgKy@nvc+D$<4_-h7 zT2RGOq6t|^idattT2};Gkqy=;`27-hAPaaU9g9bIGg!<4w3bu@vbGv30$Q^PT4cpj zqRr_7o>W0BvI4C>0<8iA>kZ@p8OQ@RkO$;?2anE2kcJefRCtm0```c8x253q9uA=C z7Kztt9=)Q)oRBt`1ZWP$0iqt%zlP=S@BjXHgVsPdAD9D9)-s*VN9KUj^bc?bJiG#& z4qa3vK$SaaA}jVAI7xd%$AVMygbCpI2Hhh_Tzs3u;u{>OkcdS@H)vrU*4So&tx`qS z0gG(VnmUL$G>JeX8@gf@n!G>@E5TvO09s-XStSPMgCi9bdm<&8pf$G03l~8vWI<~* z!3vQg71UY<i-98*wsZ_40*X{n<jH^|RRXlc2E1|(yfPcKqRykc8>|vJQe{AqY5+=- z(B(YfXzl-rh*kqov}%B(bq+fuS~Wn?YJd@~H@||TRim@HU@kaXbvm0%=7OWuKnm1M zJsdF?RJ^#TXuzVi?F%?sE#T1#E?YnZsC)%wX;}N!2wKR5d<E&)Jpoj<@VA@;w_jg? zI0M&yoeXkX@9qpKc)QT2*S3NgRLmiD1VAm*>7Z2HyL$mbB`6@^#UMyY2}H>aJW2u~ zN(7|g_Mw~dawEt|-OVr1Td_V6XL>-~;L*Lg0Eay<-hjfndou$LdC)@glV3qq+HR0j zJ$iS8oC9j=;n476HpD;+9P%IoE572b1whdZss*?qtyoYJK(u0gy4xH~7#JM889Lh@ zEC4YaSUTIDEC40HeGj-m$?w>S1)!SJ@Z?MWPtaNnl+qyBqr2^g3Alcoun?3;A-vvw z65JpYwpA<yX$80TUtaw9@Bajk?luNUed@3XtRBqk-4_5+udxWE-tgqhB_PEUCV<p) zK-7PLs0Z_U_jN$j-+-wvL8upis9&%cY(ALRyYB!*eaB*u`QXc4Upj)cfYxzB)CVj9 ztCs-rdiVW+sJB=GRu8%|{-q#DJ#;?A0JOmHxQhy?H^Ts1)6iR^!hy7^;o%o>zUJtT zQQ-kKMqE@lnjf=wf_NWTK-<3*kCupfbhFOn1uX}4QIYWIW^LtVVt8HP+uaAc>>D~= z?9t0x2U5~oqoM#_@&WB)f@%iC121lU`1il{c8N~w+fogmURGzQQUOrm1W^yJFQH3B zaz6e8cizD}czjeeKuba-Kt&a(%_QL1*~YLGTn33acDAuB1+{owR3y?IJKH#*92pRY zXDMjLeqR7+_eA5d7vPX{fv+X@>HPNM$$L<F171H1t9rl*2GqU;HGE+sU|f(9FfODK zFwg`DCulcRXWx#cpho7NrJ%I5uLHD?q4C%PklmdRKza8?-Fx&FCaCOF0Iiw=?e>E# zd1|xJXJFX*pPhlBTR`!YvIl5A68Q2_P#F%cY6U=dh%)Q~tvUj?2OW2EGcYiK8<$y( z|2KoiG+r2g275@rqr1BRv?_ve7ibZcav%$61O=Q7cK(-VVBii>k=X@elnSYW6fnD} zNT`DNod*psftK`w_GNW;XDnl2=$xFh4CJxh9tNOnb~s=eC;@_(ZGsm-f$HzM@4&Vy zL_@3Z383%;`NyNX544iYr5m&e)$!m9?#|-}-*bb;hYs+&{OD%v4rDp_f~WK1!IwPE z4;ex8^ru`H4}iwWe}a<_s2wi?+SA0+IZa|Y14Cz@%yN)p_BDVVbBtj*xG4_~Wr=r) zPzKo#vKZ7q0GZqg?;r3WM`35*36P=F&Oi*^0Wov~lA(v+f(-?k2l5ZdJZ_Z!1~;mC z6)QkVp=Jfxksl!DC9FU=vKV3>VmuBMFQB$FD0_lz0Ik3ffW$E<c0o&T>_7hd-<YBz z07@H;DJmi$+N1M1?$qGh`2mz5ei$$?yiocGjvDZGRdD=+ss+$`WpFV7PD>yHoIiJh zk^*Q$6?jt=hq8|fPp6Lx&kj(+;Pz2r0Vkb(AYLgivxrLLBM`ImqTx6A=vDXb0|pEX z+~q8g#KptR-}n%u$na9<iB1-kPSB>qW{s7gRHd^L6h^x>48f^NU?nt+;AzV94cOt3 z)xfau_vr2et@(qeCvi}E5+^S`-2geG?+(}*`x3y;ICcQ$48xNzPP_(3J-j>sSqzGQ zyy>Z96)66DR)PGsZwAEBf>ltz8J>Jm3^5euACP(CDCtQYH9c9Z2AOBG8f@MLh<OUD z5#}jF%p;VZKq~{mr3ENGy?;kudb(lA!0_S{B&*{{Phfk%>8b18zyH)oPYVnQrl%L6 zFq-@Z97el2jKJyX0xXQ+>FMW7Ea}Om+W|Di;dt-`b0_lPfk$^6coC&Vw+G9?_dJ~^ z4!+<4E!aEB?{d(E@p$udM$QZ1!DfD!^PN24zFTMCj5Q1loo#d0faA&m?2cm%YY=f& z{t}*`K=BW@fCEzU;Vhp#)`H^SXD!&w3W%8oYY}EDL(Bx32P!?fJ&*@Pcu@QV&U}48 zzy|(-7`Opq-~%KBFTDUcl2CdAEs_L>EGRwAe*;ZV{0t1>@`)eR(t5s+53~r37qn6V zG-iUdTozhB?J#0sc+mvO<~Y(5+@2R!Z}6lidj<wb`DD+)u<yS;0|TslvS(mGE1w#S zpy>&u3Q|7VgBnjr<<p9Fpz>+WI#8JJegO`m!xPp)!+a;mL!dfu<#V+31YT52C_W?B zgW@x0J;>~R3ZVI?#$yiap=KMNd=dN{6#U@&6J{PSO8LNxT0U@W0GY?L0c>6b#Jmqk z<}pLegO2CyGY0h>I`6-D19E)t?hoMWv`triV`MPA1Zoc*frx{~bUb=Z*?xe;cY+3U zUd(z0ADM?Joe5D28g7LMbU*|^1O3pEbC8Ki5Pcl7pg;x*Btn!qzy$&z0v~)C7@)&G zFaN$mYr%v2i=Lg=KuxCJeLKL;wk`h-avrE##S1Y4bm{}hE&pEpdG_zW<FPi-ObVmn z0mynxa6t|wK;}Wm_duq0x1roQ4!**#^RrLyK9GqXFbh@rpcX!RG3VJo@U`RreLA1L z=zj(Zni>_3Py7O`Mqe2j()cw_yzqSS@Bco~LUr(9p-1QO7t5dj`~QhQj#d0CBf}?t zK^7Iy&PN`-ya7yD&NTonDh0Rfd^<m8IXDJo6(OB4@DJqO<|76OA3`RTV&EhGp!OxG zZ{6)@<J0YC(0S_MFZqM7q!~|jUOV`Y_24sR$8Ht_$L<mXmc~a97#J8dFM3>l;c@w0 z^8?1_XN(>PpDB279`oodQQ`3D-E089Y;yXAS}q1qTa@F)yl4OZw;U)j1PwxfmOM5` zFo9d+pdzxfIR>n}TEnC9umck))<H|X?!7n(3MJ6A1gMP|?9usop9wTE#y<sx-)_() z+#bEAvR^>4`oan#$N`#-?%mA53^EAZL3<(a0<2tsnSmkt<>eRPl+xYT15()C)&QDD zNBH*o!T+oWpEJWe*)3wx?P9@l`PJnY&5syCPM>DL0xGmkSil*`0c1$yG0?TCpeE%@ z(Pv=OCrs$>16`-?(cSieRP!Hz&3^(he*?t)6D%O#7@mB&^(ox^98jorw}EbkM~W@N z?w`O4a{m<YZMgdsK!!9Pt6+tiABr&l4oIrI?E(`614-sPuz}2X0pB6KZvx1W#$y_6 zQ1ib&fw~{EA0!l%r5fL4FoMdnZyue$_n9&<Fo3Fvn#ceCdp3jJ$H3nr4Z4fDbB+pV zMZV*)whB=7;M(n1;@Mr6;nI2H;1BtOFQi=<PjnuKL?5V)3|fQ2&@E!u{NRD3=0TUv zlb2t0hNyUe(rAH4XN^jPOSg+1i{s@Nofkmy>Z0P|(h2HFbh@bcICl4~VPIhJ?A`~u zcoSv;;|a&kLyiZ3u{s`n#0;_myuZS!+eEzi!2`{Mm)~`ks3?GpPH^gW5ohW2QOUUc zqVprDGzRU1h3=kHaqV6Qx>DY=d)*BN1_qbTmj{2!AABk8!uZm$^Ni!cKdg=ipMZ@A zwT&2@x@%M!njb!J)I96b`SSAn&Kebo&Y#T>89h4JsB|zeFgSJRsDkX007<{?d<n5m z#<hDM==d0y&YLjfZ#s6K2OD(S@Veu{|E!J&pEG-Q&l3P8F<7tCsawR_rSm2@s00kJ zH$P(Z=$xbS18hx<HMocA!g&;=;x*^p=EsbkF)AX4-#L#qKWFT$QQ>HQ%GjBs!c)S= z334ZRTQDRVKw|_C7&%{*avXP2@c{MkeLA<OfC>_LIDP|3cy!mOM3jIOfsdN;=yhlD z=xkAW0g8-XCoua1n4P1-;@KO|;?nJ+qTte9qN3v3dZ0wywe?%6kYn=$Mi<cdwqx@% z#+L`7-UA0FXsu{Lw+TBaHGpDE!lSzfY<M>dqf2Lx${BEkoJ7Q$L?<-Xkaxp`s3iDw zPEi3Z&2j9u5d!T-0mq8~D6Bwn>d`$%1?0?b8R5&X!I1@8_tJS25;Y<qFN1qlKHWXw zDD>!j<=m~q1Bt*K6%LQiDJr07aq1S~y8H?jr5v3XAqMb(3;->0WAN#o0*)N_ZXR)$ z&YO;xU%G&{u^WB``3vL{r*0EL7sIolGj_l-oQFVh_m1;ZGkDFrj^SU<TaZXIfUE;H zDPiNh+L@zb0I~xdX04Y>1-o5T44NM>f+GgxZ3d7mxD)!#MMZ+qr5h4-;MfDX38V%T z2p}hTbguz>2CNz6EAY`r-Jr8n&be?N163KFhg>*6fd&vtq&Yz+%5XS-zu?Mw%u(^$ z_luk-92Jkbbe5>_fDY~WexcPxg@eDv1sbaXkfD$em4a>-aZs$j=yXvD0Qnx2WV%HJ zAz3>BBn4gR90GEC1x#OpV>b&V20=P2Ji50)VopR1qO;;MR9g*58>n_>@aUcbjy1<_ z77<XCfiyaFhlnyjbU46tAnz-KM3W=fkvA`cbXatY2tzbjz%<x+^nx4@I(MhHM#TWM zD8~XcwC>>3>!VV!54430JPc=e;KkdA|Nb}EsF*PLbVIUHDK9vVe(>|R$%DdX2Plt$ zFlY=+0~8maveUD7AGk*8Em6^U30hqa@)WofgPG&mJq=VNc5^`&bfmR@Ea3;aO95ua zYsuCFFm{PBND6*3)Nuxoji94UKsmDW1H^wm-!FC^|9)NZJSVg$^Z?lkPFoJ&jx(09 zgUpb44cg}eHcke11{T~n(By>-Xtzo0fl|=QE>Ic=EnqtiY7fAQuI?h1ZY$33hL;-u z|NqaxQ0mkA4I~9}QHjlQ7Zni@3p_^j+OYG`_gk$8_+2l6TmsHXp55C({suKyG{F6| z7r*ZR`~ULrzyJS1hi8G*fwOGqweQ!IOH>5F%Usw&W04%M1ws21Kuq`!rQ=K>%er$^ zIJ!Yc7QN#<*IA;X(RrQocxR1@PKg-jKM)_3xD|hVzsmVa@j|DIiVo<!7jOdCD9Q7L zv`o4=AX(m^+kpiVnkGnbXkd8hh4Y<%|2?{$H9T4mln8iqJ2-$C?8hA>Ky@Uvt^|#e z@BQ)rzh`F~q{2S}s&PTn!LgtQVC-Sv-gTg5gFc-(Dha;5`yyBv81|o7!Nss^1-Lr? z_rexrOJ@r>-J{6(bk?X8ypX%|@4si~5l|?BQxn|vAZI@W?I;5szymsz!x3C-yJa9X zDWDBZkY;d^(rshV2yJ6Riq&ox1D4A#AXSV2Bosv8HFO)ehDJ2tkW2$d7`ka3$fkk! z-?*soc=YC|NPzMibZt2(?7*#X!vh|j?_bp4`}g0oci#)p74e-lDjA?<T?)`H%Zp8S z!IBD~1{<hZ0o~aG+Nm@B?!W)AeKNhGmh6ymPz_hmR@wJHozHwaKfbtp_uqez*Fh6) z44&Q7c7QAaWq-qOpxGi&I(qT`?!W&&ozGuPzxD4wO6b2>dh6eRkIthY1HeTgG#P_d z76gFH3h<NwNU!$YfB&0PR08;$Q&d9u@kax=m_rXZ2jqZrfJB1}G0_0tT8nO)1+r-t z5YudYdTUfFK+ymx_Rv;Ny!dek)Q1AM>3zC$R5E-zL4o+f5F!i;DM$s>`R|479eBw5 z^tPx(FfuTJLcKc$RCPc1=zQnVE4q>u)YSa=A`q0ekGH6RdJdp!0Uil^z(PpzkPcGW z`Thm}P2_m+=q^zycp-fglm(7^9B)wp^-CZIfqNI-9*o@%tj&;Y&;yq3Y*D$w0GgBn zHDR%5h8C40ATvOR^`Yd4Jz#M}=t20P0BlhKtxhK#BP}X>aF`4dM>ZM6hnRc-RMPgY zQ30)!@aXLUn*a(}kQ<Ss36xs`Z-cs9TfiQLW)h#y_b<9`fnyI8O`zC|@acRH$|J?M zP+|(yHUz~~=X0OVe;&Q8EZ}T)2$WM+g3|i&78P(M18M}qGRq#Y5K=ttzXi%K|6inE z$Bd`q>+pEm0u2Uert#?AqXH6wjHQEW+ZW$%{`=p&1T4zmyhH`mzs8$&T2w&Y29U9+ z>3t7a964w~>L5Y80h|z^{SBO@S&Is2i~*a;AaP`qL41hGpu*ducZ~|TliJ$@HUSiA zAiL2cZO%<_?0`lQe7aLWdF#1H?-sDnUtG8WmIKEeB+~ZXK#4R9SoX>RWv};;nDYX4 z{W`%h_x=W`jB8N=x9O2%ZVgxn5p$sA!*ml8d8@91k`ZV<PA7{BsATX!ZUKS(0ZIry zo&P;LU;6a+fb;5$t2h4rckJ$i^sm}L%};C<O^XU>w+6Pr1c@UDCWsFSOi(rB*xd)} zQ+al`f!eXyO`ZZW7E7)Li6ff~;zLZH0nJ+mpmtktj|xZz<UNqx=+X4`Iyfr8(FD#S zFN`4~pvVE2exN)he;p-y5}?u3`5x332lW{t1t2JWfHGFVbx8DRfDA!Ik5BI&6_5}j zdO(x%$som@?_Y3V0atsV<|KFo?Pbw-<UMxa@ftIb&pPkFSbrH5mAfJ7&@}fEc)X?y zB3J?T_2w6hpb>(d{0s~XFA}bSl{YYf#%q+XgGUTd#tT4R@a+8N(%lCdhVkic(_jI0 zq))<nx+fhwPdgs`&FXmYF*CTwd(yevM#8x}M1lp>5qN+3m1FZyxjIwFUS|%+ZWD%` zphk;}=E=*?IR82JI`cSo%P?Gi%z3%<2595@&5NKuHE65OiO#RD#XGMxKV$B^2oe0o z?{cp5U+3k{zhJe^kHPyLZg8G16}|Y*@N4r^M$XsZ6I#KQ%j<lwy$TR}SrGO<;5-ho zmxbZ-1I|OB5ec{z2l!o1bpE^e4lD>8%QgH5>A-Ptf=-<Tb-2O(Cg}JY=Y{4EpyS~< zJbR;AU}q6Jc2E1m3>w{rgc9S$&hrQVv%2)E`~{`h<{A|a2H);=AD9^!_*+0*P(W=8 zaC^+9^MPY`2`j_F=d7B)Ixk)Zb({q}noqDecIU7%Tz=b`qaxD$OQufCvDZa~!?D|i z72+|?i<cj8egN%Wa%?`r<J|3{3i2GN?<3Njq9Oolh=}lmYymgGox4q#L6HM8UBjtc zgc%Y^ogpeZbsAU#3}mo|WAkAi=WZ5>%MUnVEoco;l)0#AG^eQOF!Q%CgGwQk&XC1R zQIJ??j7ot|XNigf*n2swpfMKBQ=JztzXkP}DnR}NjXZ#QO|IP`tPGBqUp4=dap}C= z`L0d{>eCX0PoHtV0}1jtcE_+XTz>4rdD*A)c=Ka^@Q(Kn;2wqHw-x-3`#^yWI)v$4 z>upE=smEM8KQ@14bnX1$`2B+8c1D-hgQdByt=}B^ryd7|Da<C6Fg*&j$%U2S@&iZC zLoS?Go1gMG|K;bOa=`K1A%5_IyN(>kJ5RfCd@hkQ{MP)J-+_P1G5&3b`L~^P<@oN( z`QiID&U1>#TojM_beE_&cy|8q=?+mTaOB^1{QI@m5Bx2jplk{1KY`o}8aAnL>Ne4U z$9{JUcr4DTn?>XDi{@W4oh~XOpakFpN&q#y@C5Lj^LuBFiU1@5gs3uHe#8knn^gp~ zCmnQnYUiQmNBo^3D&S`QspdzFhTobW@^|{EfL6S5@VkOG;q!QQw`~BOnCubIT*Ju0 zz~7R@0v?-#G?hbCI1Imm1Ng=F3!r7j{4J+A!SWzYpr$&<>tvJ;AGkpZ>gid4WCb94 zxi5hh8=+WKV(Zzx4`zu4%#uk^OHxrSv4FPwUo>6%_y469Cun%sMaAN!0w)85bGHsS zZNGKywqd&b9#jZ){_A{Q2Q3I>Ai)JHfF5(ch7<%Q44}dY)XOa8Zhp+@2<ogel$tv> z|CFzRYC|bMjzYA7%a7xn@0*`9^0&G&GcYuNXLRfYcQC-cA!tnMfMODqaXCETF=?W4 z`5h=GOH_D3F^QR#K{=NL5|fau49bE$%_%AzpsdWp56{8Q-6Bko+zay|ic^mv<yuHl z*9;zv(ts6ipgix<%j<fF5j=eW?hI%k*Mu+LT>uY%*f4;HJuI4kF!Q(RgT{zaa=L(H zcOR(8^X#4mD%KFgxShu!a~hz+2UPSkblVs~hHyc{mI~cDMl9fBO{Mv#Or2`48%MVc z(+-eA%@dsmFF)q|-5H~z(0q)iTg2${BTmq;vPyG`iUKGYRQMeswUTG|K2WWMWa-g^ ze_0PcWd>W+ZKB^DqR(>q-Q`!Hnn<?Sm7`mR9ode1%}05<ZS*fc=llgJ`N3h7fD}e4 z9=*Jhw;^Ga0U9StKnbH$=fPo=0|}!Ha2T0^M&(h$2y}jqhp~@}0OY6u&?u6Rv5Shx zchI1?OY0B*mj96UDZzjQ59pu-qy#)5W$*-~1WG{4G^eOYfC5s6wgDM{6p$evy}UPW zLIN@Z6p#TgK@&QlVJVK639O)gS{taL;oIE@j?#nB8uTD)Geh%)bGMA7b9aa&OXtDM zuP?u9{vp?St@Cc3ykoC7hhw)6C%B!VdGPX6&byAi#XOGPCWe=vab9!yc8sZ%yZI@T zBX|vMsZH|_`5LGu6S$^loS%_2eFB%;oVUOyHt@HCh9;UnF*<f$^X+wJ@#qdwap>*> zMVU|cByieC43J!fBtuZz2NwyB-6mSij~-}V1Xt`Fj@>a@ESFz{m-GFUsZ;L_<p2$G z?*b=(Q2hug(m9%sg50ll`5EWE&Jq=rVnBu)<bUUG5e{$|!2FNu6-do332*LPd<~gS z&;a`g)Y<}V$k6fWWwpHli5d&g$N*|N@azmYjiZzU4?zPcC}~^(RO&f&cYwmfqk954 zJfL$%j3;PX7C<cqB_5CN9pJ=+u$Z#);Nm+-shNNhUMW7ktgP1|;gtajFVr$&+i7rk zp_B>Wc|MfzB2XrL04F{EmJ&u#&LCXE4wZrd7NP+tAsXV-%ewn2Bt#=XAsT>E%BGwG zhiD9>l#KwFvcEyy9+VI@Kx@L37$UVS3}7t_ixZIM3pZ#ww0j?{`C{;L;djve7%nOX zFE4?+XDF={{+4ZEL1;@wl<fv11I)x{#~~(y4*2kdnP~dtIwQkN-|zqbqqK^^ZGBLy zNC4C-;()Y@zMlB^|K-*nXiC9zdLX49Af*ZrrKdnj*Zo9O3Le%3DK!8om4GOn4^sLP zv~0VVHT*gw19+4hI_%cT1sXGc!06fC2WonCI<goZ@Mt^&>hd8CSQB=+HOLO;KWNUu z>T&^)QV!H3Vo>^<H^3c+&z_xs_L+m?w)6grJ4Zl^d3MhLjTG~@RB(cZ5|@An;Epwa z010+`mw0psXCUf-$Ij!hSy#{?;RH~_nt_4Aty{(l+$3<k{Mw~+jtWQ|H2(+coV#_q zSg~~WsDOuvF28Vr%pmrF)wn=rCLzNG-QA!u9gptKpiv5>aS5cdJ^^H(OSgy~xU6@) z{K};>L?ywabBoFiMg|6#ZXZ36O`xGm@GK&9sxiT(^CV;jBBi?<G^zsHRslMn08yVn zR~&%q6Yvmpw}}PV3UGB2(D?~Ghuora0@R`C+@k_=p-Xp;1!yigpc68Z=y>_93v8A% zq`Mn5#)1g9&g0<VaWTAi@IPp2hDUcZX!HeSA2>F<MJ&M6)gFe|z*g;10S~Bjwx}Ec z9r;uPw#mbl^Jr%ecmVJ6dq>W{kjVrem+s9WAm4X>fGr{T;0Rg-@W4^?nB&18td0jC zFne@Q1`SGr>;rckoVr<<Tsl8OCh-i9H9uekMHgrs#Hl-k3ABO7;_@p;&a065R2!G> z*`SpsE}b`ER@`vxJOW;na2P(>-#r;T`E=Yx1w8cb)Xky<nx_S|xE<hDfJzjn?hqxA z6%I&NxVRWzbLBh>sSY9>o1ZeebmpkUl(4&Sf;!U?$f>Q{MI{0>J<j=}l>2xKI3a-s zeLOm2ATz$;RPqff0h*o$MHgtc4-}^08C=j{5`$0Y9+d~6QVKdhzXBY&Yg8_P=-yfu z&)z~7kKSw+-`)Tg-`*S*7MJcCm4t2=l@!<3|DZJI0nSl-R6y%}N<>=^lnOdFKWB95 ztWg2Y1-#4#NgsDn0VgQXd@Cq7fM#_;mV)Nq7l174T%$4r6vaA%-H<#2ZnOvZbgogc zU}9kK>0YA(a+o7%Zuau4&M7LOK!&BW0N89gcs>}Ml0X)LG6TpWkWXB?!6rlU#9L6H zdUT73gH37yry9_>P^XWI2h1q&QKR5Q0x}Ae;vKtfK#l_qq=V<OEV@O+F28~;39x`^ zv;n0qaMXKt-U7`*gOaXGw}^2!B+WvztAinU1P|=#5>o~j!|R}nb3hr{;qp6fi2q<y z`{3E<2vDX64f=tSr(?H?1}Nb|5=D293Mde}bu>X@Zw<dg(+}q>$a;Vnkdq2v`ax;W zvD-uklqx~`3qWB63S`gDQ{6h6Fx>?p`L{45N?Z)zb5BtLg%u>fWkB*oP6-?5b?`hI zEQ(t}YYco;GMXPk));`M8Nh1{!140UMJ0d{Ju&)rUInK|P~K$dE>QtBT6{V`xp4mK z3{kP@JP)2~wE-<g0C^J>2B2Uok$}iMe!uR*`9tx?_iLOV6;E`!sMvJ7sMvrfUoH4s zKxd>Nd4GxuB>A;~(|k9J04%wI@@D}kx<JXS8@6Pi05+dr0x}~55`<I0#Vn||4N6%M zGe8LoT#RIZ%m8HtxEUERGjc$=7c~0<GX|2XSm3GZ1*o6{RZ87kR6w~3YD9|)NE5`A zDc~t1kU^kY6lM@8iFLCGLsQsgkU1b}P-cOQOM`eY13;HXfeZi*hrtYhq$w5wQ2GJs z2FW>giwHq<gLp9AEg<(DcTs_^x|pH@Nlu{U6OhFa72rGy(&(aswBn-IMWp~V8Ycki zie-RU93H(8pMg$$1vM+cO|lBma5>0ApI*p<nth;+O}jvZ;ei(i51=*C?D^YxLFEU? z6`(l;29U`P9^El220q=8O5CG&H+ZJJw?xI^<z$dzkgP}dWYBUxki7}uuG&seo_#T4 z&%giOJ}L>_Au1`LzB*%P3wRL!`$f=^7>egO!Ideb+6E;FaH$3w+JUUB2!L4%T3G>O zLsnLVfHDZEfQB!H2xvW7BHrzy5`tI?0h)__32NaXInM*hc^CJChWA}mzy(vci;55E z+!SRW6%WwysFt9hwRr7}(x3wQiUAxR9^IQ^K6Ze#-5&4z_y6V9fB*lt9)P$Jw6+2? z0mJ}xV~I*Yw~tClcaDk$C{K010x#`x=seDOzOzQfr9=#z10BFQ@8|ccoG-xn&!yW% z#RZ)I97^&$x|>0^f}4e%J}MEA7GbB0N(@rGL>OLr;kFwzXy>AWef0yRB?emjknrvQ ze~->)P;1Sj@d&6nht!tz=$%~vs&7CGXgqpXTmUbAsDmzk08OVj>;_G;L*{k&pFooF z?0ok^87v8&fd^Nfpe~<*M|T6r1N_@K__sNL7Uv~&x~QaprMf*zz_drV1CB)y;4%+& z{;)>H12K10qv8R{DL!C>x;r3kKhR8BcLS)tMrr^et%iU^KgwKk4Je8bYb!uiFQ_Pl z8VhOeL5#&UlLl?Dpt~09S^=Nl9F+i256S^F#$o|l)Z~FU{Qz`0!uuDZdqJyNTvQBv zdUqs%rha=%R1yp??PLe9L-`MCcYu8O!gdc-ok#DE86b6?kY*qB-~*q|cQ2&&z$d{x zdPUy|LKa^{xEh}H?7RzFsRwFRdvx9h&4qRQs2IHP1etl<MFm>vfjvF}wAvSx-wP14 zU@sCt3OnDw;MfV8UWTOypU%&qHI1OE0<>zKdnag~`4}j_L#qI=fzWi}!N|YOfwj{| zC8N_tB?l7V1QG^h@eQc(MGfse;6*uz<N@J>Qb>ymxY!1zYvK|KWKbWQ6`;Zu*$NOJ zVg<Nthgd;ef&o_os9va1sX!QBqf!Af*+r!WG<OZE#XxBWvxo=Hfr8J?fUMEEy$dvH zSfY}FNG&hKcY$Y1LESN*Zb$<00nHb`d+~QCJaK^P4$vZJ@T!CNKAqn@dRg~@H>4Z_ zwJ8i<7=lbb-l76p)eZ_9aBO*WLzBiHusBlcaM}f$zyAK>)i&hR0onlaV;eL{w5Ut~ z73!c`5fpOp(!zs@f13kaXAf8!REdBq9v2k@LWM?)3TPS?<T%uT-2)a!ge`;*3f>l# z8o1#^6(B7t1yDDmTLBVBwgSY5SOFR#0*6+22Qg`*MFq4h4Vy1O;>cEj_z){{K)&c* zqjCaNg7@}-O#sCx$Pu8{04Q9L3mMP~gAF@CNo5N-l%c5w)Wrc6ETD639&SgZn$G{e zoqs`fz?tnR3FZJ3bV1P`aOWI+uFE%%UeQ)w(8+M1(&YDcP_5gdq5(>Mh?s__8jv_r zs^QrINi|!xpro4aE#S82i@jUmNv8uE4d8%9Bpqh{Z4T_6Jt`pS&K4C=pA)QtaMA(y z=&-C<0Er_9I*1Pm^b}B_gHkTB#R_Qs6}ET<i6dJ9;zO*6L0Cat(gAlFu=oNbj%)>p z53vH&^9L0%JD^DiBm+u1AV+|b4#>agNvCuhB<X-c8J2W9@4r~L6)DMpmo?4VijrP7 zK+{X-e{caK8p{n!CzrQE(g~=!2?`=`q#>0qAaSI0@?<L{o%C!%PAA~5F1U((_hQl} zP*OP#H3wGTfEpq^ojod`WucudDl#BHgJY0jtpo00VF_4}IC8*(_>h40LBt&~O_LVz z0ws{&(MuN4f)QjZKzxW5;Pu~N_Y+yNfLo|od;t<iwgSY5SYZS5MeiDw6`-K%?NI^A zfRYEu5uoG&@-KSw2-^Zl9-vT0N*?{2;mHG3(16BLAPtWfrJGTb$pUCH>D~e@R=z{l zQt5GmHb_G2qlKFxDMkQC$pR8bN->)@gHp`@7f~BfQcUN&7a1EsDdi|yO5x<+=D^$8 zqrw5&_|u}o1M)sNqzR@J&;llG(FhVp4r34>62>N=Fa{e=WE})rkA%$%kT|jxAU?zj z9fTFc6(q1lYUqg(B#vwah!3$s1!P6<8WnK&qPGXUrVW%*K#l;V6p(+>Q;N<eNJ;^P zGBl-tnkb+;2(-#7b|WIifSaf<yf>nx6wt;haEs-;4|p*v4`}uq+>CA72uU6cIFbiQ z94UEB-Uvz_?_XH2LrEUciU-t^bzBGP{v1Y2CS3g69QZnWR6y&-J6lvByWNOts(?0! zV2eYLIC21k_>cew4G4p+C$_!;4G?3q0wj)X1&9x^0<=2-Y6Wr01iYXNOMwCsN45gQ zhgiV_O(q?n90gsl2uda(M}U$E$iL{xgmnWXnSeqWmP|VTzpz^mPadFD11eCU4O^A< zD9Hr0h7OcWy4OGpl=mK;_dI$<?}GYF;N%j#9+F%@{Z&wqfdc`l{sD<2C6^SC^3MA& zc-EjK7tpnIF)9WxMAv|dlw;5iJpVQiZvJf!{GB~2p!I#7Eh=w7<F%lf5ofHOU&P{G z^xOv;r$G)@5FZk(EFdGH^B>Ki{DZCg+XCJu1@a%d!Jxfu$OeP>5QG1KVi(7x2fSb1 zq5|6Jh0S1)II_VYKE&WJpypuj8WqqsM$lqvkPIjxfUE{31d!j*6T+Xhkc0pVM`%Lu z=-vaKO?hFk7Lght-5a^JD5(H6ya7rDp!M>gR_c8w(0<G79-TK|gn-OF-l789kPGsK zNB3k%mv;?V2odw3hI9H_P+9T)1^+6LW1xd`3ZNl5P~QkNiY5W#fSR@-jsS=QYQKOu z;E_8})dU*3^Xc6Jo_p8_T7j?&M1Z>g-@z++TU0<v4jc|l3=A)r*Z%ttnG%9d{9un> z&~`77`_ZEpB#s;lAU-4(-hiVQ+z9M$236+R4F>H|#AYx^9NAzHA7U`5{OIn6G{Bp| zQx1s9GNg0^8dAY#Fi0HPU=SZ-@EvHn$$%ChAQ@1)0a*<SFOc8R)6J39;Isi6KY^te zP?`RB6<8QlMSz4s1qi5@^K2DLx&iGihNK(N_&I2}+N1NGPv<XC!jWDLDK`Q@W`G<9 zNjRXG1qmS%4rn*89!PQL`xn=igAxvSXce?*_}fdXH_%1H;Pt|wAu3Q0tRA8aG{Ef9 zYihd<yk0m8A_&R=9=)4E>DBPkPJ7ULVFPe--2DT*UikAW%=N;c*afc_p0|btG%vJo z0eHPI@{HU8*mNIcX3o7^gx$G2gq;PvtMV0SHQR65I(x@n2hf6HcJM+T7tNcOpSf^e zbL@2htr%v9tr%wSym{~?6KK}-{zcG2JkVN}6Z|eeUMqqIhuJ}A7lCE3qsY2+UhBN< z+nc}wT1d=}SV+wIyHvjO!@(C!h954zGd$M(jFIzm2`_Am+)IDZB8=wWvUQ3Ox3M7H z23i^laT^OeY?%%F!B<SMl~1rUc$~X!EI{)%?>lW&oV!hIF28eZ{w>>CqQX+Aj%g_8 zk4_d9Bx6B~09hOlzGCW@0bltGnxkg#<Waf!y7L%#MTM*3NysT-EROsxA3@_`(DlA7 zU|T_RC>qY)Ha4Jjk-ud@`{O|CeLX-6rugCE{FL)(XO4;nlD(j75kNcUG`f9MbUKeW zKWFc(QPFTQyli+Flv~a>KW7BpxdxJSQIT-uclp^_qap)YV-&!74ZH&{q=b$0b7zc7 z0C$N>!1rsA88C_CE-EshjOo}ttpv0e|H47=_HxDxod*y8WbM^C3zBTEQIP<xm(E}T zt(OMft^)EIcx1ox1!TGOLssy5V$gJ10BAX}V|NWJ19%oNr1_UboqDe;w#Cu`;DuE# zx(t^efUkZC;os(>5&+uO3SxwGx~PCBEJ0-^gL5|`3^|;;MQkAH6tt5T7KSE>Fnk8t zZ0p#30JLTrlq5iFra{|kIUo_l(VU{f!w#MPLYW-#c&P~L4ZL&gjxl8bO~)VVym<LF zXd)NXEAr_40P-JbxiqMA<l5~6S}y&v`Im%C=ef@NbqZjg)*u#3Kj*yP>&gQTB~T7J z=h2y?65-RiL<Q7R1})0YaNyr|3^aKHo^vpK>(KJu5qt?4Xyx>!)&q|GQxCaxUIee4 zzT)`(isLp$m)3`+C9bWPK#QtD!K>=gU7`}v>xLf2hkM<4z-!b&OQ#RIaGvJhcDnH= zXptlTl*5kS4*ut#dO+cZBgehY!!8_`OB4;?LS!BIryS+qcA9_N0auP|uAEoCU*$Zi zc-BSnDR{e#BmcHz-><g5;BNs9O@WdEc-0eVz7G^aPTlae(-I&tP%y#PPJ?bpm+|P% zQHiKi@Ac(y?8aC=EdfpvA-b^D(=wpLQoDUrWcas%*2qbK(mQ1BbmK#iM>>5}WI9<? zAgiZYJiA*|Kr7F>;mfBbK{NN=dsM&`edi<CVxgUjA&Z6H@qt!P?*VJ_=zR8a6CVQu z%JdL;f&w%s4qpBf0FI*<r<Z`HV!%5wU^bOld3NsuThbe%;sLWJ2x?6`*c$L6S<uoT z57_!?r=|b?zh(tZOL>4NfIPb)M{Xe21%Zrw>B`5z;NEQlDnlGEzXJs-DDgOT%UE1~ z4JyCt>>y>A38FxJ=EC{S5nNc?uwQ=8dB5`qDBb^r?BoD%v-tX2(WCRh%QL(T4B%q8 z6KU^<OXs)F`!1bd!Mb1vh;g1Tm4t2dcwfQ?JJ}Evo3Bg3&cIqOAA>jpTrMBRX4A!Y zU{P2#;9~d<R5+QaaGvgrQDFh6mJ(LZdz~gK-7G52pBW8Lu3+SMIo-(v+KUa^x(1#- z2dBYq7L^t7P1eF27+VgMV%uiz+1sK5svSYO7_`sLw|9#QsG0<cgNi{=8!iIG0hM?l zPDVHA&OA_3JHh#ZzeNqS?DDva3b=3wMF%9^+kjS@gH}~*fW#o_9<tJ0qO(Lr2U{r! zD%mx_Ngh%Pf@&BYP~itUv{(bg0G(B=1IlEWr66cs0wf`bSb{3GSD;8By+G^+on&{Y z`4M|(hzdvNDF}n7`2jQN3QNc|NoS7=c<!Tj4R|YrPcQ3~wUA|kNV8hdV;4a~wxB>| z$YKh8ad07M>DUp0<{A|r2GCZ>4Dc#;kLDlj{B1fs3=By3DS^s;P!A8J8Pqe2=;rY3 z4rM@E9fh=%9X$VtvI08+z5+X?`KJV~rR)hPE3i{QAq<+YOaL)bKogga-Ta>2V%RN( zPUj%4pRa&hTGRYf7MG<JD3;cAyQoxj`>51_HiUozy#f~KHSj<O&DVAIfVU)qhHXJy zpI%n+HIP7eK(u_Z1-j1yc%ZvL)@nL{!}~etC|IPrt_9qs0;MhRG+;M`Z|tHHu!6Bv zyc@C&)7V8NWCbJmEECW?0|RL5O!FhgZXXp1MbH&D3f(0tGMyzVDy`p2^g$(=0_R6X z&;~6P#fz_HKmsbD>|COv(EN}Q7QNt<(8<y5qM`zx2Up;40i9MrFxEkhX4DM~7VrqL z!4vBiC=p--ifoHc7ZnQ-!=}?k#RgxjgBOdUBsBrJr6PD@T>!;W5#((^pja1x#kvSI z)?HK#I(<}3K>PCze0o`pR)HdW4|ts={`iiW2aWGN;6q&)N(H(h`=i0JK9vJCm$iUr zSukr#&|X?kSWVdrSqB8G$GYY~s>}WCNY!O8WWMHQ9Xn`kG`nv%8>rC;I^KuzpkwDL z*x^CV4<BfraPQ_3aPAHfVCg*Qc=;u0sl05RvtzFpsO8H6Zux??3b=5db?hwyt+h7* z?G@<!4r=Lsgmf@k|AS5weE(V<)X@e_JiioTXJ7zrP=xm~T0uJ$T{_QpUUTU@-}$-u zF+1o|p4<E`pE=K!3LbpRWC-e_aQ*{V;-xvwKV(6Bc0g`0fxF?EBj-mXH+;fo!MTI) zm^!aFKW7K^F8=eoTz4@%2TJ26D&QT8EG4X**E&s9nm;ibp8Uq5!pQG(suN3P1zM5< zI{gPU76!^z5};;I=Q+-6{4Ito3=F=#UM!$V*@$jV-|krCbE)7RYiJGR*sWs>S%wPQ z=A_}+U1Q7wZhPy1vV?kX7>8rGj4)zX!(&d+mIsaIW1x^W2JO89RZsled{jWUX={KO zI-Nc$I>?KloV!h!Izh)iZ2{K+&fOx6;8^UO0<IbAbRm&x0}n{h)`>k}wMfBvt#b=l zd3O(3rW?ZR1lKuJz(Sy|<oD+1jE0w*pRq$#gO1$gclp&>qrwAn5@_5D)GYyRFzY;c z`8{-@t#h{t<K=hFKV&<%fOmhxoTLMH(o@dAoom2lo@4VNP`^L`ylE3s&OuAR?jEq_ z&SMbkL3SN{!31h`f?~b-8MvbbRpX+P!0!UuwFlaX(7X(kU29Z4Kr{FWpamaD*IgJM zc%eQUyfVuNQXoSrz|VZBSrc?34rtXXzKRdLUJ0c%a)6gcE};0vv4_h6r8IH@m3$7J zE-DTn2IvGS7sqaPP{jw|@Y8t?vT+QYf4gOjK(>JPy7=_Ev0Q!&$~@A&ejMF4T(Io> zobz{Y9Z$E7)#c}$e?d!6K|OoW*3;&v;CudFcIK#nmkB~jaUHSFgWyH17LMIE0+-)| zVy;HTrcN1TstnvzNMZ#S;4DVaej;cAqeZumiVds=wSdOaDM*(ew6T&MbeVmpi;4oj z%eBrB6&0Ue)*DMeQM3m<It+>>1yC#%Aj($511}n9{`=nyIT`^{jDl)ja4}j0n(aoa zJY7^OK#37l5`hvUs7{C1oGvOg&}tL3@ED{7)F=We2c230QvMB63Nw~~6o3Rl3c71l zBtW%k_Zo1a4?SqA^OYiKnWsSKX>k8tq(lQ$sS0r3;{3<?Qt_iAsFf_Dc=0v(<RTHs zs#5__HUd?zBH-#(fWJi&G>%3nZGks1prkDWP^^Pv$plZ@GC)aNCZHr`(CMOL0AiSQ zx~Q1YC~eIF4@kq4*BX^Cpm9z}!h$ENI&d;YPEdD2&IKo?<KPa?!M9AHb--)Dr=uNw z55A!dbOLwh9u?5QsZTHKvPF=T0~)mkjbejFA3>v#9~c-IP|}a%ba46s4W~oW4@d_% z{eWk|k<t%jX(whiT#Vdd?VSQ%+6t?NnWuuP;Sw8AH4W<Kf=;afEgFJ#CqGa7_aEAi zoC5CgA~u-xK={xdCZIt=q*Z~g-SWQOY^cZQA=lrInx~z+WgrzesH5>3GWNpXcNw%_ zveyYzi^KNy-h}M<a{|>Z4B%S)1E}W-tHr@x&-X7kf%a7(4yJ<S22jQT9ZUksFraR- z1-PPq5j*wY|Cc`KTX+H>s%t>Y>`GLi=U5p{{rCUnj?X9?VIZwqh-%0r0?6zHh}n!F z4Zptp{|{<afP(Vno`3)UV^;c|cRR0j-UprX#SR|m1C4Wj;5=6<eDE#P#rKeQ#dolg zrTL&<7^q<Z3KsZg;G35pa2|H-1qBOu-!gbJFrwuG4wf4SUoe5KzW5rlE$bV<%QeHp zpm97IMAPDOrwo}*ixZp|d^^ALw*)f7S{E)V5#1c1R(FO+w*gY0=kUSbtOp;14`u}S zal3WoKqFz0@>-+2Mh>Zcq1x-r(apk!XkI+v1dnqzALi+1k%N}l`1`abOrTT+8axDz z>@h-87px<T5saWdG6zx(4j$P9ZOvu}kLiJmS<sR_P>h2{=s|2yj6-hL2KSdh!}RQv z!N=WzWSN_nfLkTZ9=*DI=7Dm0jS6PJ7Buz`>Y6fu4`>d3@oW;b)eY*}BK2yQf@Y_Y zGP;XOfN$puP#`11S^z1m>DH;mVJVe5waqCi6`%;HVFyJ3sM>@@0Ei8WfC^9-j{zP5 zZ4<!}0Fnho0O&L#Py`syg+u^m9~V6WQYXSA0HvGjkGAm}QUGA@=K6NNBde#Y1ge9P zd%ED-R|Qi0f_l2Bom*efbU38;1to+Gk8T{10cjc`@6aDQ9bC{sC!iD{!VU^+a9aX& zUjukEqyTE%clCo0Xft4LPEj!d#k%WkP^{zV?4m~eOYV>V|2uZG`*!mo_cxIb!NIaS zU6$D0>5QeU%}*Ii^_zdl5!{!~d8_#mBY!JDxVHw{iVj-8&k5R*p5fCigfzzr-<!w+ zI<uVtc^kTk3St*J3#g5yhIJRZjS6^_&;+&#orQlJk4h(x3aGONT67P-!UQ~6?c8kw z+LI0{O+8@y(q%hCRD3`)T%f)AHt=ospgvlSiU;(J3ef&^P+98J?E>9l1RWFvFTsZl zx<jU!d_Y5WkajibJb{jxpvbFH(Ezol1CZN8o}DLN==Fg+K01(&j|R9gl!wvrkpSfj zf(0aa3>2k-$^mbn@_+&lN9TtF)Z&95V8H`wmx3-3;{Y*0_lEJ{8~-8D<H9-Wht}ij zc2RNY_EB+x^;tk$SwKBs@G&r;-ik{nq@)4`>=bY>)T5VIX9gpKPcN(N3`h_sfLfiP zPAPIIzc}3k4&oF@5GR0x*aWS=0vX5!<xEI{58)fTs7OEu`#=M{#x5!{(7`^?Vqym5 zqWqhSN(H390xGh>{goDQSE?H_P6_fCV`qp;L8p&ON$W}e7IsDk2F?@B4;euMpdPe~ zO2Nxds0}~Zw#Q!7c3f8XzyB{ken4r*!M0RE&P^;)f$q_<2PrN3grXGEwg%Ndpyni~ zSqG|r48ZNP7knTMEniSH;Bdy{u7Ceu+N16Whr|)gY*18y%!W26H-R)v`uYEV^Cxgn z9CuME0V(ZvQ7M7$L@EFc`*1sg_bj;@{(sHmYWN>K$#>kf^PfxS2N%vC{4Ly|2?k>7 z3(!dwr~{%3-7!XxGc8o$8A1o?L>JH?NDk78F1J9PV^BU&0C$$Rxu}3H>`(#CV1QaX z_=X~|4UEDpM+}T&T8<bP1zC<cFbYa5$i<CFCuBGik}*K%R(tgF3Qq;)h&|xJOi-o( zb)u0o1*quR+zIZDffnXMdSjrT1vq!OqYZ_-s8|qH0D)^Ylmf^A9^Qx^GNzXiJ!FuV zvGkCU!@CxF&I8&M2RFaFP2{^n<XIpm>B!c}f?D1>NGIt$<$MQfb=%0pPSODlfObMo zc?OMDf^UAE0`4Vy^zxpZ3@%zuO@;&{sHX}FNQ^#hZU;CNvGi$mKudLzn!1pD2P!l` z9b|CAhX@(Fs93CEES2hpob+kzqGGdx5xgrKq!3z`fS8Vo$6Q<gmvFms{&Q43=BRl5 zHM6VXf0xc5-!Hhdekei8H_S-c1#|%d5z*HI-YpMy7NUg(*(w8##5v%@5<&S!8*~y9 zdOWTH8vw6MZgoxptLNVaF}V{$fw-Xa3_Br5FVZw-!3%RAfe+%l&^bi~vO1Ud`$R~T zYA}K}g7ttG>7wV2>22Ux1uYqd<PDHwaNcM~>%g=?&aNk196`3yV}vj0lm~eDf)0Gd z6TTp|DB%lI4+>C_L?^@o5Emp2+B5`SjMV}@>i|?zQ9b8?)~h1r9PrB7Uf%u*knjbK z+=H?VNELecnzw?(7qpxg622hC;P8#c=%0WVw{^FufGA@~Xs=)d6}}9m!rd(@prvre zEh?a;cHon$Q1ZxeN5x~{JmUEMx@+q{{uWc>x*Etk?BMg6knMEP7S$T?j=JWb5<cCK z0Imb)3G~y!=76{4!Q%{c^Z;ll9w?GPo2sGbXoI*QVbE?J(3lZMWZ^s@2vp1wIxq}c z%z@UDB1INxv8+cg@2fsYWPuhZgCYy03N5l;)=7bu%IyKCBT(0(^PO+EF9R*Q7fhh; z1yiXZaor2>Fc5!h>~Qa3Tzmnp^TFPQ4c?=5GL(Bk(FpEjxTv6YGETlY*$8d{qjWNk zjdn6r9I%Bf){e&EZcuB0cS|=Um=i#YZ9%PD<gD@{sR10!C>@OsYIihT4Znf=8sHMJ zMCdrAmjP`gfQE^nM^b=0Pv8!Ri%LoB0sa=ys5a+8P)c%9DS`Am3LHC+y#%e1L0QxV zUMmDz)Ft83`3%x4_)+)o|I3PxD7^wm7YS6Kfw~c(kwQ>YA9`BUagc_!sQnJ;xdR}R z!N+YvPm7uZQabl5n%SUk3CwH|8)P=Li;@k}u=N|72GG)Hm<A9VH2M!(%nb2>IY>`9 zdS3-prNH!n*dRTisuZG!8KkEfv%BJI_|2vBL-Pm5Ru`3m5^l(WoUVr7UNgIPes}5o z;R0F&B}_>l1?kjDoJ)1kPMxG)9|dMPqK|@Jsl})u`Y50yFERTl*zy(DeoA%+C_};e zDUgl~a-Q<+Jo$pV7Ti|A(ocz@Vm}4uZA3o>)7yxC3dq}}^iwFSz`>I$(4Gpo7647K zfLgSm89k3)UfFhV=_J<<2~0>|1+pInIWS)wtOkc9mcEKD4f-m_U0c6_`YPWY6=Cf# zP{slERes>gHXOud8%S3Ily#AMo6x=rBqG;<`yTMNG<salLG7!6+GU_l38*0kDl|y! zt57F)LA@1}*oF32+*={h3hIJ@>K%|e<Y)!uk>{1*n8ngxnL>;H3M`C49SuBT3@S@e z+P)z5pfCnWkl0_LP8fswA}C=D?X%o&hJ-Pw8v+VrkUI1*Zms}_F_u0{3dMaEq`U&_ z*MD>Te$BP@JAaGiNbIw~iabz%1SP_t{T8DpNQ6Q9EiK@CKhPrV<uP7*^;=j#{T7x| zBjWlkkYzaht%<|E<AQzN5pvhXr<XOO0Th!pDjJ|gM<`tv-_C<C_)5WTYLu?a4bYZV zq=7XT6$v87w_H?))%*zdu*K}W`1G=})q|S{-|HX&od8;+gVA|eS_}?ol+McxP;HD9 z(2yoS1+ydr(s{8i`uG2(0tuZLE|7*uqB<}43;+Fp*+D|*WgSSv64E*^RUkcHq;+20 zKzgdk?7SQT#XI2<O&1kx6D*LnL5>Pyf(5<tg>hv5;Oe|!&smt=7oT3%HPxUj1?j$k zauxbC3#bJ1DF8PcAl(<9ZpdP;<{#{3d8oTPEM9{8(1d#8dHMhTzvTOk*7*hL1hs~- z^u%pJ8a&ZYKL)R)K<|kQ<wK5ABRJ8FZJq}f?SrxZbnqP$XazcCss~d0BKM$tdRcE* zf{VyIm5}%Z^`JoUi80$#p9hXiNDm4WnIM&*$P_`2Ovtp(YaOJ>gb2an2yH}TW-eq0 zHE50pq@)|7nuK{Fr1m4xeXTU&a`~|8Yr#_js1t>n5<tBzpI+9R<&Y!*>TQ9N07xNn z!xEIGD|5i90Mgq6r2>#*EU5rA4)t0eB^7{#Kv4|JsUS9J$O+WrgbX=N$R;WWLS}kE z#-Mb6LA@&Y^aQA@H6*%b@F)TGxlp47)H(C%Wwk4VL<y*K28t4pLi8wkmIaOyNaqX` zB_PFEq69R|hZZFuAy8O?q6EYSMF}V<AyM)-^WT3`W}lF9B1TW{9o2hsRGf9fITK4+ z&l?`Spq?CR^n$u_KE15zC6MR^b>%?O3sQ(4z3iFb=mi~|!%zx}UXWr?^k$)!yP%UP z&{vI@Sb|oBgSHBL^iF}?MG3tn>S7vb#W;Tp+ok{iL26*D#zCgMJbDqb?itKEauKb@ z1&P8E4Tud&G@uzhNTNBB{_p?GlK&u6QMX)|n1k$rxJ5Lr3~@h$8`LS)7eSh!TdsRe zoy!;*URr})!fFm<Pe1{VVZk2FZzMcAKYMom+h+-y^X<I<!Yu`~{Bt*G4>|*X3qK<R z!?9-2InNBZ4(~_WHU;e`q29fV^|sva7g~>(M8obJz<K75iwblx462a=h{H{4AoqT` zsEBy<?gqszXuMzGbujqu1negS!TWQlhGKOmlA#=imtIIFgLbI9;W{fA6i%SCf=_|& zrRZ!1g`r3D5s7HWxWf}BOh659D`<FMOBxOD8Wj%6^b!wRc&|*PAiNRxZv?n>9(L?J z@7ejyv-7V<@A?QCHU^K*@155=Pq}m+^z3}`LLuSb|K=ZzC1t+7OMl3)F*r8w^^j#_ zU?`CW=SvsOpNuy>z-J%1bpCqrC<S~(m5b)@|A&1#pSyJa^XdH5dGp1tB(N!^2A+*a z6Fm7CKzG(|OaAvCbXhZ0m1E}(kLDvBh+QHtL%|siSqPL^CQO*%alB1{6;xD!?t$9} zKD4Is*bf#42E$8+Cttox1Rqj^l%qkpSpafzsYf^Z#ih_IHek16yMT`U=#H^qalHJ( z5p>$YV@8keX`q?DZYdYT!`(qFE}XYIuYbSp$UpTU=Vis;&5s%RryMZ+-+7bsq67cb z<1Pv(T==)0aP2(h$nmSh(y{pgqhs@DMpw?mE{gYCFM*=erPD`+r$oK=cB!%>|F%Oe zoabE?Z-NC~6mKa0=yXxxc+Ck{2)cK&v+V#Y14Flq3QuR-5mvCb!Dl};9$NvrXc=@j zB*^bC7R7<`%n^ZTXh=Z<!K1qkblOliM`vFF8v{eP150OH321<|w@$;O@mK^KB;*`- zq%bfryu61IevaXeVU8ie0nh@`8k#{(<3X0~762U<%-_<<04fkOKpf}^W!)Yu-!Hau zltlUT?gkwx1Uh?9FagvYbKrnpqz>K({-P%ilxmK6fUcYe`E4@jz*)p0M;@KWUw9_{ z`)~LS<VPRSv9S+ca3ucw|60PMyBTyK);9*mQa2BXEidfi@n#K>3qeIuz<=<u<sjF? z(p{Wm{NZm7ER3aANG^^C`LY|$#kXQnT`c-Fhmql>=l}ozLHhYy3jY89k7R~4HZ!K7 zo3S#Vk>Mrl|NsBN`4g0AVO5X~G{g_bf<qiMh{V9(a+?Vh;-DM17(BYWLE+Ht$kKV} z`z2*TaNcy>^&jM*LyY{bpx%+I;cf7`&jXP9%BOobsA-0@G33y}U#tfoF*|nKh^vAc z(wYYy!RG7*O@&{6YWR(R@p;fu_zd8Q@?uLz<s5Mqgg}XgM|U$goe6Y%urxnp{C=tP z#P<t|7gjKqaDs=t3{Sph>O8@D!nNaY=c(_PS`U@7cAj(WJn7PT(uMOTXesKA5=qX3 zE}gf&UvlBRrFh8k`$ZSdbBfo#UvupI<kEVvL<$<o$63Hbj~>0IHQ9^|FF_YL5~wm^ z`MX=hpu5I^<?^e`?>oWwyn?2kH!`{!UTVEis`c#<Bmb0xt=~ZB)EwkE*!rzR+<|}U zv2TYM9r>pm;5g{0@WIjGfGhvD3;f#-x^!OqehnN#jypgh-Fl3_buR-0gW@k&!;{|* zF@l3;Kd784DZzEh8d~Yotz*$0V!?9xE%<f^kM3qrxEX732e5Eng@!Je2io^>;rj)} z7ohW>82Mc<c3y4%$_NSu2Fn+vES(oSuXCOUg+6#2MC*@IR>#hhou@i4x^P}}={(`W zd7(s%^PnT=Cr8CY-!D0GUUXHw==lAjYv&1<)`R>lji7Cf;H!c>x+jDB21uy{x_t<m zLb_Rux<iauF2A_^s`&vU=#C)7y{6q@$Aj+572pm8T}dQT!Un#fQ~*5|OH>3vS=(_3 z=!#-j!;>#=#o*1upfnH4!~gz(^DrnsK${be@v(;|_;j~{jth0{X6S5F03Q|rD)Cf6 z({{bE>VktEQs}+Rk3_F7K<yDwS+~y?n(cg|z$dGL4p{N&HRZ|#9hn9?=uHJ84qCYm zK5^}ZFhl@!wi-l$2_o<VG%f(H+~QuYkHxSLoZlToK}9TDeOw`rULQ}00N148`dC5% zTpwpB;H-~>z-7!ajQUtB8f*%<KAsQ&sgKoS2-L?%V?d=3miidC`)4SjyZ=Hs*k-W% zEtJ9T?@-3+{z;&8(242(tSGQ4VD}#ghqym2nt=ORak<~)c-sn4y#<Qy-hH6x^k_Ub zff<@W=3yj|fNt=O@*dsjH_D^uW)X{S7Ymlo>zChO1}!+_Uwo>Fuk}C)7wmMn*Seht zn?EwP{)7mD%GuXatq1t09_(ZRt%_$U;o&&QzwKnFiwa9859m0e&b9~^a6^Elvn>WR zY16w8l-fKRk2!$u?d^O3%119|hyD8>foK8*5ZU(WriFVsAPpCm&Nd!M!v)%2`+(%$ z*P$rx1+_Oo)t`cA=Xa0pHc&Cr?ZE=7GL!|r9bzou2F;g%x-<;0BMlF<p5&i;z_Ys_ zbh2f4APcBaRyK6_c8sxv6I}Wko_x(|c(U~pC{#~%UI*V@#L;;Ud|(?-i3Io(Wsc6{ z->-8XSN#6{66Zz5pP=@cW4FJJZ?`*^U8>-v@s8al5{}&=641r*GIjFc`_63`5ckYJ z=ls`vn8&f(Mgp`vzWEtD=%k|(6@ktWP@gPDg#)x(O#*aZhrmA2u~NH01bDlm<4#a= z1Xr^@o!_$<gI~Oi0Ovgc(CK83o$V6r;6xzf*x4=vIwGZaKj_S2&&J~n;9?D|-KX=P zZ|8>>{UKnT3ek?B<_)+(7ywIu9^HMQ3fluxUUoAWGj_75cyv#L2=;*r(QZ!`ZZGhL zuM$?y6P+xOM0f&p6iVM2aEV%%;L&(&1IQJi)Cacug;WS~ga-Sd80pw;<p^p=cXK)_ z{_J*SaWy>P*m>BI^KyxZE9XteZYj>o+>DAh92E~cDjo!_HNO4*x?{H!3+M6X=lso& z_`w6s9^Gx=77vHw&u#}6&I_Q11Lt**?rC7rC>Cxh#ovlI6mL3q9_T#f!g<Q2^MDKI zjS^8#P@}??^R%Pl;qMn6IZwDM-T+x~pmSOU2k4&rwi?j!HIRmJ0tcuF0Q)HVWq3He z-1g~i16^tXu3t1bL5I<R>KE|l1bFcwzzNc8c=9EGFkBxv@Fz@|fV;fhp^9E!E(ijb zbl~zbLJeGAu292SUM7Wu+NGG~rBx`{6mWT|kpU?$&B6$jmsi8UDF>-b1}#!>yZ?p; zy89mlf^7!7zd{r2{u7!w-M=UdyZfs`z@~uRACV7ne_1F2_luJ5{vX=t?pHu^{|p_l z`(NnbbpNAJ?C#$cjBtNP8N~hDLI}7&G?e7<m(WLde?kD*W^nlL&<DGp!vLrIm2kNK z7bF#e!+%FD#Qoob3AleMq~swb{a6^GyMKW{!u>al!0y*D#_9f~5bWV^h2(yPK8X9x z2)X}iFv;N`VT$hl2Yv|m|1br+-ve}0cnQ|=lSRSU-CqSsh2ZcHm<n-!SrCEn7bV^O z6&C33S3q*VgeBPh8J0N1|4|Tj_wRzFLa_TA=0M!PEs%iwLxV^T{~6Zk?oaSVgujIi z*!>+gINh&=!~MS?sSxb`7i%Ey{}w>N{Zj);cK;4LboVdtLAXD{9_;=V_Bh?26o@_i zt&rR=u?6COGeYja8bGr9Z#bg6|A9Bc{S{7N_n&aW>Hb9l*xg?RNrm9>_t*__f0;jl z@E0ZB{XbmL-LHV;{u!=d_rGw(>HbIl*xkPik_y4@uQ&v8|297Y?ho}RIs7F&(A}Tl zg$Vy09$@!#c;a-w5)SwOf}}#Q`yX6_xc{3k0ryY!Bia2H-stXM;E8bm4R5gfHGFWo zKgkb!_*)^lU*HzR{bq#Rf7O?u`#l=pfO;pMonJgV|L(H`-xBovg^bs~|DMfzz=!-Z z@VDf1fG)6x?B#Sk)}jKsuZY31+ef9uv%5qE`L2e`h+}ZT=Z(5_^T;(ndZ2j`d~LK3 z<Vxuk44^BeUF1NG@&ZTD&DGFbq!XamR{N-=ICl4_fR@LArp7?)(y$#30o^>(ZK4mh z0(_;kg-7Qe70_U}M<?XuRhRA<eU{E1$RT!@Uw3|lY;ysf3=Cn}fUE*-74+<01Kv=G zWYvX(KUhJRJRf&a0k3cI?R@0YUBb!$w$JhMJD1K96$2O0sf&yt`#|F>pu=w~x<go5 zI%`x6I(xud0x!RUUFB=y+Py~wG$HNM`SajU(8-T3j6WSa&on=L;HY`t)$knb(r3@^ zU7%qrP(KlTo{&>Fi@HnaPw<7X8jgmLi>3E~kHmKB&QS;5`mEu?c?hHge#5SgYxgS9 z4F)cqFCd4MyD+|R>^ulQ!~Uq_!Jn*-2Ol!~bYA!EZUToG=-7DBV4rh03x`YROR!xM z5WAeab2vbDNL+@SF5_tU&4u%pWAigcP%tQfM)X2dR7yA;Ij?~QKyC*of^HWTh2{s0 zoEN}nqJl2YVgSVwXigWT2E6X*n~O>UBj_Fh(6S|;?hus<@cq4@V}cn#*D-<^9=*;i zpaY0O%P%~7OIbX7qgXt9YgAY~dmUL^x=U0nT)JaaY+PHvfmDIkUwCwG0pIynBJSFH zyHp6~Rtd-E$BZw9K?l6SViq*h4N8}w6N15Zb-wcGyxT1UI<~doGU)V21CX0RD+oYW zB7mIGZNq*Ubd(}!xew?(X3#jH0qA%%5W@uIGjPm-Oa=vSw+IvHR%HeT2JnUC8r?Q* zm*0cV{0Ax5fawDtTnyQ5)x8CL^_3%Ngc6#LK*vN$_;wxwB{onvx^%mkGB{p-0lDqn zM@0gjfn-4TgO}og0u{8M3vxm8PjD_#FuVcsD9AV6GMa`TKr5k2R1`p|=d}wr<T?~b z&Ksa}v|?0LKt{n1N)ZGl4Dd-Qpo_LaUI!Tj3Tvls89|sy9w1e(;U@SPUU2060htGM z0L>?Xk54RNci}t$I#3a0J~(^1g0A`oo%+%I0CLka$lc(xs=*=o%|*q45u7$a8*CwV z?@<AH0(5T{C_F%Z1tlPG+5tI=q1#7AquWJAr}LZ(=eN!h6^YJ6py{s=6`2xgPSByd z5}+mZoSz*PFMq$tdBRcguuEr*3g`@VneP``T~s9aTb6=WXuvLC2VLC_NhdAfJHJ48 zHT$R(fb)t2=%QwD%79(=>;N7G?+j4^-?t6D>2nIWeCl=)Wq_pzP_%+?c?L}@L$7Fd zQ9-@s8MOKYlsQ2T0F^V2-7G@g@MHm5{nITX3<_ij2V(UU@Lj{85Qg6NJq285ICg`s zSB4ZP5#1u<AZ;!x5fD8gD&XtA13=a}ce5~pA`|4q07O)aFhX<$faG35vVI8YgeM13 z`NsibWq_`70WBv4pYIs}8YPMV?Is3!WFKhqa~Fs(Jn+Ka9lXjIan7eaf15HW%s~|s zsE`2Pz^nke`xwLn-DU?`9p%}(2Yhc3XjOp1%cCF-$DuU>OsQx06!2xz-A*i^vqW0C zAXh3|z>I)gsSK&tuwPf~qhirrqGAI&#txKCQ@&pWjk779=Y&=x380V#r(K6{$D!w_ z8@v{5h2DN_0xDiWgQCYlE(aw>gKifUlh%_Z!iXE1L1p91v!J0{*a7L?MJ(M`oZk&E zHUIx#8ru2|Bn~pA#Pzs~3V4MXcwF$c71A;?=)zls*SCPZ4vGK;@D$Grch`UaU+(+& z|9^J~=%iW~6>u>F9&Id9(EyJ(vU~J~s7SmPY`p{ujt~_YP?Z3(`8X4Z?2b{9=nhel z>Ac5zuCqi%0Xi0`QX&SvFhc>9gA{*!zsz|{5p*mP=*AD|Y1Jh~pcOcv2nC<E*y*F< z0l&7{2RSA^3@^Rta|F$uxN3l=SOq+~9UMRm_Tvtqd-E7z?GMoGNyhj8|2;cfz|9no z#v>r(5wq`yL1)y1ZXN>Ny9+85JVDnAfv#`9u>pF0^NSWo(B);2<+mW|4A1~?XNgM1 z{)UY(H3?uf;47TLH5JSwi0hj{2V>hnn?o)r%^{=(G~f~p_5Pt6P|<|AU|9o_rF1~; z5lGVqa&it*dj!cmaO9zzCxN_r27L2_i;4`$JV^Vd1-*TPWFEM#KsQeT**s7>?Q~I5 z@#u|FF#v7MPypp=3D6N%8v8)Qz3}^X@4v`){`cRvcaI8aO#>){T0n250$+s+IaBh* zbf<s+K~Zl24)hw80_gS3pv!Qpo#0muf$k#{1D(p@qT&I*Zs<GsnxTg;wmX4V$hxS2 zPn!Z|^%LOfgc=nG#LWAPOCUv^?_X5e|ND=KRG;n=l?u=VShGDS0e~YHTsk8n7xfNi zLa_@jT+u@>0y*>|Ah8<*iVecC3od)n%?m&_F92d*2*^A_u?yMu$pD%YMY-X7j|ylp zGs08|A7pR~^vIhz;ET9GEAT)nLGc{`ith;Y_^t+Jl3vvNmA5;<Z;|rpu2CuQ0o^`! z@5KTKc+`S+)qy5|Tn$fx8dac73c7E*SM-etsKfE_#T5ro6t}2=E?osV9}&xYz+y-d z{Su_K^Zkp7wkQ$Z`R&DgTWB1&sDPT(5OZ3<r6d0~_@&Aqsm>M^(DG9Jk=+75^cG|a zYW(a0iz5dnXz4a2FhPgnf+C7g#6ylU!DcpSIRmoUAU?!wP~?Nm2GOA83tkgJ)jP%J zsH_0huDw0r;sX?EAlpH8Imn0TNudCgrFyr3!y1<BySIS*U!YS&7uq3G1tdZA+o2?g z1&r{^lR*groNC^A^s?R%Vqoy-Jmk~)@Woy`NU{h3RZ0w?1NNt=Kr;Ux6_6NGvbY3N z3d;Z1$jJh9`%lG-Hfwmo0MEsNO@b#3*p<m3sm>M^&@xj(2?Mm~6I<kg#E~Np#D_%Q z74Xq6u&yrd@*c7a9-G;q?Ip-&gZL1$&p^#4oG?I(Yp|IO5=S;0#D|!D1k_yVoue`X znlL~zpo9Uk9h5LYK15F#;h=ojyF~>Qps<9|`TfNN8+d~702LFU;=jfQB}stpLw7Yi z=?hwn_a9P1oDzVP5SwfuNdYwH4e|n_gqQ=q-5ik=Kv%~d0jchM|02f{v>w7m#R1et z_35oqNkGhB8Xf?71eA=xN$)1eJD~FC#dMp0|3MiI)O`nKG;oQ5HKT#&J+YLwAaUgI z1o0u^xd$3opzb=zY*2?0TfGlC*#qQJ^uh;p#2~WSAU?!w&;cMGy>q~Ke}hVLkPOI+ zAlvtWP72)xA`B0J0%)@}q)t{~0ZsmaCk}l&|Gju)1rY{K-9wMg21V0VD|j?{^ooKO zzk$pJU1|uesJrKYPn+}Tya&p*Y@qyh9C1}VD6T*YVL)dNgKkPe#1*KZkN~Ofy#L~W zImB+ztUGv3%FEkdq1OV!rXweS?*|0k_6PDqyg4XxcY{)dN3W@@8)&i13oD2qsJ8Lw zHJuR*65J^dx*t%$3alJ-&v*38%U0mIPQ?76N8=mN5^nJQfPFdO`vKb$!1n{5gpJ>v zbnHYvO4U*Gq;t28gfnPr-KF#7<@cb&nSaXFnL2{!*G*u@exC$gBjMQV%;VTC!*KaA z=jF~D%@3H7@09BN`dS=xx;1m>MaV5wpxZh+|8-vO{0mkKyZ?#vbg3xpnyJ?%T*qC& zS&ZRzzGL%GIncS<&K!>2@N>0KUVgxN9AYoxTy3}&;A6G_U3>=`m|%t-4P^*kXI7%Z z0luw+r-TjMbLIf8>jaPMG=BhfjyODfqgjr-sPKT2r(^dt6VUZ|7a^g<c(L>R!T+o- zy()h}vduLr9H9I0K#S}7Tke4h6WFRNm(B;^tKSYjXVv`GdGRu6tU&;Dft+J^4l4ur zV11G1Uov%Cj-WN8;Jex&*C|{CUrphnBH-A3gvYttMHO^M9;n+Y0y<`wzd1!kgdfyf z12@E-yG@uukpnVa!>L<@84^jIAu2kcyYVmr40M9F2K3ZdiOUZ-LERhh{eqw<b5YS~ zPEpZe=5JvJ4Jm=TA>i&Fs4HyoQWPWxy3_%5b)5s)dpWEOpgST?bzZ#u7Nn>G<Ui1u z6{x~<?G6E5koT(jmyAp2<<56?Do~%6Ag;)J#`z8;$m7@@!^&{^u?y#ApU&gWkNG=q ze7~Uh0o>g){I-JMaUUqKLAT<4YrPG+`p%{EWAjHw*Uk@)-!C|BXLM;jSeonF`puDl z>Tyt*!fbLu*z|z&DAXnwRtC_ec!yj#uQosBZ~n{AKjnbqw?q8=Qx7T}bmTbRdD?~J zbBUbcx8}e64*XM&@oziKzwM+e$9GrG58tnGo>M&LqIe9{@OJR*`~e!pDRAW9cKrLb z)(`wGo}g+3mI6Tgwkw<vcj5_vM$kYZ1G^JXrqe}51e5@LRIpu$2TcGWs<0dJM365M z;_nQB>??qsm&xDhqap%Yu?)EpkH@pS?FBOf1MHeQ{+1-rI-l-+pppvIJ?4PjEwJ1K zvQy*~C#VIo52Ojy)!}%ZjM6O!cN#(6aPR>~0^rDbvD*~X#YM5G#MTpGi3Q9OC#WT< zsFr~GQ=rDr3nR0C|6gixf)*XSs93yI;ACKM?$!aP?YE%oUO@L|{*>$d*ZCS&5Xc}3 zpvRoAAq9a6=-z>Updo-#?&imgkmHL=%^jP6%GW4B$}N=g<0wQMxcoTI3A!VQztxqQ zfuZ?3qhsfPP%Z=S7lFp44(OIQ&_z2Oh?s=j@+Q++qQV1;Nf%H|q9i*|&gDSP%AhRB z13I)Gl$Ck-;W^m3TZ9Rcdtp9Aaq2OoTnoNJfVue@V`qtq2CQ%c<#~@@-mmtc9Y-}P z7NAa!26DalVx9?jfXW7PSg=L&4`%*0ebCAwl$<W$*xd&z@;tkzfr_=x6Ob`?#uJ^; z1*f23f%dZD=LgoPC_s1Tfj39X;JObD?PRCs6cq(fFsSf5c7tmr&+dJoS_#QgoIAAS z>STKf9S;Z%qXeWdN&%gJY6l6U3{V&)poCGCF*uBJAYqgN4kOSi0pzV%kVCRPjD1uD z3=e!e$Oygyz{l7{MFf0Gqf6@#{ua;;mBa)jboc`)ASK`dDbxHDXFy6IojntxBGa6r zA^{3W8QKP908&7Pc=Ymi*g^s_0u+z|FD1YO7cMFsFB4cni?P~34GrJ!K5&%6uNOjX zW?(t7U9R(5=iNGa$6nBx<vQ>)%b#-Ib?hw$-7aDXx?RNK+cBn6?&haVkQ0?lZJK|` z6Fj#aTyAsT0-w6V-wG<~nm;i*c3$%ZP04hJs5o?YfzrND_at!IN3@FJ=eR?+W;u47 zXn|+Xz!f_O;v{z-P^78%hH^M|+Xx}9#(*5S&VhQAJ4!Ji13J6?HSFAWIhg-V;QoKc z`59UUfX-`&lmQoCL*_O#z&--6#{-oEKE14Otszlk0UF^#EeEC<fYUfiIq(p)FdDTS z0F`<U-5sFt@aUcZ4iEa21yGAYiN~XR2RQK{ET*hHfE>M3qLP3TUMW7ktXr)h;gtaj zFVr$2O&=UyC}qMOP-_S!yg)a$;VBb7fRi47O9|*IYa&Y6p;9owLNov+L_>UfS+gx6 zAsPV+(EyZERzwdRqA`$CHUeDA{sy(4Q9{%JtqD_Nh}5z$fVC`MXhWJW+~Dhz;LR6< zmkYoD{|}nLF?e|iJR*Y9O5tzW1{Q=iheWqoBlfFKgPI81HsaY0HPLj6H6z1I-|r}U zWucotL9HSIaGMFzDq5=Z@BhoKsE3-ksDP&)K}x|F3PUF|sz6HD{X{brJQD*_3cf-^ z0%EE^Na;(^zRg}%c56lk@C<}!_cTz04sqR6AD9ch?FrQ7Lz+oIY0rVTt%B@8cKK`V zfB#=Hqo1gO)#V33N;&?bbYW5Yo1nfwxW75i1++D;d!Gd>XkG8<ee~d)Kt}JQ$9W$; zXnR5@-uvhoSV!-pAH9!0W02oRe*m;W2;)9_@BlyJK6*`6Nc9D~j~=9n`1|Os)xiC4 zkS171AABD@*pl85#C`OKR3O$s@1qB4099D<`{*~RVcbU#G6Shz0dYs~qo=`r^q|@i zG-w7I#|F*ygQ`i;74)ED5HzY50pfs4JP>E}K6>zleW0t+K|^Uiy{s(ykY*<4y?vm8 zB~YM(@1r+V2CpF<y^o%!Tg2${BTmpM&*a}n->wS@bj-W@Py&6AB0SJhF6tY-j~)^M zL*qXBMcSaq#&Ja-N_?M@hsHOF_tAr@BUt^#-vYaj9#rFijx_?UQ-t;Qe#t`WG1z_d zAf2G|i9l*b@1q~RkDdW``TNMdkN&edD7)gmkAAugc<=?WPL-X%ZS+2R(7X=a?xXim z14R+u`{+MPflq}4EqY)m73hX6m;m1*HF_WYPn<W05WK}=sNY8~rUFSh;9Uc~J>a!^ zKD|BQ1(3)Km0oO=0H+_wX|AC31JVIbKe+Fs2UVtsY8ZAOJ**lAt&M_J!^L8tY8Y}K zJxCX*3I@wxf{axrOCa4x4>ADJk?a94aqEPRP=W>tkwz#7_kHwd#fZL-ei2A1DfiJA zf;5cYN3SyS?xQak0bhp>>du0$LkHc)3%VFvM*)=6iMfwHOa$8M9=(sgGeo6^<ooEq z34tR3w4M+Y0iY@u6akCnAQ6Ds#|5o31P?6uc7DiW41IA`2p$0_-Q3ap=m(~Q3tA{g z<bCwN1kmoI-zo!&bsU{t)QBIwkN#d~iOOKQkN$@gDDuEpMf#v$6?x*tJbrM;2j#w% z(fjB_REWEeevTyQ8UfZ`Nk|Z5zmMLU4;;iOH?0im`{-}+{3G^0`t=~Cq})ee2hu>? zee}T~rKH?PuLROCdLMmfj0)neNAyd*bCB+O1YORKvc8rbeSIxEczrEo0}IN1^vz<R z909o~1=JM-?Hxhxih=H<SLO!y#z6a~Aia6ecqO<uHhLd@FR!I2xM;Bwg#;w1rwR&4 z&{jR<fP8V66C8?I`n2F9-;fp$K`t2?y^kJp5&gj3N1rYXiBixR2B0VfohpDFrJ%gQ z&H;{9@P2UcNEb*kIB&G0El!4PD+DbMByf!n<m3R*rUA@LL}H9sF2C-a13pgxeu)Tr z(Xa-*UlhK^_Esn4`~foWcRUO^O$K~iWw(iZcZfX8<#(4~HU9)%aqi5~t;3EutMV!5 zyXM0@-8S-|qbfnWSJ*-8ydm2V;j3?_fcJNL^z!}}goH2X@CZ=&g7$KuhwpMWaQK3@ zdqK(`kYaH7j^0Pl;7G^&=%WN6kp<c~2#PGw<{Grf8oiJHd#8;G>MCivb}+Er?(Ea) zq7neA@<UWWi{2;mfua%I$-r_Sy(Kfa1&q?k7`=}^goOL(jd?+>0bUheNHAl+kNy%P zIG9m78pGp0`V5ADq}@kv4$?r}ee^sarKH?PfBXO6|1U|rkA68w4~h5D7lZVS-bc^T zjd`CW)$XIe%?Zj-uzm`pBZFG~oP1IJ@9+QSJ>V1TAZ-PBKV|ejdeHuaUfx~~aOu>? z0SQb<Uj=e7336b*F#HP+Ni2Pp(fjDZ_t%5k(xCIN2GxD^+u0z|3hIJ@&H%vdubg}l z{u>;#So$lY_t9Tye!vdd5d`V6AZ-eQ_gTDIAz=*ahJeBtv(Iw!#pj>kFvikn8NH95 z>U|bign{}aC=td2+Rom~yNDSQVUT{y=za7Ob!u4mcMfRB1^dm;$XyqoUe+6opqRvU zAAQ}ozyF(SR8YDuqxaE=s5oE`Tdeodmob2w2k8uufX03wz35kPK%;bCz*i@sj9`Km z1c3J1SAfT{T2w%MV;2>P6^y0g-7Vl{@Ww7GGAkIt$I*h0iD3W@c!H*}K{{LwzkPF2 zsbB<8RF?=HhpZq2E!XXCQ31_yclW4()OLankmw9iDd==jDQP{x-vU~a!+8*N=8lU> z3FK_y0>{o{FF}WxqU<09Z&3y9Ae8Xvd<I$fv-<O2((a?L0%;)bK6-zUQc~`tmjh`a z@jm)jpZ@-TN#cF<+dz7%M(?91qw|72XJNgMUgj_8a1coM1$6uq`ZNpZ^6EVw!OaH9 zGz;h|U1;}(y!+_yefUe-ee`QV8i>1(zUjl?|3nOAL(l6*8pW<rF@PTsVFDVzR_%4e z)@>xX5)yQV38-wK@&G9OPGr#R&B0epNMqJtK&P_zvU>jpor1~h^BWSMpdJ(`K0)eH zdQk7*gCi5tg91e+NM-X6_A-j@qvw48_dlqZ1RePYDkewoqi3LXN&t1DP*Vc*K6=le zkR$-=ZGn;iNFj0(0A=ZyZ^5Ym(%S;10+3=XsQ`48BiiNXAR$l`gDyV@u|bPeK|M}L zRmJd@s2qrIDk;Z^<?`FkHK<cb=p#6gqetOWNv|lIO2T>k1!yXX&~Z5I@XQJ7bD>5F z=)h2)Ue<NrAyESAoPnYQq!2wy!rp+R1kyPJMF~hTmM8(8;E5I`AR$<kfY_iY0Ue|b ziIUvce@E}5XFd3o89Gy_-yNclG*d`?^n!YFsL>1R%K7xNUjGV-UQkyK6ulsY=+RsL z3LL$lgF=bAj~=xC8@6g3avwct!8d5*7U=X$*otw-7k~eQT9>f<=s~(bu@6#1(S7t5 zFaIL$qX(G^cMJGFdWc&@uYG4=fH`H`bBI%5_tAqidGwlY{>}iukA69f4L_O@%s`xP zfqq|iK`i=xDFsjd!td)Ahy&l3k`RaUz7(UEfB$=8xnGm(IoK5NeJ=&v{NVe#*<Sp` ze_!{~7k~f5u2PN#-8L9Y@V>YS3Fz+M@EB|}_`WiOM6mlC5^=h}=mmE7dp!f20(Sob zA&C3ko)d8Y)8{0+e?v05`#(Ga+YEMpKnmFX3qXTM*zfz=^c=hUyPkqg0lWW#B*gt~ z&j`3*m2~%CNJDqO0h0R*(!uUOkd8C_KRv_l{!@@t2zEb%BE<d2o)U0>>NAqV|3fCa z`wJd|Lk=AN6SBbWe~^XK{YE(4&xPcE0}Y7#*$BCR=~I&3FOZAw{tXWh?%$9Lc0WTN zPWKl*#UB1%kX!@~{{(%A``w-p2>+)~NOr$L0lND?+()?oLIK$Q3WYe`zv&5f_jf^3 zA=v#B%pmS>drZLns-(L=pcvi#21xGzPz-jzLkUjze|n7F{ih(Q5bXW~wh;Fpdqlwf zsgFqx|AI1f_ZQqlgug&J*!>CRINfiA!~I-H?*HHdaX%X&_b+`!vim1gqPu^?U4;7$ zs=)4VsKV*~qDR=n-wTq9z~Qgp1989GLjvLd^dZUa-%x|@{ttH$?hmL1yMI9~PWNwm zh~52NkW>hEe?TzA{cR5jxL=iY_g|<-cfSFW`wJSt?my6g)BT?wV0Zs1NGb%ozaa|Z z{$uwExIgs)$>IN@3Elk#w-Mn#p&9J{2hBL$Z-m4BTuAQUkO*-<8zJ{Ey-%|H1=`Tv zzu^|b{TtfA?q_Jn>Hebo*u&ool8eCM{~!b6ez$uB!vE<#lHG66iSGUnHxcf?&<S?G zLKjZ=Z@P!w{auh$2zI|f0mS`ncL}&(m2~$9^q{-n0LlFydcf{?=*8*&Pj|7q{}dz@ zg5B>>4srjnI|SUHdY9zzFX%^if58nz_zO$`yFXz9PWKz(a6cE4`wQwI?q?(9{-t+F zcK?J)=<eTe9pQe1$zb<4OvdT{qC42b-wTq9z~R5372<xk+XTY@>1~qTzhNr6`#)Sm zxIbVT*!>Hp;dK9|+t}UT1xbZq_h0CNxWDZd0r#tt?*0oi(A{r<<o<%0VD}%GiPQa` zZee%-DM%^=yPshS#Qn!^5^#U&Et13k!)$c-7hFYz|AaYU_dl3})BQ#`+|PyNeuLQ% z_p=dl|I(WzyI){Fy8Aa=LAZaze6af&7T|P$(M{~(?*++4;P6ja1aZIH4Fci+^ajc9 zH&}%3{tuTC?!T}I?0$vCINiVL26p#%K~f>u{S#I~+~0PcfcsTRcYnZAboUz|x&Omb zu=^dB;dK9}>)73Y3X%%J?mw^r;{IdT2)IA>I?3T*umauv1(y)vFR&8q{)Ck{-EV}$ z{ai@y|F9k6el|kxUwVyX_fJ@j?*0uI5$-ow19pGI8l3Jgx`sXcy&$;=9R3RXA?|m( zN+A57UM1Q68`hz_|HB1@`vca4-M?TxPWNxRirxKPkW>hEf50(_``fM%aK9?)?!T}R z-Tekg?l0H`cK?A*INks03U>FOf}}#Q`y0+e+<)vc0r#g~Avyd%Y(aN_!FfdZPuL1} z|AVbK-EV}${ai@y-*5%uel|kxUwWBj_Y3SmcmIZS2={N;0d_ybPMq#9x{N*iy&$;= z9R3e(L)`Cni9q;2y+pG64R)iu|HD~?`!DPUyI)}sPWNxRgx&pJkW>hEzrbUN``a!O zaK9?)?hn|9?tTL#_kY+2cE7`ZobLa05xe_OK~f>u{SL1n?mu>cfcsM~k{td82hrVM za0U_n0*AovPdJ3r{YE(4&xPdvf{zgQvk`Lt(hDTJf5H)T_is3jaKFJ(u=^X1;&gw} z1?=JP1<6I=@L%u);(oXD1j7I6d6M0~;W)bcKb%6iKi~w|{R>XubpNLF*xla+Nrhnd zU-%Dkf7>|%?pGz<{TEK5yWarG{ROAN?muuEr~5yh!|wi5kW>hEKLfh}c>d_vSpx1) zJx6l*e>jWo{(_T;@SkuF?EVMmaJt_Jhx@sZ+;6}KaX%X&_b)w5vik)tqPu^?355GM zTm-wH;Sx^w7oEi({$7w=1P=cMQHcB9&JYOyr)NlZzrhuB_kTE!aQ}rXVD~Fr#p(V{ zXRy1!3z7=K?w=q7aev!s0`6BO-TeXA(cN!=<o*xW!R~jsfz$n;PGfifDM%^=yZ?YP z#Qn!k5paL%X_CXg;1;_33yvYeU*I;_{Ry{my59(g`?-+Z|3M4lel|kxUwVpU_fNQs z?*0u&5$-p*2X=qMJ)G_@I)y#_y&$;=9R3PM5cj*CBoO{jPm=8Z4G+-W|KSM2{Q(cb z?qBc_r~5aZ#P0qsNGb%oKfn^={<aeY+^<Ty`!763cfSFW`wO0c-GAT-PWOL0f!+P5 zAgK`S{ssq#`;Q$b;QrJTB!~ZpXXx%PIE)DY3D3dqfAAcq`;Bn8p9{(T8{8r8XCvhP zrN>EjzrZVW_is3aaQ}u^VD~e;#_9f|<JiOB3zCb#;s3x7;(oVd1j7I6F_PVH@D|<u z9}Xhif8j0I{R;1Jx_{F#?C$S^q(ZR!1;QZiZ#zoB{i>w9Ki~tp`wfuX|KS7J{SF^- zy8qKr?Cw7WNrhndJH$fVf9wbW_op5uIs6Mgqr1Q003!SazJT4I@CB#)jc~Z13(5Tj zDG>Lw5pw_1BP6?j!Z&pHZ`hA;zrlB~`y0OFbbrwi?BVYP$wlDsUyu!PzuRE~;s5k7 z$?o6q6W#qE_95IK@C)qz1;22*f74;??(c%6La_TU6hYkIc8GxcRY`aMg+J)-H$ZZK z!C$cZ5B$aH{!fRnyZ;m<6@uN*Pz7=Sv4aHMpL&So@c-~1-Tei75#c|9ft|szdG7-T zb_NFQ>#vM(xStEj{RWK?_p=dl|I&jbyI+8rox!8?d*`*zQ!bqcJv(2#*surT{te7v z_cO5Ibbrx7?BVYP$wlDsPw0TS-|YZ_@PB%MWcM4ep}YUXZiM?Uuz}sLz>d@Xn+{-i ze-|Vbg55u%AL9PD{RG^vO1k?4IMLm2faLxUoM87maN%_Sr~TO7e+rTc!R|jW4dVV| z`v|x{bwA1BU%-Rz{(@bI@E70(yFY;!r~8d?xStEj{U7E++|NeH{Y&?e?EVS-=<eUJ z6XAXX0kHcU1aP{)Xdm|Q_k!diaQG`Mg}C2sFM;rXx|d}4ZxBLv|A!q2_Xh}r-M>H> zr~5bU#qRztNGb%oKVS{S{cU>)xL=iY_g@f2cfSFW`wPUt?mr-g)BT_JV0Zs1NGb%o zzhN`P{l|6_aDVC^lEeRl1iJeRwj;uSf+X1e4<vEA-w22MxscqyVHd>xY=qpubT`TF z7mz`B|AuV{_ivB^yPrW8r~8X`V-J5XNG<}0|AT`N_q**P5dKehk?ej0d35)G*otuf z1$nUh6%=r~f734P?(c%6La_S<PD0$@wv&MSRY`Y$fD*d<4UpXbK?&@B2W6b@|Fjdk z`%ghqA=v#67a;CGwu6BCQ+JXa{spS&?l0Ja2!8=Ju=^9#aJt_Jhx@sZ++T1V;(j(l z?q9ltWcN?dKzIL!%?S4!XoB6}po!D{MLV#EzZWDIfx~~nJ&60=wi5{dr`t(({|0Sz z_kY-gaDRXf*!>H1aJqlfcI@u&f}}#Q`!76&xW8>10r#tt?*0pU=<YW_a({t7*!>4U zx3yz$KY!YW-TkK^sSxabhPM#+AKOa6{i)kX4*w5^=<YArhzS1)Mqu|pFv1!BMmXHh zh2(yNFA(>$5pw_1tt7i&z!cs68#W-^zrhsjeg-p~?l0PkJ^Z~Oxd<Ho3BMukciTcB z{GV<i+5H9<=<ffp9^w8A7GU=)SmJd5rY+dr-vvp9VE0d85(Lj5wQVNgepS-lA7G8{ zegh=;f3OC--@yi_`#){Q?*3DdR0wwe0Zxefk8L90{?yGRhkt<`y88>(A;Mq49_;=E zdz|h!!r^`{B=>(1fViKHko%WzBH8^D9MRpsVJ*V_22No2H#p&Rf6*rF;qL{>Md0vP zkbt<~Z6ks3f4Y%m_iu1PcmIbq2=@oLg5AHs6{q_*ZN%>WE=Vc_yFWl4;{LV`1l+Gm zy8AD<qr2Y#$^8W$VD}&J!0G-^8?d|o6eJab-QS=FasRRP1l*sxf#mT2;Dzq~g4Kxd zpWqF4{{wHF?l;2Wel8^UZ_tIfpN)|Fm#!z-{Q`dI?%%Kq;r<PNVD~fl<8*(~dhFrv z1<6I=@PA+ealhL-0^$F39m(!D2t;@Phm{ETUkC)dUm*ym`!}t_?*1-FDg?V<zy{*} zwzUM@uS&Z6147W<Z-C_f4<TUpJA~qN|EIOs-G2&_3c>DoaE7@5*ct-vPhCrL_!oqu zyT4!sBK!p+!0u0o!0CP?9PZ~ra({sr#Qki9+`n`U$?l&Jh3@_h%MtE3hz7gAAsVOq zi`HNde=kTb0*C*CK#2R@Ruc&Sr>jYJ|Ats}_kUQ1aDPA?*!>IQaJqlfYV7Xsf}}#Q z`!7U5+~2l}fcsTRcmIV1boUz|xxXM0?EV9ZINkqg6?XTZf}}#Q`xz1-?mxDYfcsNd zksSUXlF{8?uoMyg6H>tLe~^OH{YE(4&xPcEgLH`d*$BCR=}MB_FOZJz{tZhI?%$9O zc0WS~PWKnB#2)@$kX!@~|Aah<``uO$2>+)mNOr$L7P|XCEJnEhLKfKl3fVZ_zi9<_ z_jf^3A=v#BN+IrVTTa0Js-(L=AQ#>J21xGzkPCLdLmp1|e_D>+{ih(Q5bXW~H4yh7 zTSmbBsmn<Y|AGQ^_ZKWegug%`*!>BGINfiA!~I-H?*GsXaX%X&_b**Wvim0#qq~2@ zLWKJbO2F=KD8cFeqGi~_-wTq9z~QgZ4ROEQQUc-sbScU1-%y6`{tpWf?hhyjyMIAB zPWNwGirxKPkW>hEf50S&``eZfaK9?)?!Qop?tTL#_ZL)w-G878r~5xG!S4Q3kW>hE zf5S|O`;RRq;QrJlB!~Zp8g%y;%twU(gj%rsAJpP>zYz}ib0N8Z!vcu=*$BCR>0*-I zFVKMQ{tfdG?%&V=c0WTSPWKlr#vcA&kX!@~{|Cz<?sr>6ApD;$BH8^0&FJp`Fc;ze z3(a8nE41Kr|E5LQ-QNXCg<$s!tcSS2Z6N{otCH^ifHrjZ8z8y=LmSxr4xkHRaEyO0 z#P0r6kW>hEzr!|&`;RRk;QrKwB!_=NC%XF!<{-jfpbPB&gf5)nZ-m4BTuAOO*b8w# z8zJ{ET|lz?C-k7Zf5U8q`we=*?r-SD>HeYx*u&ool8eCMzu*YO{ciIKg#Xj|B)fk@ zKf3!r%tE+7U;^0v3nt)n|EBra-QNXCg<$tzI1O=s+dKm9S0&y37bc;*-vG(|1(U(< zKQI}m`#;UY?*3DdR0wuI!)1v3kIg0E{?vIShyRDE=<Y9=i3tA*)4=Y3Fb${sjc~Z1 z3(5Tkw;=9kBjo<2b4hl;z)W=aZ<v8_|Av`h_cP4G>Hea**u&ool8eCMpYRakez!RU z!vE<UlHG4G2i^T2rX$>cVGh{+3UhI~f72Z7?(c%6La_TMynwjBZ8ib-tCH^ifcfa| zH$Za#hxuUlJ1oHI{!g>9yZ;m<6@uM=;623s$7T_5f9h<K!@pn=y88>JA;Mo^G1&bH zi*dT&2#5Q*klg>_8^rx=gxtS$7Rm0PuoT_>8>S-MZ?FvP{)S~Z-Cr~dd-!`nauGQE z75+io?>3V__&=RVvimozKzIL#DG2umtOUD%!AhL&-!v1u`@0~i5bXW{Rw2mz*9-#g zS0&y37gnRY-vG(|1#7_WKd=U;`#;UV?*3DdR0wu|0}sUg$EFi-f9ed9!~erNboUob zMuh)_^<eiuSdY{FMmXHhh2;JX!Vvef5pw_1=_I>fU=zCgH%vmff5RrQ`x!Rlbbrxw z?BVYP$wlDse;@^MzuPnd;s10R$?iAUithdo6A|veuoding>5+9ziAqF_jf^3A=v!_ zN)Y$AO(o!dRnpxbumj!w21xGzumkLVhn+ax|7j|A_n(5KLa_TCG$HOkHidxuQ>T&~ z{sp_y-Cr;P5&i;u!0u1jgVX&+INZ;L<o*H!i2K<Hxqs;tlHEUHAG-TD^dsDFupjLH zhW$9*Uo-`K_<KQe5jgx8m_yv}Hkm;9Kb=gn`!^g!cmIbzg!=;yf!)905Ki}RnvC83 zU651=cK-!Ci2K_n5pcgM>F&R91l|1xNbWB<3U>d2qd49FX%cq#pMs=9u=^R@Anrdl zk%0SCCy^ZfAC9BDzn~Wp{u54s-T&YOPWKz(a6cE4`we^{?q?(9{-qO1cE7-BboX!Q zLAZazX|Vel&fs)^(M0Uw?*++4;P6iffw<po0)g;<I)P;O8=OOT|A%gb`!AdWyI<iv zPWNw`fZhFFkW>hE|Ac6W``h{nxL=iY_Xk`=cfSFW`#)R+yWimwPWON6$L{`9kW>hE z|A8ck`;YYzaDQq)$>Cpc1>OAxU5M}(xC(ZE!d0B^H^Sk5E+qGV$b`6`jgb47_L1!V z3D?oxzo8T1euEoe_cz?Y>HeZV?BVYP$wlDsS15$I->sKG_&@C>+5H=Cp}YS>2g3aU zx54gTa2u!lH}zt7e-|Vbg54ib0dap@4*~b9lJ5QschTK%faLyydtmn;xQEmIpL(#n z{}dz@g5BRx4{`soZUXL4?IAh*KRiHpe?dDU{3kpFyZ^yMobETm;eIY8_it!}xSx%X z`<Hf;?0$hK=<eUphH(FeCt&w8JjLn$qHgTr?*++4;P8LY3vs_&7lH79+C{Sa4W6UB z|3fRn{TH5t-LLQhr~5Z`VRwHQBo%_)FEAD2{<cm6?pGz<{Q<Ag-EV;8{tvIf?ss^N z)BT@1vAh2iBo%_)?=T1A{$m{k+@IP>a`+d#MR$Ke3nKgl-hthp@D8W@jc~Z13(5Tj ziy`i3Bjo<29VEMd!UuHsZ)iri-{2$I{S6;+y1%Fcd-!`nauGQE7p#J~->scM_&;qY z+5H<nqr3k@6T<xgU%>8P@CB#)H??DTe-|Vbg57^%BgFk}Z3Nt}O1k?md_#A?0h0R* zzJuL=;5$zDe`>?-{!@@t2zEciPKf)DwGwcDY8%Pn|KTUP`wJQo;XmOQ*!>TF;dH+d z4)=2*x!>Rb#Qki9+`qJyWcLgFMR)&(288=J`~|z8;U7--7qwy!e=kTb0*8OXaftif zS_p*y(-xB5Z@|ETwtx9UJ;MDL7&t)tuN4?MaP7a|)PmjpU651=cK?KP5cjt=6L7yO z>Fy6;Mt8pflKVd}gWd1Ig46w<nz6h86eJab-GAUJ#Qn#b2)IABndI;<U_*C*K^-Fe z1=zvvPhiLCej^<2=R$J-hdU7Wvk`Lt(k7DKKY<h7{Tpf#?l<59yT5@8r~8YVu!p}F zBo~3hU*QSF{ceo}!vAR_$?o64gYNzhH3;_y@PggHfETCxH#K5+e-|Vbg54kR8sh%8 z1_JI^CEfiO_|e^OfaLxH0kHcI2;g-8rv~iqKLtsJVD~qCg1G-!JpuQpHjo_tAB51| zUr>z*{|Uli_dgKE>3$;|?&m^s|AwCs_p=dl|I&Jr-7g@9?*0u`2={Lg1G}F=9H;w> z>amBv7bF*f!~X$;FnIpRt&TwWKdmF#{RWcg?*CAUaQ_8Ku=^FHaJqj}9d`G3K~f>u z{Q?{i_qWv&aK9?)?hlYbcfSFW`#;El-R~fa)BT@nvAh2iBo%_)@4ydn|FIeZ?oX{H zIs6Oc(cNEAfe3#A1+e=Q6mYuV2#5Q*klbG&25~<dA@?t>A=&*Cl+fM3p&a3U17)!L z8<cUnzo-U#_<KQe5jgx8$U@xjR!t!MpH`FX{tc?=?*CARaDRXr*!>ICaJqj}HFo!R zK~f>u{TEaq?r*Ci;C@xo-G4y?-Tekg?k~^;yZ?YDPWOMR!tVZ4kW>hEKZ6d${l_W^ zxIeXu<naHXjqd(}QbhPq&;h&ufeudh8{u$27n1u8j3Mr4Bjo<2l_a}gKp)-x8%hxF z-=Gh6KZ5~I_ZL-S4}UL6E&_*tf)&L5ZWRQ=|7iuu?l&+(cmIcCg!?ZTf!(iQjMM#_ zDzLl13z7=K?w{ZYaerGm0r#tt?*0H%boUz|x&MPH*!>P>INkrL9J~8ZK~f>u{RccC z?mt#W!2PM^B!_>21-knSiV)#1U<r1Af+bG(8{u$27n1uw_(R;!M#%k3%Sd+r1Z#Bn zZzx2#-@pd!{stSI?k_6C9{ygCTm%k(g>Z=b-AW0B|I<>E-M_&O-Tfa55bh7K2fKfP zJx=#;D#h;pE=Vc_yFVZf;{LW00`6BO-TfCF(cN!=<o*IDu=@`<;dK9}6723j1xbZq z_cx?M+<&Z?fcsNRNDluGF6izr$VY_#1Xr;8AGqRlzYz}ib0N8ZLk`6KY=qpuw3uY~ z3wWTre?uO^{Tn>M?q~4C>HeZ(?BVYP$wlDse^3l@zgrQ3@PArFvil9Z(cS+c7vcU3 z-eC7D_~3N^rXuX_?}DU4u=@q7A?|N0B;bBk(%m26hwgp@B=>*t1H0eBAE*026=HY) zDM%^=yWgP+;{Ia=1l*rmNOJfW1fsjYAO{it0zqK+Cj{YizYz}ib0N9EpcCSLHbU-S zT0pY<CxoE8e?vCH{RW|6_cw&%bbnC+_VD+D<RWnRFPH#vzgs?m@PC?5vimoLqr3k@ z7Q+1j5n%T(h`{OoP5Ic}-vvp9VE12`4sm~59s&2OlJ5QsQRwbBKyrUUG}!$IqH((a zQyzBrpMs=9u=^S2L)?EXmw@|I^GFW=53%U(FUUlM|AaWO`ya&NbiWY}_j4h+-(VTU z{cMEXzciO*_X{MVyMIFl!u=Z(!R}{B!s-5^T<qcR1<6I=@K0C^alcy*f$)EtL$dn~ zQqbN1AsylV3n^gtE2QFd|E3)5?(c%6La_TMY=OAHEt`P*RY`Y$Ksvhn4UpXbAsy^~ zhYXzV|CEj0{ih(Q5bXW~yCLpBmPNq*so5lle?b<y`wP+#;V+O4c7H-PPWKz(a6cE4 z`#&6lxSx%X`<G^s?EVS4=<eT;ig3R{9@za2c{tr)l!ZO~y&$;=9R3QYAntd|BoO{j zGf8&;h5~f=e@H>NKcEoo{so0N-M=XlyZgH!sSxb`fQu0Kw`CA;zbfhOzfg?segh=; z7nFeAf1m`X`#)u1cmF9!Dg?W~;ReM0$I=P7KQ)8o@c&ST?*4*gMEFlA2fP14IZpQ* z;c!0}lKVH@hq#}Oko%XWlk9$hDs=a6NJ6-OLlxNl4AnT@UzCnL{JkK#2ps+oo<ZF2 zmPR1_pQe%QeuG+c_kT!4xc@>e*!>E1INiS~4ZHihAgK`Set~xo_qU}IaK9?)?hj}{ zcfSFW`#&^*-S5zd)BT@PvAh2iBo%_)@9-7k{$nWw+@G3Ca`+cCqr1N#0TKQJEnxR2 zwBU5V5f1lrA-TWc55)a!gxtS0g=F_nXhV1ZhIoYg4cfu(Z)nHq{-PA@;qL{>Md0vX zz$^lp|4Jqh{!f!hcK?P>boYOVL%2Vn3+(;{T{zvpDH*%_yCA6$?EVW}5cjtw5pcgM z>F&SKgYJF<B=;Beg57_h7pMC_C1H2}DM%^=yPrV_;{Ica1l*sRL~{6l=tp;dK`bKt zCrkjl|G@;D?l;2Wel8^U8%RRj&qm1oOA|?UzrbX4_iu<nxPQZBu=^RN;B<dcBKGk2 zg5)A__$Mep-0zk^ApD;uknDbgY3T0%5RGvEg=t{-D@@1f{!Izk-QNXCg<$tjP=~m` zEuMh;RY`Y$z)W=a8z8y=!%VRI9cJNl|EGBD?mq=dg<$s|(1W=DSR4WOr^b^U{snW; z-Cq!e2!DaOVD~4?#p!+{9PZ~ra{mWYi2K<HxqoRK$?l&pAKm>MA`$L4SO9i^!vdV{ zFN(t+{$7w=1P*@%TZsGJVhM!*(^!(-zhM!&`#(e=+#j$Q?EVFdak_s~EOz&IK~f>u z{Q)iz_qW9maK9?)?!T}U-Tekg?k`vdcK?B8INkp#2D|%DK~f>u{SDp__aBQU;QrJY zlEeSQ3Uv1ugd@U#!b-6FAFRabej^<2=R$J-h9HRh*$BCRX*9|17g&St{taOW_itDO zc0a>fobE4*#vcA&kX!@~{|Au}_q#<A2>+*1B)i{WJ-Yipgd*I3VLjOW3L9{`e^V58 z_jf^3A=v!_i4ga<MG|noD(UVI*o5wW10?r<*aUXJ!)BcB{}hSc{ih(Q5bS=342b)W zMG$a*Y9z_wU$7P3{RJV2@E6zyc7MV)obETm;eIY8_ZQ?t+|NeH{YxWAcK?JO=<eST zjBvlfPO$qMcH(q@Q3Uqz_k!diaQH7MgSg)<oIv<L4JX<C8+N0+|3eVM{Q-Nx?q9G6 zr~5aBV|RZSBo%_)f1wuQ{<bgz?pGz<{TKG3yWarG{RR8M?mw^}r~5yJVR!#2NGb%o zpP?1v{$rs8+@Bgoa`=BZi0=M^Kt%XYI0Sb8gF`soZ-m4BTuAOW=z+MOjgb47hLY@l zfurc|-w=Rs|AwPr_cI*B>HeZn?BVYP$wlDsPnZmGzgq}_@P8UYvil8Apu7KrKf?VN zPJrF7a1y8cH-%t#e-|Vbg55u17R3E+!35l|O1k?4PNTcu0LlFyPJ`X=a0aLQKLulV z|0zf+1iSyhLWuj11rcz6YB0&+UvLiH{RMuA@E14_c7MWoobETm;eIY8_kUOcaX%X& z_b&}1+5HnPqPu^CFT(u>m%#3CxP;UFMM2oZ-wTq9z~Qg30pfnQKmy_aG>~NXZ@7Z) z{trF~_Xk`ByMMt|obKNgh~52NkW>hEf53K#``ZEtxL=iY_g}b<?tTL#_ZQp%yZ^uq zobLY=fZhG4AgK`S{)T-J_aE~o;QrJAlEeSQEp+!6cq77p!fmkoAKb?2ej^<2=R$J- zhNBSovk`LtQh$=&FK`dt{TsXx?%!|^?0$y(INe|5k3IaoAh`$}{twPT-0$W`ApD>D zk?ekhhv@GA;E8bmg@<7GD?GyK{!M<^-QNXCg<$s!T!Fa1&6j}tRY`Y$z!P-$8z8y= z!xOOk9iHNJ|0iGU?mq=dg<$tP+=jUSm=6K>r}~l{{sqs`-Cy8=2!DYWVD~4y!0CP? z9PZ~ra(}@ii2K<Hxqqn-$?l)<3f=u1+!5|Kcnx-c!)u)GFY>`2{$7w=1P=cNFCp%C z^Cl4fPrXTY|Ax2d?*HJ1aDTu%u=^Lh!|DD_-q_vW1xbZq_h0w`aetc^0r#tt?*0oO z(A{r<<o<$>VD}&Rh|~R_ys*3f6eJab-Oum?;{Icv1l*tMMRNFm_>AuU0#`)%Pxu0M z|AQ|$-EV}${ai@yH~0^6KN}(UFZCqZ{Q}?7-M_&F;r<QZ!R}}Hfz$m(p4h|R3zCb# z;h(@J3Yq`%AQ1jfJxF%H!7p_8e{e>)|H3b@`xSoUbpIv~?C$S^q(ZR!C-6et-{wxh z{i>w9Kj1IA`wfuX|KTs#{SN<dy8n|qcK4rxq(ZR!4~RhAf6R@5`%~RX4*voMPPF~k z1x|?Y7hvQB?Y~Z7<ixfA+6agHxscrdK^o$IHbU-S>PE8rCorSCe}f~!{RS*x_cyTM zbbpZ>_VD+D<RWnRD=0(U@8(J%{GYm#?EVdG=<fgEfN*~RJJ|gT*m1gllPh-jcR^Ai z*!=-o5cjva5OBXL>F&S4iSB*_B=;9^f!%+A3#a=(xnOtyDM%^=yT8E@;{IdK1l*tM zLUQ<j;6ZnPfjuJpC-8#Z|9}^#`;Bn8p9{(T8!RC1XCvhPrOqU~UqAre{Tu8M?%yB) zc0Yq4PWKl%V-J5XNG<}0{{wr7``w%fg#S|~lHG40jPCvqwg~rM5C*$nK?JA!H#uQ< ze-|Vbg559R4sm~*BLVlTlJ5QhF?9DEAi4j87})&|;yB&^$q~EzPeD>4*!>QE5ceN* zAmIK~N0P(8KoZ^k1vZHA7mxzGKS2to`;Bn8p9{(T1)&i4vk`LtQU{XVKS2iF{Tr+i z?l+JHyT3ser~8W>u!p}FBo~3he?bhy{ciRI!vCo~$?o4EkM8~tRtWb8D1hC+Kmn)w zH`!x%e-|Vbg57^18RGsnI|A-kCEfiOl+fL8faLxHWw84XDC2bhCp+x!KLtsJVD~d* zL)?GNmVo<H?MM#)531<yFR(;}{{%I#`yZ&`biWY}_j4h+-=GNMel|kxUusLT`vo-7 z-M_&C;r<PpVD~d<;dFnIE%xyDg5)A__$O3C-0x;XApD=&knDZ~9d!49Fh{umf)3dI z3c5JmzsUx>`@0~i5bXX54G{OYSrc%-D(UVI&_{Q_0h0Sa=!4zwV1U#8pRBRF{}dz@ zg57_h9pe6DRs`IiYE5$Z7Z{<tzrYL;{sP8e_a_+RbiWY}_j4h+|3e?d{cMEXztoCk z_fIfIcmD=cg!>K5!0vA_!|DDaE9~L#1<6I=@K=}yale}-f$)E7NwWJlSfIQAg9*a@ z0hVC*FR;Yv{!NzH-QNXCg<$sw%!RnW&4PgYRY`aM1#5Ko8z8yAzy|F812#C_|H%To z`%ghqA=v#5OCat)W=_EUsTL%M{|7sC_ZJu=!heE2*!>Ueak}3Khx@sZ+`nNp#Qki9 z+`rVEWcLd=p}T*B5yJf&oWSm9aK`EWB6IBF?*++4;P8L23F3Y?GXmlN)Qn{J8@Qso z|AQgI{TE!p?pJWb>HbY-*xla+Nrhnd3+#fpzs;0@`&CJIe}D(N`wfuX|G@+7eg{vS z?*C+p-TkK^sSxabhl3FJA2T7~{!~+v!@s~A-Tegyi0~Ki0lPoJ2dDduaJZif$^8W< zAns=)<o=~5B)fltAG-TD=p)>3;170xgFjC97nxuWe=kTb0*C*C^APvD850Qqr^Y0^ ze?uU;`#<O*+#e7GcK?DPobKObjNScRkW>hE|AlK1_qQ1laK9?)?!OR%?tTL#_ZNhM z-G3kyr~5w{VR!#2NGb%opWz<F{l^RmxIfj1<naFxj_&>fT}1d#hyc6)K?F|s8{u$2 z7n1u8o<iKuM#%k34M}#tKs37hH|QYTzabjzeufyF?k_UL9{ygCTm%mPgf|fPyBQD& z|EC5dyWb!V-Tfc55$?Yb2X?<gJWls-GQjTsE=Vc_yMMxGi2K|03AkUCboU1&qPyP! z$^9P^!R~iR!s-4``q<rn3X%%J?mzGg;{IcL1l*shPjdJdq@cUMKnoH60;yp4C#2$Z zzYz}ib0N9^1EUyt{)ml``<LpG?EVSq=<eU3iEzI`2H5=#893cvq=!BHy&$;=9R3QN z5cj+35(xjNx+J@QLl(OGKWHG_ACL`p|AK6s?%$+~-ThsVR0wu|fB?k(Z8`+puS&Z6 zFXW=T-vG(|1$kiiAIQV${!cpC-G2&_3c>Dg5Qn(`m^K0Tr|OU#{vQg^-Cv-N2>%I% zVD~>L#OZz`9PZ~ra{mT7i2K<HxqqoP$?g{@L3jTKHH7;&lz`pOP>R$2McUZI-wTq9 zz~TQu72<w3Edt^HREuQy8<eBF|AQ*R{TIr??pLV5>HbYx*xla+Nrhnd3+O`J-=;~x z{i>w9KcEWT{RT+x|4;>Xze6=n_kYsF?*3DdR0wvzg9*g_$217IKUI_D@Gq!EcYlEj zBK!sF!0u0|!|8q_9PZ~ra({s}#Qki9+`m+VWcN>KKzIKJWrX_;8o};wXvFFMA`R@} z?*++4;P7AI1aZHcI)U(is!p=|H#DQW|AP|3{Q)gt_b+I{>HbaX*xla+NrhndU+{#u zzfFyR`&CJI|AjVm_ZuL&zn~rL{sZke-Tz4qyZcW;QX$y=41o~$A5$gZ{!}%R!(U-P zy88<h5#fJeKiK^O2XMOI2#5Q*klcS_mN=ySL&*J0RY`Wg!y$C{Z%{zE|G^=!`wb4` zbbpa5_VD+D<RWnR|5yldzncny@PDd8vilQ`qPzctJi`49$H49nIEK^xn^ds7zYCHI z!S2^s32}d$G6DCilJ5S76X@<YKytsrNwE71PU3X`CuQvJKLtsJVE0FCfVlsd5&`$8 zDw7=k3r?fEzd#NV{tjor?w@c5r~8d?xStEj{T<sO?q?(9{-sJJyZ^vBboXzNMYuoV zJlOpk&f|1{krMXs_k!diaQN@o2XVifB7yLKsz|c?A6!It{|6a_`x`ES-GAW{PWNw8 z#P0qsNGb%o|HV;=``Z)<xL=iY_cL5YcfSFW`xjgVyZ^&gobLamfZhG4AgK`Seu=XX z_aBod;QmwvlEYu&2D<wTq!HnN;0D<J0ylBG-w22MxscrNaRuUjHbU-SDo?We9d4t$ ze}fdl{SR(~-EVLQr~8ZKv4_7GBo~3hzv4E;{cdsu!vCop$?i|MhwlClk_h)R+y}cq z;66_GZ<53A{w_!=1iOF5BZ&LkWC^%mm2~$vJVbZD0h0R_9)aCo@Cc{-KgnWu|0zf+ z1iSynONjfA$q;aVsw~Ojzu*bF`wJux;qUMi?EVQ)ak}3Khx@sZ+|Th5;(j(l?q4cH zvilD_M|b}QafJI5UVz=d;RR0j7s+4`e=kTb0*AlF4~YBSqzQ!oQ)!aj|KJt6`#*>w z+~4pT?EVX{ak_t#G<NrQK~f>u{Tcru?r)PK;C@xo-Oun2-Tekg?qBc@?EVk$aJv7K z6n6KYf}}#Q`)9C8fZNZ<Bnh}bRf^>BSNMqT{sK`%_#gNPcE7+UobETm;eIY8_n+W} zxSx%X`<F_R?0$zY=<eSjf^h$XFJSi@e8uVhB1!Dw?*++4;PC$;0&%~a1cC5>DnYXQ z6TYLn|AR2X{R}_A?hp8Z)BT$yu)Dttk_y4@*N}m@zfGKg`&CJIf5R_y_ZuL&U*R{{ z{RO{qy8n|ncK4rxq(ZR!Ba|WTKPE=N{i)(4hyQ}V=<Y8NLWIA=Kd}2J{KM&fBOLDM zLUMnH7R3E*gxtSWjAZv8VBkXAzpz0N;r;|hF3|pk4UAm4_AeBPVGn;VNG<}0{|-Zl z``ttdg#S}flHLD+8QuLK1Q70TU;(@T0t-&}ZxY4s{w_!=1iSx*1;qVrA_Uy8O1k?Q z*wNi@faLxK>|pnQV8`kHPa@dee+rTc!S0uEfVlsdFah_cijW-s3S8*!FW^Un{{b$r z`vtghy59(g`?-+Z@8J${KN}(UFBK-){SLh7?%%+NaQ_2du=@@8aJs)p7<>48L2?l| z{44w*?spR+5dKevNOpgM0J{4>@FLvLAP9DUfFMryZxX`p{w_!=1iODlD8&73f&|>J zO1k?Sgwfq^faHD!5wQCUL~y$QlOT5YpMs=9u={VsK-_;!fPni`1xXJ71!Cy#FW^Ch zzk@i~{S(A-y59(g`?-+Z&yfOgKN}(UFBKr!{Rbq`-M@hw;r;|Eu=_Vi;dFnI0QT_r zg5)A__*-N{-0#LuApD>5lkENnGU)FAz=d#sgDlwn7i4j|e-l4;_jf^3A=v#HMG*J5 z@ey#pD(UWLP(XLT0h0R{D1hDnK>?@xKk;FA|0zf+1iODmCB*&5cnP>am5=1`S5QWG ze*q^V{0}ID-7lbm)BQ#`+|PyN{u2!l_p=dl|59F(-S41=?*0uN2=_lw1H0cq9jE(? zc(I4S7bF*f!~aJ+#QknO1j7F*56SLN&_s9t2X=(}8MMIe575Ht{!KjC-QNXCg<$t< z^h4a=#!bNes-(NWK?mLa21xE#&;`4{Ko_U`KXGGs|0zf+1iL?C8pQp_xCppEl^aL+ z2YYmWZrFdFm4ShwM9^dZGDZdl9tH*m!*4H=*#7?SZg$XRU}%2u&!@XNK$C&Nv74j$ zAtUF(&Tf!+ce4vb*0I}z1u6~_@#x*HA;ZAn(Yskgmx00XlHti0sce7$d-U#>&}Cro z=-v!6Y8N8|1H(=b@xp@(EcrnitcO9DfdOhASgRI9>jxc#RwlSsUWnEm5Un?KP_@2i z1si+<Nh>p4>m^RGWCKL&1~jc(AX*n7X=Q<Hoet6J0nyrlrnM5HwE{^iD0m@$je%$t zfM`uX(`pCN8i1sg4Q{I<M5~27IG8NZv@%1qY9MK4hiiSt0ro2cM5_Rr))OqCm}byH zjcE@?Xq18^x|>-b(vIC8tWa@~h)3`46LMhpywHY5brlQPt{d8j_~L{+Bo^Y32@pL8 zv{B>B9-?&vl2$IbRwanm2#D4hXj)kyT04-ma>KPgX9qh;0iv}4P3uW!uxtX7R!|mz z#Mc^#))%s1PkNwf?Sp8wK+?(!x3v(Wbpu4J0-Dxfh*kk4t$c8;W)Q6v5UoG7P=iSV zqV<IqB82%tS_K#w7+(B_WS1W-U<Y47*18j<>cuT4uy+q2>6QoS24}^+5Zw+Ci&r4) zHaz)aJ`*UR%+NwhD6ldCB+=bG2O{m*?ZE?0C?FA!-rXD!LmRZ92_+EXkOCx!D8e0L z0&&O%NI4RL<`6N6Ru3etN^q?|Avs|NM5_Us*4vC=?<*i_RfcQb$NKj_wCwTd-JJk2 zfdlH`=$G>u|NftV3W8C~&tt6c@>7WsY?cJL*kovaz}VUBpa&{K9az8|7d=o6?KV&Z zQJXdNprK-orj4nySpuxhgXQ822>as8=7)@(-7*jZekgzqV1OC$iviVMmd@r6x*&T! zSPs5mf^ZJLWC9!U1ymmQ?mhrAqVezzXld=yc`y3qW(Kfd9m9e>n%{VMbbj{i{O7UX zf`NenRQeizd*Q_J_rFKCvq87FMHdT4hl`4XN9QA-&hIZW+5i6UX#T;-z~Iwctl`mk z_yHrxZ{YmoxT8aufx)NqyGQ4}7X?gz|L+3vcY+9rphxo&k7&oZmoCttb_@r(p!2iG zeoKVa$N&HNf2?@{69WU2Pj7L8Pw(yyCb$)@h6j8)zx#Cldm+H|7pjce@DkK|3oo$s zAnA{cFli>Z^on~h>1f9|$M~0Lq0WFuI><Fh;bDVtl+ph`|2?{!PcSerba!81U|>KE zm0&hdsCY1gLS^#-1_lO?#={28a92C-SkT4@3z%@mzyEiE_&Y%aYQShi;sxd)Q2028 z1P8z($R6RKh5!Ei_v~()az>KDv%7E38A%3@&h8(~3=AHf%^$$-*gb;*<ebGfAnpK_ zAK=jY@6-A0#U+NnAni*a+Sg!cH@vhHq``4Vh8!D%PbXN<Jcynx5IuWv>sg`A3Dc7W z(Q^c%=L~K=8d450J!TL+S0H-s;MS9&?hMnz1kv*ZqUQ~6Ju6JyV0zB|2M6I7h@L;V z^}KNOgz1?D(Zg~U9PB)2(SscxZW{hRFg<AyJt7c2GPw0*#Q4MXfYO_1cbf`Cj}C4< zD>8#%dj3I@gb75C4Q@Rz%0i)fJerSiL_5ZSbHo0BfBt{wm-`j$(fNC)D-)>P5!L<! zqD<dNGBCV|2W5fY-4&a-7+wVb`vYq6Z2+;||NZ$NeHf(EqxlVoN3ZEVkm^8?ismC6 zU<r_s9=+RlT<2o&=-uyeoQolgF^kc0Cj$cmgX0bm31;pBv0j9O?b%##8>D!51AfI? zAjQo`6g+x2Z@3B8umhq&z!6*_LQMzNjS$m+g51@+dBQEQ;tgl;I^_&VF~sx>H^3TR z;MXu4q@j0n0z|RGS-hs_ffPebcYwG(0>1`ZkcQsP43LnSfM2lyNHN581&C));MZ{f z@1Or3%|`?vaS4es(@)|I3@=haf!uljh2g*d|G}~K;xatWLqRnNN9S*k&aa?2YygL{ zN3Us!1SsX$f~Xf)fBpII(fO|P{tIo89lf?kK&IXYiT2t)0I{3@{(+io`RmXB(BLeF z0OZu+(aW3h|NnoFUeT$4L6m6-n6f<s(wh!4r}>D&{uEFPY3DDPN%<hVK<PUcoCd*( z6O<r9iEI>)hQMeDjE2By2#kinXb6mkz-R~%6#|Ul;Q^@9tRD_wR>xs5y#U5%_yFpr zGB7ywJ4^$uvJn6K|B~3>|6JmK{|ku!{SO+60_#H}U}70hLBo0s3=+>Ebj5QBt?>dv z-+<B`FCl!3R}lIIl%DY#!uNOsp?^T>6>lN@h<6a0<2{7l0i`oOK=>7(AoPSU5Zd7@ zg#G}f7kq>81HMCOh93}m1C&nq3E>O;g3t$`bVn>`^pAm|p&3HYSO}p#7C~r-6A;?K z7BnKtz>weyp#|I_w1F3do)8M5Kg2@l2k{U(APGXxD1gumMG*Q!350%80iltC9L$7; zJD9`3zyKP_2C@AEeEnTq6+H6_N=p=6gM$2n6s#0NGEx;F64Az12C)jNNeU(T3NFC` zCKj%S3YmGuC5d^-sS2vO3Yo<UnR#W2IhiR6i6siEdd6uAdBu7PkijL8`Tt>noq^#$ zI|G9dNRWYnK@v*C+yNTT2MIx}g}Ns^u_!MyFCEoIhD5ulJR>zvp|lueLSjitQD#zU zNouh|Xs~O%Z>Ud*f~^9G@(l2aL~(mSPHJLtszPyU2|{T}zCvndNk(dsf}g*it3rN} zf=i^Iqpzp49>~q02?Y=>2qG957(nCopzwxammp__a=2HV9fN`*ogIUnJ^d7t6Y~`E z^KvQ`l2R2)i&Ik+GV>HlGEx<iON)w9^GdJ>c^X(rK~ZX1W`1cgLQSzkL26N2eo-#i z!wMzk`3jlfXmxaP0XaE1)F~v$(K$pRzaX_Ju_QA;PX{E7)xKhd<ovv}%=FSCgrj^N zofT3mN>cMu6!Hsl^HWk4%+-?&4Gato3=EJ%kO7N-J^g}ReL$%N6s#rr3I>J-3gww4 z8PH?~4(*bBh1}AdlFWjf%w(|h6f`O-Dhvz_3^nx>LNY)}tym#3Pa!iWCpA4WM*-}F z#Jm)R+{8+S;*9)qg_P8?Oo+~;)ROYl)I5da%-qr(h)_{#acNEo$b=MyjKrdp^2DN4 zg{0Js#Inr%B0WSfBBgGmBu$2=f?VBU{xX1iAUUzLIJH=zG%q_ZzdTQ&EHS4vwOAoB ztt7Qbp(qs;Hu=fPrA5Vh3Z5n4&?-$&Pc1G<O#$V<(qdSy1et8BV5k62;K_-_$%!eT zIL}EeN>5ctOi4)vnSd?3B72B|VHGn2LjyAd18DdUlyqTvQv$?cU|^7f(lSt514@G{ z0R{$!04P5QN=HEHC@38Rr4yiZ5|jq@-a*U)5W&E}Pz0q*p>#Qvu7J{2P`U<6H$dqL zP<kqqo(`o!qoE+?Oc24qz%Uz1uYl4kq4a7fy$(u)Msq<-(4a4fz6v537#OZY=^Ie` zCX~Jnr5`})hfw-4lzsxGKS1fPQ2INR{s^T%L+Kw-`X`kB4W$`C1qo<87)lF3X(1>r z0i~s&v@DdChte8QS{F*|Lum&n?F6L*pmYS3j)l_kP&xrhCqd~{D4h<a3!ro<lrD$T z6;QesO4mc_1}NPGrCXr%1SmZTN>7E-)1mYXC_M{G&w<h_p!7N@eE>=yg3?Ez^aUt= z6-r-+(l?+qXr2QU^pBwY4^SF36#^1>0?nK-FfcSg=?PGJDwLiMrDs6tnNWH*lwJX) zS3>F4P<kDdJ^-aJK<TSc`Z|=p0i|z3>Dy5H0hE3Sr5{7-4^a9ml>QE-KSJrxQ2Gay z{t2ajLum$R<tzZDC7`q{l$M9m8c<pnO6x;u2Pho?r6ZtpER>Fi(g{#H6-uW==>jNS z3Z=`TbOn^Ih0^s<8nylbHD=(|zXG`S1=mKPLNq@QYq_6U3@f-*Q(#4LQEFjnCa5+n z$ydloEK5Z*DGAbID9Kka1eMjfi6zOf$}Py%T?bUL=NF}<7J(#F^NLH0QWZ)v5=+2U zIZ`DBs?%~5$}@9v6d*=`YG;hv3S?ShPL2YoMo3O9PA%4BAlPog--v^@ZeVS>{35W! z5P=2Kody<7EKw*fNKMX6%S?t<-k_QpOCu0mWy9J%pgKA!RUxG`6%+!A3b~oZ5D!9I zJP`MT;sjKqBP<4sz#4C$SSiWOONZBwMXAa8xw)x%DWDn>6r6aC$th2)ECw~TKoxCC zKG=)JiMgqedRR|^(m;fT9w?xE{M|jB6+mt4V3f8Is5uNOL;n8<kqit55Q-wJ5w*Gk zG?f-BAX0n)C<viGHUzg<S7kvhWnf^aD9+5)OUW$KFG-3|%Pb1b%PffpH;VMZGDWF5 z`N<&FU><ID#bqUx1*wG1OD-xaPASbTAY^ZDYA!=&ab|9AE<<iH$bQf)6{9q>^#maX zhCn6;hMtFi{vQ!yV0iQF&;K_<3=Dss{rSHJ#DD(hKZ`H}gUri6{~d%G7}mV}^S?%z zfnm<8KmT_KGccTa_2>T?ko@aE|6d3*Fyy@c^Pfe8f#J;SKmQd(7#MWk{P}Mq!oX1T z_Rs$g5e9~wcYpq00m;Ar^It)ffkEfPpZ_+Z3=BPA{`}7nWnjqp`se=!Q3eK{Z-4&( z5oKVQ^X<=n4>1M?o$r7CPZ48aIP?9_{|90W3^70c{MQj@V0iQ6&;JT>28NoSfBu8! zMtFYx`7a>Bz%b|6pZ_ru3=BHI|NLJe!NBn6_n-e?Bp4XZ{Q2|WL6U*t4#VI7OC%W> z)-eA4|3Q+0p@!-2e;X+V1|R0X|2w1@7-U%f{=Xu{!0?9U?|%hp28KPXfB)x5GceS! z{rwMGRb|8e_dkma1H&8kzyB>{7#Msw|NbwKVPKfU`S*VhNSyQU{}nO}3^rVU{~wWI zVCdoc`~QIq1H&DzzyJTpFfizF|NSo^%fL{>{rA6#ECYiN&)@%3WEmKEc>n(YBFn&V zhWGFP5IF{hHzI%k?~r3)_#^uFzl1yk!yd7}|10Df7;41-{=Xs5z+fZs_rHY#1H&JQ zzyD__Ffi<q{QDoY@}WlR@Bauz1_m4HzyEhAGBEs+{`+4-iGg8{%-{bNN(>A&vVZ^I zP-0-Pk^B4KLYaZ#kKEt?Gn5$^_Q?PJ|3jI9p+@2F{|FTZ1{=k{|97Y`F#J*c`(Hwp zfuTp~@Bb841_l}BzyCL=GBB)B{`>!rDg%QJ=pX<!28J^#fB#QWV_=9;{rmrc8Uw={ z)xZCB)EO9R)c*djP-kG^QUCk@h<q9QD8d1vD5KbTt0{kI`UY*rW0H{|XHT1{=-4 z|G$9vnt%U0XfiO^X#M@)qshRqN9*tZ8z8>+-~TFF3=Dg;|NaN9kg?JE`+pCJuk-gm zhc*L)jqcz7A=(TKdvyQ)UjX9k{r$fK#Mk@#|AICH!yUc9|KDgcF!1RA{SR8ewMYN& ze+3-|hCBLy|J&#=FvuAE{SR7Zw#VS_{{kHb1|Gw||9f;87;+5%{s%33J7f6w{}vqv z1|6fn|3T~LdW`=5zoWyz@W$xxf6!7qALGCOS#%i~))@c&4_ej7WAgXEjxGbk8<W5P zdvqBX=9vEd{|2;@&+PAiA3X*J9`nEd*XS`Y>@olQpGTj8A;;qH{~Ub=1{=%2|Ig?% zFz{IY{jX!dz_7>a@BbbH28JB#zyIGDFfhp2{Qd7^$iQ&M=I{SCh71ffwtxTg7%?#D z*!}&VW5mF4$L{a{Ge!&ybL{{A*D+>bh;jJ)zsHz?fyeRh|2M`A40{~^{`WCqVCZrB z`+toI1H&GtzyA-IFfhDv`uqQe2?K+U^WXm;K=RIi{|lHhFuZa8``^HnfkDUR?|&Cl z28JG&zyDKA85qvE{QX~H%D}+m`uBg2DFZ`|>)-!tK<Zup{yzg!@Amiq15*Zu9Jjy! zznC&Gta1DMpTmrS;f>qh|1xF_3^DG1|C^XGFr0D!``^cmfx*V(@Bb7t28J~rfB)B* zF)+w@{{272jDcZK;NSljK<a}2{ueN3VCV_{``^Nxfx#!_@BajI1_qwczyCYT85qul z{{6oJBp>$o{|$2nhBx7V|Fc*yFszCA`(MX`fgvaI@Ba`B1_qs|zyE727#Q9}{r$fH zBp?0v{|S(M%-{bXK=QGF|4UdhFuaNV``^KmfuSei@Bb1@1_qzRzyIf0GBEHY{r!K$ zl7S&7_3wWjD+Y!;>3{z(uwr1ClkxZe2`dH$o6NueKUgs^@Z|jcpJC0wa3=Tf|0&iC z40{Uy{=Z<&!0@K%?|&8>28K1ofB);)Ffi~`{{270hJoQs<=_7YY#11Ns{a0eVZ*@S zQ~me9fGq<<&Yr*jZ`d+0+&T95KaU*)!<yrN|LfQ>Fw~s*`#%80Kl%56jU5BSol}4R zudria;5qa6{}nq12Ai{g|1;P#Fz{UX`@hDXf#J-BzyIghGce>_`uqO^h=1kpe-;M@ zhBMdy{<m;oV34`>_kWH91H+wLfB#Q$U|^VY`|tlf4h#%DcmMu>0iy5y{V(9i!0_h5 z-~Tp_3=BPw{{GK!WMJ6y=<ojqkoc3o|F<|YFsym{_x~M71_qmFfB&;Mf$EdL|8<-g z81_8>`#;2qfkEcQ-~TyI3=C&p{QbWH#DDqs{}Crp{qpz!8z%;aIj{fzmv9Ev8-M@1 zI5RM;dH?r+g);-goA-bJ_c${!$b9(we}OXtgU^S*|F?kDefazT1W4V7zyI$zGcerw z@b~`*kh)KQ|Est#Fw}hd``^Zefg$Ge-~Smd3=DTZ|NY<K!oZ;O<?sI)Ao(wU|8H<% zU|93@@Bb$t@o#_s{{e}A`}<$Sm4V^Rx4-{&Tp1YteE<7D!Igob=EvXv6(I2+fB#Q# zWnhr``S<?{R|W>3Uw{AKaRt>sfB%1RWng&o>+gRaHwK0^zyJO>absZk^ZW1r1UCkT zJAeNE-{8i;Q1kci{|jym3}^oS{r|>|fkEfr-~SBm3=BR0{{9znXJB~q@9%#NcLoNZ z|9}76xHB;5@c;YY<IccP!~gI93Xr_)zyCkn85m-$|NZyyU|?8d{qKK@2LnS--M{}V zo(v3s>i_*W@MK`fY5ey;#*=|Tr|IASJ)R5<F-`yeUjWg~|NejQWMG)n{O>=D7Xt%N z>%adFptWEf|Nhr_F);LW{QEz_i-F-!$G`tOycihvbpHD<;myEM)AjFvg*OAkny!EU zd%PJKV!HqRU*gTcz|-^Z{~m7!hMeAi|9^NhFx=_=_g}z=fniPGzyCTu3=D7j{{43V z@%#V%U*N;QkTc=me+FL$2Azrj{wMe{Fua-g@BaZ`28K10{{2_*V_?Xc{O^B*9|MEV zlz;yp_%SfNney+ygFgeqnyLT(FYsqz$eH%<KWN}YXZpYY2>}cYZ>InIe;|N?Va<$x z{}lom7;0Ak`(F^qz;I^uzyCdf3=B5A{{6ob$iN`;_uv1TAO?mzfB*fz6U4wU=ik5o zHo*)GG5`PlpA*c$Aj9zg|DRw`JK_KTm=FerIgJ1R?+IaGh++EwUnZ1+L5BJN|C&$+ zhC9sv|KAB^V3@=5|G!Nb149h!|NnEs7#L*O{{R0I#=vlg?f?Ina0Z4s?EnAo31?u4 z;rRbwCW3)MhV%dbng|AlJDmUj--%#gn8Wq|zfB|qLk#!-|8pW47-V?<|Nj%oz;K7> z|NodM28KDj|NrlaVql2j`~P1int?%v|NsA*Xa<Hm{Qv*oiDqD!Bk=#fO$-A=jNt$O zb7B}6WQ6|z{}aQ&a7XC>|Cm??hB?Cj|L=)qV2Ba<|6eAKfk8&}|Noje28KJL|Nq~K zV_=vg_W!?4JOe|F`2YWN;u#oZB>w;Z6VJeKN8<ngm;?rfIg<bX?@3@_h>`mLUnY@( zK}P!j|C&SwhC9;#|KCYuV3;HG|G!NV14E4L|NnE67#L*a{{R1z#K3Sz?*IRoWCn&g z^8f$uNoHV(QTYE~CWV1PM)CjuniK|xJBt7R-$`L$n4|RnzfCFwLyYqO|8r6q7-Urb z|NoQ9z;H+9|NodY28KDR|NrkvV_=9;`~P1ioq<6{{r~@(bOwey>i_@WNoQb~qw)X0 zO$Gx)jOPFUb21nhWVHVO|C7PMa7XL^|Cme$hB?~*|L@6UV2IKA|6eAHfk8(1|NojS z28KJj|Nq~~Vqln~_y505HUmS9{{R1TvO(>D|NsAFGcepS`2Rm9hk;>^;s5`8au^t5 zjQ;<Z$z@=WG5-I*CYOQXj`9EhcXAmR=9v8dZ<EKs5M%oP|C~Gq1{t&e|NrDMFx)Zw z|34<5fnkpM|NndP85m+L{{NRLU|^83{QtkEfPvwT<^TV83K$sXSpENRQwYk(|NqY^ z1l8~V|NkjuV7O!R|9?yo1H&BK|Nr+CF)+l~{r@jh%)lUH|NnnYF$2RL`~Uy%6f-c) zarpn=ri6hZ#_|9EIVB7XGEV>h|0!W$xa0Kye@rO@!yM=T|M!$KFvPh0|1VR<z#!xL z|9?#x1H&EH|NrllF)++=`~Tmj98@3w|39akfkDRO|NlSb3=DTX{{N4uU|^Wz`Tzf( z3I>K4umAsLDj67Ly#N2NsbpZd<Ng2tok|9VIX?gY+f*?y#Q6UIKc|X;LB{X@|36g> z40rtg|BtC=V3_0o|Now928Nh`|Nmub7#L&%|NpP4VPLou`2YW%8U}_rLI3~T)G{!{ z1pog(r<Q?1CglJBKeY@DcS8REkEvr|m=pT{|DHMqhM2Jb|7GeK7-Yi#|F5ZMV7L?h z|NotO28KBi|Nq-GFfhbK{{KIxfq_9L>i_>g4Gau-qW=GnX=GrS6aD}Ho<;_Sn3(_n zWttcmWMcpSuW4dnxD)&T|D7fVhB<Nn|JyV(FvP_F|39ahfk7tW|NlSD3=DS?{{N3@ zVPKe(`2YW&76yixr2qeAS{WE*lK=m&X=Pxzll=exomK{hIVu1D+q5w-#H9ZJKc|g> zK_>10|37UE40qE0|Bq>BV3?Es|NowL28Ni7|Nmt=7#L(S|NpP)U|_hD`Tzf&4hDuf zS^xjrbTTl+WdHv^r;~v}Cg=bEKb;H=cXIy!kLhAyn3Mbe|DG-ehM2tn|7E%v7-aJQ z|F7w0V7Qb2|NotC28KBW|Nq<cFfhav{{KIxhk-$+=>PveJq!$YivItP>1AM;Q~dw` zo?Zrqn3DhhW%?KxWJ>@4ujyl8xKsN7|D8SthB;;b|J(F4FvOJq|39anfkCF?|NlSz z3=DTF{{N4ez`!u4^8f!m6Brm`s{a3%naIE(Q~m#c%|r%<JJtXH-<in3FsJ7Kf161R z3^BF;|IeAkz#voi|Noy!3=DVb{{N4e%)l_G{{R0ylNlId8vg&6nZm#z)A;{?%@hWP zJB|PU-<iU|FsJGNf19Zc3^C3B|IeAqz#!A||Noz<3=DT#{{N4e#=tPA_5c4p(-;_H z+W!BSna;o<)BgW|&2$EaJMI7f-<i(9FsI}Hf14Q$3^ASm|IeAhz#!B0|NoyE3=DU= z{{N4e$-pqD`~UwvGZ`3Sdj9{HnZ>{$)BFE_%`8y;^#A{zSquzw`u_j7na#iu)Bpef zoY@QvG86v)|1+C`;m(Br|6}GbFwB|w|Novj3=A=o{{NSm%fKKr`Tzf#xeN?<Cjb9` zXD$Q7oGJhR+stENh?)BT|D1UY3^LRH|Nk?Of#J@y|Nmp=Gce4V{{R1;`3wv(GyeaV zS-`*`GxPudngt9DcV_<oe`f&$!<<?F|Jy8NV2GLh|NoqY3=A@J{{R28kb&XOod5r0 z7BMi)nfw3$o<$4{G4uZams!lfAT$5}|C+@N40q=L|9@vO1H+sJ|Nq-8VPJ?^`2YW$ zB@7HQi~j%rvxI@+&Z7VSW0o>7%vt>Z|DL4`3^7ao|Cd?Dz#y~q|Nok03=DUc{{Mex z83V(dW&i)%EN5VdS^oe3oaGD*GAsW7|FfKd;m(Tx|6^7#Fw9x`|Nov93=A=={{NR* z$-p49`v3o$l?)7bR{#HhXC(u}oHhUd+pJ<>h*|sp|D06}3^MEf|Npa!f#J@&|NmoF zGce3q|NsA<)eH<V8~*>7S;N2}v+@7`nl%gzcQ*e2e`gH?!<<e3|J$r(V2IiL|Noq| z3=A?`{{R28mVx2UmjC}_)-f>5+4}$go^=ciG28zCms!uiAhZ4d|C;p-40pEw|9@va z1H+sh|Nq-;U|@*Z`Tzf%4Gau2yZ-<Gvw?x(&aVIeV>U7{%-Q|_|DKHu3^9BD|Cias zz#y~t|Nojz3=DVn{{Mex69dDXegFU4Y-V7H+5i9loXrdjG6(+u|FfBa;m(2o|6{f= zFw8ml|NovX3=A=c{{NTR%D^CV`2YW!tqcrz4*&muXDb84oFo7L+iYWCh&lTI|D0_M z3^K?5|NpZM)L#GpKV~}v!<^&)|L@t(zz}od|9_bs3=A?S|NpPq!N73m<p2M7b}%r^ zIraa)%}xe}nA89N&)LbqAamyb|35n!819_;|379I1H+uN|NrmV#lR4A?*D(8-3$ye z=l}n&+0DRk=luWwcXl%{%(?LYzs(*7hM0^0|IgXOz#wz!|NlRG7#Qwc`u{&>F9XAz z%m4rH*~`EXbLIbknSBflGFSiqui3}IaOdj(|9AE=FwD93|G&+C28NjH|Nqa~&%hva z<NyCZ`xzMS-1z@L<^Ti3oSXmu?>WH05OeGQf0=^}3^KR>|F1d7z!3A`|Nj{W85nFH z{{O$_AOpjmhyVZIImp1^^XUJ7jzbI#cOL!!Z*Yi#!T0I^|2c;k7}}ry|KD+lfnmYZ z|Nj>pVqge<{{KJ2VFrfk=l}o99A;n;0S!(uFfcGy1u-yI2rx?XuycUsFF@j;c{7hI zfBvh1)VQ#Nr`c2(7#K_#7#I$m`}02mH2KXZ;KnE6#m`;N(ZFCYWvykb0@?usHWxI1 z_T%!O{~RFwPz>6*QNqB$@Zidy|KNEgCq4luJ_#p21t&fYM?QmQwjS1AmOkcwCZ>CQ z8em}wuo4ai29Vwj3=9lcuKxMo4>HdYrq_{A!=3K{V>4?XOFwfP6H_(FECsN+0*-tj z`$2l&FfcG^TqE6HkjFuDP<O8V`49FS0|NtSj?spZf#JusKmWn=h>m;$%}h*QAj?2< zpgAa=>)7Q=7#SE6uK)QT4D~}Z6O%7YA1LgXV3G4+0F9k7Fub__=f68>J_j5qpm1{p z`PHDAwFeSv6G2?4*PZw{T=@)`rlATkfXo8T1--fP=l=_k8*rJGjH(f2Rw}9x*en+& z28IbY|NIZf=dLtVjUclwq6&e-6f_sL<Q8VwfaY%3FflN^xb^3M0%*Yk#LbR;3e8}D zGY7GNQjvfY9|waA0|NtSj!EYJpZ|A3{)49zCs2|yaOAUa<a20d?_ukOC78n?Ap@{9 zH0&JtI6&c~z|6p~<^G@l;5k`jbD(Jzk>KEI)e#)(jv%X0&B|eBVBmQ0=YJ2nn^4Wl zN7d=dXMn}59iWXfR5FW&g@Iwh1M2uOfQ5nK36<P6hlPPb;~{mz>jet~Lk^Y9GGS$4 z*gz$-8dw<^zEH`mGpq~@7LTYCUJ`5!3^kAb{6C66t*#&@t)_tX4nL;cEYLcP4Qvby zEsy{F=L3}i$obI;lugj{HS<$uY#G~?&%p(oIA}K<0|SEyI|GBkbIRQdDnAm~85p)u z$*eW(3=9@8sN=35><kQhsAQH42Lpq{OX|350tW-b5h|H=hl7E^<JF)4pxr(U$Y}+& zyq!Z#8rI-sVAw+ivq1BuC7cWl2Cx78Ukb`wPJ9B6d=j8~jJc1AX(EUTs>EFR6kK3z zP<v|yCj-Np*MI(l*Rr7Mc?(nL%BR2-2jf87C=WOp7_Pke^WPt27o?5i32STo;bdUo zc#B<5f{TH{28Wyp7Xw294!Hm>28Ja#<Z`$e7;fN@>)>Kw;CYAX7El{<2^Rx{!@EEK zk3jtZZr6d@i&*nK(`;;68&{SGna{$_z_8)npZ}YQGrx;e^HaDP7zEza#(Yqjc!ZmQ zp#U_$3tG5|oX)X_&p~YNcjR+mwqnC3!@vLvBMlw~2Aywz{<DMHc$jv$@CBf>r<Pzd z$&oLh8k;!C{0<%lhCAPA6GkBOU+^$6*nFp*`5wFs40FE!`M;mIG&BucIN(d8D|kV3 zA+&Qp2OngPpH_JwgO7n>&kx$UAG9o8<|pmKUxOdA=7Cn>)4|WcaOWrO!si7)1B1;k z+L`Ym0GflNUHGpMU|{$|L-%tCGBCvard{}C2r@A2p`rOF1Q{4){?N|-8bS;VH8e6G z)K2aY0?pC>`43(bja<K=w$+M>X{%ikVqj4Ci#|q#7+-?+*^7uVOF@`{A%#k2<p?t{ zte}!vptWFcsALvs{g}Z&>V#K-2m?b2mCTwW!oaYDN@l$fVPN<}C9_OK85kV?QzyI{ zL>U-bsASd|Q3i$+R5D9K40M3d-~ZJp?HSluBQyuaAr0U`M!j56MHs;2gDGMR3=Ry` zF>8Yu149Xw%=#n7z_5Z!W_gH%)-F)Tk5j}M7z7xpV;1Pl3KuGwr6a+>P(dZLDkMPT z+0^ml5eWu{2UKtuXwE}Gl7T^l>F@taXu4-A=i>m0Ye3hmB8!8@`9W)2pD_LXF9%iM z%oG9YUW4}}#ehziVfy<YHfI2uPbrXOU|?bX`+qr9y$hd0GZWJS&>#Q@$h--X3=C74 zv75I>l7V3c^WXo5AiWHrH8Tth2P7F7jxhiIKNlqC$R_|XZyMaZ2a*g7E-Zil`$FZL znV8%`4g}3<{E=i}s9^c~KN=**0NF<+AjQCN1Wimuih)6Z6;+*u6lm=Ys+f-y1H%e5 zbqP`o3}4X1N~9PV9N19xg4O`{Ac=wcY;&X-7;dor{eKVSKIAq5_I~YFQu|bYq!<_? z*#G`tMV$GKq?#Wi&A_mNhUV{)W?=Zi{`WulAREHrGm%vH%g8V=L~zh9d}?GE7*^2G z{5vuX3_m#j{y#xn{LUved~9SH7&16%XZ{>n28J7)v<v?~vJ4CwT(mPkMvj4@f`;br zkz-&uK|}Lp<QW(wxM>$YHS!D$88kHijyway4jP(oqrkwx!9%<9XO02`Lk11a|D(Xb zaDs<+@f)Maz#zd(JM;G_GB9ND(x&_YwGU*J7#MEwBHAyYc94M*1H%{IzyI~2Woj-T z2Y9WAhY|w=58vPaR#5Q@J`M&@dnZAOfx(3D@BdJ!IHY~z2X3E$<QtS27)o%+gWRw{ ziGg7Y-{1eXApOub&lV*Hh6j9q|AY5y!`nQ8U^78_t|&1uDDWfnfW%%XF);WbiGl3? zqr|{a!T<MvF34=SIcZ>XK=Lxm3=Ai5$b-zZQD$I}5J0sjK$(HT1x+kPnSr4IO{_v0 zw1)Waf6&35AnQS4+XJ#s;P3xzkbonf08=KU?YTsmfk8p=@Ba*#cp5}}k1_*81s3ru z$_xw_1ToEdqs+jdA%rRpS{K8j!obiV^!NXK&_Wr=x(X*g1z2CN2eQ7Rp986D2U=yp zzyNZ)g9-z~6RE%dIT;xk7$ABbK~q--&0uXzl^}zlD|kR_K8lg}ptVE|Dhv!;r2j(3 z%~9vIw!@~fK<ZYgFfjPY{DsWXpsHJqtnLEHJw&Mcp#s^H1s>1EW1fO41A~YhetSV{ zQ#?R>v*iB%2k$v|1SKH}7e0q(<~Ang5Js>AA?uJpekoC9V0a*p-%OCYIjRf{6$*sa z9Z_Xq5KzRgPJscmH;jQ{h2r1;TcGI(k{6eN9LwRzr@#QRQ$US@!9|I1d|0S4Fx*kX zZzssS1T_YR5@o{Xb*M2g@TlN74>WhWL5+c7iOS#qlR$gBA#Gl8dJ6!hw>Bo`d?r`| zbL8W2<O=|~;fWdpgMd0=H}I%4Fsx9=?*`D>4<_mi3=SH9|AY5?L)-vf{G$Qsm$>jX zFd|QeF*7jpbuc>eO<;85o5ASBw}8=!Zv~?h-v&lcz6QopY^_cPh5`oAo;?Nz3+=!E zvk`uU*yG4&;KDb75wungIubL5Zw6xq-vUNYz6p#uP+LIkY$Wk?z8Q>eP&G^^K+7c% z>+8UY6BJgUJ%T2>gu}{0gMs0U?%)5jKxqjz|4afWcPBm$&^o^a4F(1my}$ntg8Tp} zA0TB5XvPq>X6-v)gcDza6JLfCUx5=}g%e)`qbFZLJzs<mUqB9DL<V1iCtpB1UxXW& zQN$PF&KFS27ZD2OYB+*c4?+tW&>BC``Y#OzhB*d*|8IwuOOAXJE_?>f%zaGEYasa% zQig%cKTQS(1EatH-+}huqq?yGwyusjjS02<bL1-krAN@7$tR|N|AWt_fanFMM`-!S zEXN2-j}ZGnVO*lg!0^Bfe>ww|^>Z{C7%I&F{*Pt_9X^Y+))5?bkeFxgW#WrS<x6k` z2c9Qi0MlVqKC=QNssNKal1Je24mw;s#|FQfKyC*eW6okrIDLRlN}pqk-#pNKKIjy7 z6T83vB^{Cd2wsQ>TBqm2SAde0?y~SzIPx_xI`MTdI`K_lbc3h$0_F=$*s_u%UqLZn zg&R0Y6)-R5ge9*6W-(oacpf8PMH*iNqa)N@PriaGhzQIkP~HXQ9$4OW<J-aL#CL$v z6Os-<C-V2y{r#T?I=%`i9e~TFekSH{F4S}YYG2f7GccU!`1}7bC=bEgAA7*%F{r$r zqs_oj(fRlPSrqwQV0ln^4XSrQR~M*s{r#_qna;(K(>X{jXdkXd_uv02m}(`_)k=W& z-1ZQs)<uVb!JwD0TF`kopgq1OebDj%x$Jc0GjQkIfK;Y2vob=1*op51qZ8i+Mkl@- zj81$H7(MwmFfu*BCpizJbOVL=8yyCQjtPJNgU`u<hxbl!c!KgWk1hj)#>Bt>=Rw2A z2~?0NfU0qDTZXw6IWIf%Ie_$l?jM;l>F@s#Q27S&4><ojK<guE)cOdlr$m>5;lPx? z|3Bf-vjdBs6}k)zJ=6dG2d%Y*u4O{8hZ{`~D6ihpWnfq_^Y8yXp!9=UMsERm4YbY( zq>e?8fx%(c-~U@N)vbl81En7wJqCswv;O|igoY1NnHq<jen4hM=z;cB{DmA70kIoe z{xW?*HnTyGf#J)XzyEK6!U9Dd^Fbz<LPtIWko};2+bib({XZGg{tjgOL2c<9Ao~~o z{SS&GP<Z9>ae&XN_@KwYV6yP<e=VqeusDX5*NFH+l-DZy3=9T~@t0*FKl|u2Fq~NY z_kSy9IM%|v0#a83GH(g~@CK=y12S*P-~Zb%&07aE59HS)`V0&SOaJ}{pJN5;Hw5r; zfX=wOqtC#wV(H)iYoPie>!uv}G(brjR`&+*c{uR}c=9>aAyo?yzk~WX3I+@eBFp~% z_Xe54zyNL|niw!J1T6dezXK!&i8I*xwFa2GK=Bo0z`(F$+28-KK=B1@6DNS%#1A3y z1+IP=Kx#V-7#M0+{QZ9sS#1DV?FnSHp!nQkz`$Uz>hFI89C4@!&kG2Dyf9#3&{=~R zI|upgj{yTi#2RQ{7B>EE$iPst=I?(Ukl&zUDuxUU6VSvg3>g^Kpy>sj4{!oW4CK}X zLk5N?YySQ>h58GY-oW)j8xykzyi9?F1t>nJ7&0(?So`;X2*?ef_zdFX0EH3g+yRSq zfB%Ee?*f-!2H>p&7H)hFo_q#OW#EzwvZv#QAp^skb$|bZ&mIGrQ32^QelTQU2wDFZ zz8(;?^8jQghY<rq51Kg0{R&153`f@g{SQ8K3li6`d>q3Evv?;g)**I>7%?y$*nnv_ z=$r(NjhJ?~7%?y;poxR*o@2zoFk>TWc3&`JV3@M$FMQsTsS@Jv7e)*We>P#-&0x&H zV6yoyd=CecA0G$EZV6)shMdi$+3jP@z>u*8(~cBl28IP_;-LIpW6Z#CV++=DWjQQF zLGixCn1P{S>)-#NeGP~{HmHK>XR3nldSGDiVPIgmV9dZUW$WMncR*<e(hh;Ow;f<@ zS*9i6JnsZ<b-O_ujt+hp`5#ngDwr@ZsBFVMzvN)Tz_4T+_W7k469$GG+fe6u3P9#< zM-^)^VPGgg6Pp1#BLhhc<Tuc{85}$Q{=WvgBLPy^Li$6HewRDn0%nxPVi7y`2H^r` z$o`!_CJYQSj$^tVWS0c!tc~M;|EGeEJH=+#0n|R;XI|`ffzEIPnU?`NqvPt||Jkxw z+qv$16WCDPex4J%c@x+mZr=eq1LQNN+vkAxN0~A(IDG#5|B@39yBbi#se^;BgV~X9 z0<$My12c0rBQoz93Qvy%yQ><QnT?r|wUwi2dk^Bd@`2831f}N^GX{nR(|`YSL1iLJ znZld|DeEESHc0IPGX{nuW`xy(<_pi5F)(mg{G-Wy7^uF`F=t@Nu=w{Mc|O;{oPnXm z;@|%-p!^0dlR@*jZ^0!oNDt_&loZQ<|BXQM43Ir+CFTqa6D<Gz2cI{HoJT=xomhLo zjZLOIsM*nx&j7Oj&76VZh}FOU_MpBhJYB--bZDPYj~Pibq+S8J<&8N5!wK7e@cCm< z83Z!)i|xPvi6Hku;sREehr-GrP}pi%FfiEI(I#v`=66^yFwCKm`5?c)uwY>LV~2=4 zP@VV3f`LK8{vUiUo@ptht`M<gVAy~r4jL!Wv1DNIaQOE>8k%llbvd}L-_OL%!N}*~ z2yRPNp>*~@c4dIhu5tW_GKMPwI)l-Yf#HbbzyBve;SX7#e;77D4?6dz!U+*ypm5q? z$-uD0iFWZIV8y_|;!Hd93#=FzQfO%Y1uF)IBQ!MMz?y+U#f5g^Gr^jHp@oL#f3Rj? zctS(-18f)=TwG}vJ{xQp7?#k`e9+lXEN--Oe}OFnLkbPezhKM2aD;~D8`v>0sJPQ6 zd_e7_33dz&E$;vR9|9fb46ond<ALscA5hyaCvmh$Ko`q_%GU>W3=Aw$|1j1+f$aKY z$G~6_^^YoTJW#vK$DV;9BIDnnY<Gd`jyLuU3^P{IChkDy`#3N#{Gg%vYaAFDB39GR z{XC8g3_ECOevTspgTxxzx&MqK149K3%?F()cY}uJ_c$>ySgfU8_<+v2n?XbKeViE> ze$deTHO>qS5$k9dK0GcA3_ECOevS(RgT#8;x&Mp{149K3%?F)Fc!P%K_qZ}JSZttO z_`GptV3<Ke^L^YH7=F;u{55V23=tb?7d|}h3=BJHXnu}61B1jS+PVLXI|D-n4b9i_ zU|_gGL-Tt)7#J)z(=L48crY-`prQFbo(v2>XlVW#PX>mFEwl?C9xn!l9W*pQ$BThM zVk_<3f5wY}p@N3y>v%IT+@PWPpmSX<w$Uzp-gq-G%%Gw9K0XW#KWJ$F8XpFRi0!ls zA0A%@h8;9CKgXAWL1G8(+<(TGfuVwi=Ii(|Fx;S_`8|FN3>G_S7d~(N7#L>I(0m_% z28JIrG=Ggh14G0v+Jz5K00YAg8k(OIz`!7}n|AI$6TrYwK|}L(0vQ-?(9nF)dD#|w zXcs<j0vQ-)(9nFJAO?mXG&Fxr5CcQRUfP8ZPcQ?+4jP)D6U@LMv5$7{KNHNrP(efU zbwU^zZqU&Do)88Gi~Y0<pEn^43^QnGzE3Cv!w(vozb2G{A>sh-!iOh}fnf&?&CdyA zV30USJNKUnV_>MDq4_%D3=B7DXns#P1B1mO+J(=Xa0Z4MG&J8Qf`Q=&4b5K@!N3r4 zn0DdA6Uo4^gNEkkL^3c)9HE{2&qOjXRM60TohSx|8#FY(CyIf=;wbIH=S>s?!wedl z?-R|y@PmftuZd=0h&V>O@ZpJJVAw%J^K)Vt7$lC<&i!X%7#J#OXueJ?1H%m(n%@)4 zz+iELcH#3TmVsdg4bAt7V_^6}L-W_fF)&1&q+R&%#4|ALprQFW@eB+Sr)cN?Gw}=z z6*M$oCxL<C1`W;cNnl{GI8D3od6U4vFoTBX`y?_j{Gg%vYZ4h4BF@k*e0Y)=7<SOm z{G22P28pw@bN`tn28Ie6ny-`0z;J_x=JzBsFj$<UUHH67W?+~>L-T!77#M!g(EK$i z3=9$HX%{{`sSFG|XlQ;;Dg%SW1=_j)OezCI1r5#DNn>ERK|}L<(ij*lF48W1-lQ=w z%%Gw9KIse$KWJ$Fnsf$+h)c8!AD#>bh8;9CKPQ8MLE<v)+<zv6fuVwi=IdlKFx;S_ z`8}Bo3>H^t7d~$?85m~J(0rdP28JIrG=EJN14G1B+Jz5KHUq;B8k(Pz&A=dWjdt!o zlg+?TK|}L(au^tH(9ryz90mr9>$D4>H#rOpGiYeOPc8$)4;q@kCYOOB;s)))hbNDL zVFwM(&&gw8khn=Z_n*mQV5p#>`8xRw3^!<KeosCFgT*b{h0mLO28J0lG~cIyf#C-Y z&0kZ%zz}hpcHzTQ$iT3JhUVuKGB8Nop`H8B6f!VW(9nFHA_j&VG&H}bh=IZ4F73kS zO%Vgb3>up6Q_R5dgNEj>DP~}ZxJSG2;VEHY*g-?{b4nN(B<|DB{bx!T7%FIJzD_9v z!wnjm-&4xKVDW%<;q#`Hfnf#>&G#u|VE92p^VgIyFho40UHI^nGcfF+q4_!G3=9&F zXy^Vj<qQlJG&EnQf`Q=%4bAVVU|_I#OuO)TQ^CM6gNEk&R5CF9prQF|Dj66ep3p9Q zc&Zo}cF@rLoGJzeiKn!4|CuTVh6);*uT#yyaD#^C_f#`5SUjU$_`IoRV3<Ke^L=U< z7=F;u{53TU3=z+17d||-3=BJHXnsyD1B1j1+PVKsEdxUZ4b9i7V_>*JL-Tv;7#J*G z(k^`7)G;v3prQFb^$ZL@XlVYLdIpAwSF{Tso(2Yn9W*pQr-6Y%;x+Buf2M(fp@N3y z>ohVj+@PWPJ&g<u7H?=5K5rTs7-rDWe4i!;h95LEe@znuL&RI!g%3|N1H%p)nxE6m zz##FCcJ4pZ%)n4VL-Tc77#MEQ(EOej1_q1wv<sg%Ees4ZXlTAqD+9w18k)bRm4PAR z1MR|xr;UMO2Mx{7X=7lJ_((hVpJ`)YsGy<wI_(S$H)v>nPdfvH#V6W@&zp7zh8Z+8 z-=~9t;Rg-PU(><B5b>FI;ltC(z_5dc=I3-WFi3o%o%_#pGB8xo(0rXP28J6nG{2{d zfx+S{?ZW3x7X!l#8k+CZ&A{-3hUTy7W?+c;M!WFg>0w~lK|}L%dKefazSGYAXL=YI zDrjiFPA>z)4H}x?)62kM@q>2Z^QM=9VFnG&_vvF`_(4PS*Yq(kMEs;(`0(^IFzleA z`8oXz3=+R+=l(PO3=9=CG+$=|1H%m(n%^^lfx+T8?ZW5H1O|o~G&J95A_Kz@8k)am zA_GIjAKHZv&m;zh9W*pQXA%R0#9!LE|I8!?h6);*uQQo};RX%O@0rZNVDXQ3;qzuP z1H%j&n(s4(f#C-Y&0jNxfg$2Q?ZSs=Dg(m~8k(Oo6?At0f0~?64?2JK%v1)33I^Jl zuQQE-;RX%O@0rHHV8KW`_rICOz%YY`=KD-%VE92p^VdvgV2EI%UHI_KU|`rmL-TWH zFfd3k)6V^8W-u^R(9nFHnG6g!XlQ=VOa=xE7TSf+o0$v@GiYeO&nyOpA2c+7%`66n z2v*vK56^4{h8;9CKW8=rg9IDx+<#^^149K3&DWX3z;J_x=J(8DV6b4PUHH72!@w|u zhUWXsWnlP0L-W_nWnhTlpk4Uz%wu5KK|}L%<}olxaMI5GXXY_5RM60To%sw5H)v>n z&wK_33ohD)&zt!S3^QnGzRv;%h95LEf6W30h6rxjg%8g{28JCpG(Tq{1A_z)?c9H6 zAp=7N4b9hC#K3TahUWJyVqmb~rCs>ES;W9FgNEk&EM{Q%K|}M`EM{Pc;G<pm@GN0q z*g-?{bCxhLNbu9n{b!aiFjUace4V8X3^!<Ke$P?{1`7e&h0mL%3=A`9Xui)f28JIr zG=I%928IYh+Jz6#at4MSG&DbFIRk@)5bfN5W;p{x1r5#DS;4??gNEk!tYBcU5T;%D zyjj7(FoTBX`>bSO_(4PS*Q{h<h!CM&`0%V^VAw%J^K(`)Fi42f&i!XrF)&on(0rZM z3=B7DXnxOX1_lc;+J(=X)eH<XXlTCA8U}_RG&FzB8U}_4aoU9s&sqkC9W*pQXDtJR zgaqx}e`YNMLj?`Z*ICEFaD#^C_pD=Ju#lup_<-&Le6x;$VTR=Y|AwrPdy$)&n6+8? zI2b^39P1ev_Q?JJe;08N>vhmQte`t`Rn{{wG|2z|UjveZ+>z_Tm(a}I$HbHiyCWBL zSFz7}28J8*|Nl2b)j{ruh1}E4REoH$8)Rn1dIkm?h5!Fsp=urZBw%Ki!OR5RIlEvz z1H%%9|NjdScilto^G3QWm^qdS>Aqjc-N_(7-C57Ta8v33e=Cq3u6zQ`OeG*MF@Wx) z{jr{b;k(lR|B@g%4?ckaJ`M)Z9Fo8W28JI>|Nn0W-Ej-n6AW^=LN=d<6Q4mmNTGxi zA8Fip@NLMTxi1EWf(;A|-YWn9gTjjeY<?2hO(qNs3_Tkd7{XQl|6d7mlPjM<8<QiS zL^HDsp8}IV$b$w>pxdV%3i%Wwz(EAL*%+jF6px0$Xb6mkz-S1JhQMeDjE2C-34ww% zh+hq$G^p7I5;5flkqitlegGOj8_MU0C}Wro<?}%K3<(ehFN9*)k;V*Wf!m7=3=A)# zSipQ~Fv-Bc0i~s&^arTC43rO(|N8HLK1gT*#5e{}Qyj!U0kxP7#9?4yI02RCg7O)m z@=#}j+w34IQ4oQIq1qTEVj=DWHJd@wKiEMe1Gw!AVnMkK;vfzK0|Q4OgaH%30QD%$ z-4^B$c}@rgw;$Bbh6;hH-~S>0V}u6DKPZ0!)V%*tJ}h27K>4Sj2K|Kcona0Kn@T<6 z2Q*&LO*DhXw;PlWgVJeGx(rIULFs8wdKr}72BnWd>1$B>8I=A8rP&f8Hj6=NH7IQc zrQM)(7?e(f(q&M(4N6ag(#xRqHYj}zN?(K0&!F@-D9x4xwI52WL1{B6?FOa8pmZ9P zE`!o-P<k4aUIwMNLFr>q`Wlpe2Bp72X|`mj{ZLvBN}EAxHz*wjrPH8v8I*2=($k>y zGAO+bN*{yL*P!$>DE$pev!y`ohtg_L+6+p&LFq6kod%`LpmZCQo(83tLFsK!`WTeH z2Bn`t>2FY)Efs1%lvab%W>DG<N{2z|G$>sLr6IbBCpi)z<p8>l16dFefgA|UkPD#? z<UweIObDF-r8hun2B^LS7!6f_As$ll1SCM{2T-~o5yHO!?&vcxG_*m?eb5e}4LTrn z1C+i1r4>3M;ssFp0F>Sk2{G?O9E66bVqgeZ17QWMh0qsZ{B;n10aSbelrDgZ2dsz4 zgT@)atPNn2ApuGsfYJ&ZA>s{C`T>-7*aQ*Z05xyJaR@))0)$Su2%!}&LFflidckD~ zKj8|5Zny@a9j-%Yh8qyN;U<K3xCNmZZbRq;P+H+Wg#Q3aFL(gq7d(T|2G1e%1t`7X z6@;Jg20{mXfY1#eA+*CM2)*DtgiiPYp%s2Y=m$`m!5UJ6Z-{`<1(6WiAPPb+h=$TJ z5V|2ALI)&5=!Rqn?T`YYFF@%DsSy5#bO<ew1ECu#A+*9lh&uwHbOV$=0HqZULF5~t z^Z_WXa2O(<0Hqf|X@MgU@dhY;07^3)g@`*qX$TKNHbBKcK<R{I5V;Fb+5wsl6s|(V z55VH{4usF}1VR_MLj2|62B8JqA+&=Bgf8%e&<ngE^aXDSy}%DbC-_5XhCm3N5DcZm zAhZHByaUo8{0&e#0UEvz84z)UEC}t82ca9F^ad#X07?twL*yNxbODs!Pyi7ZD1^`- zpmaeIgns}^3lu~64kZx!0F)Leh42%g^n@}9U!WX9CqU^9Q2IdyMBD(HE(B^I`~)a{ z07@&=Lc|-ObU`(Q{{YHA&<o)=%z@A!c0y={zYu!DKL~BW1Zj{gV202N>=60@1BCtn zqZuLm2W${pfD=MHK<N)qdI1+ioPh^I7eHwTUI^bo96}3dL1;*7VPH@whO$Z^^a3bt zPzvG0$OBM*LK#Hl1C(wkhwud|AoKz#ZBPl}AAr&msv!J?Y6x9W51}0zAhbXugnj^} zH#9-`4dB6F28MtZ2wwnN?hAB5_z$4;f^G;up$9@YOoq@Cra<U`sSsLV8ic+8r6){> z@B?N*Xn~m!`T~@mFbl#Dm<^!?=0NBRP<p~#2tQyRgcg_&p)Ww`2@4>6g@q7$1C(}H z1mRzR(g}+p{0~sNVF`pUuoOZsfYJubAp8SRI$$}3e*sDdtbp>NbO3npmx18|lnz)0 zm50&+tD$@-y#QMOG;D^58*G8l51{mdtq^{|HVDnI9YSA#(hGJ#_yId1G{Y_ky#Y!m z?1u0K_CV+ZP`Y3*gm179LVtkL3-&|!0S6#712kVufaaF~4oG@#fTeRj2%mu;LLY$A z4FV9pgCK-v5Q5MLpmc*Ugzq2%p&3LW^Z_W{AO_)YsD{u5H4xgM7D9i3(i`d^{DOK2 zZO{OrKS1dXjSzl86NENshR`3N^oAA)zn~RD8?-^_4^VnTJA_}*0ig{#A@m0*y`c-j zFX)EQ20ak^1C-v-3*i^^L1=@12>k&{Z<qk#7fgiE29qH42PnN^GK60+1wtE4h0q_M z^oD5=e!+AIZ7>5ue}K{(W<vM{vmms=YzX}UN^h70;TOz>&<67$^am)tVLpUkumD0E zEQHV>p!9}C5Prd82yL(gLVtkL8<s-&1<N3`!Ey-w0ZMOJ0pS;{gwO`7AoK?)y<s(k zU$6#38?1%UAE5Mxbr62RdI)W>0YZO((i=8H_ywCFw83Tw{Q*jE*aG1fY=zJU+aUA@ zD7|4jgkP`&LL2Oa&>x`mhFuVT!EOj`um?hafYKZGLih#yAhf}L2>k&{Z#V$q7aWAp z28ST@2PnPaFoa)l1VS4eh0q_M^oC;)e!+1FZEylYe}K{(PD1zvry#V!X$buRN^dv= z;TN2R&<5uq^am)t;XH(2Z~;OaT!hdcp!9}I5PrdB2yJi$LVtkL8?Hk51=k?7!F34z z0ZMPU0pS<igwO`JAoK?)z2P>5UvLLP8{CD^AE5Mxdk}uXeF$yv078F&(i<K^_yvz3 zw83Kt{Q*jEcmm-UJcZB(&mi;%D81o1gkSIiLL0n<&>x`mhF1`N!D|R@@CHJEfYKY@ zLih#mAhf}I2>k&{Z}<S=7kq@!2A?4G2PnPaGlXC81wtEqh0q_M^oDN`e!+JLZSVs^ ze}K{(enR*KzaX^1ZwUPXN^kfB;TQac&<6h?^am)t;Xj05zyRs588AZV4^Vmo6NF#D z451BJAoK?)y@3_NFJObv2J8^}1C-vt0pS;LLTCdn2>k&{Z{UXT3wR*30WXC90HrtZ zLHGsy5ZXWhLVtkL8w4Tz0wD-(APk{DK<N!45PpFugf<X^&>x`m25|_#KmtM=NJ8ij zP<n$DgkK;Hp$%jp^am)tK^DR<kb}?$@(}t1l-{5K;TI@EXagk({Q*jEP=@deR3Nm0 zDun(3r8lTS_yy_^+CT$Be}K{(G$H%~EeLI(4WU0k=?yv%et|B8Hqe96AE5LGeF(q6 z074rWLg)`rdV>*!UtkQO4NM^P2PnP46v8hsgU|-%5c&g@-e3XY7g$1Q11kvq0ZMPM zhVTn)Ahdxkg#G}fH`qb=1@;iyzyU&kfYKWrA^ZX-2yNgDp+7+B4K5IVfh&YIaD&hv zp!5cJ2*1DsLK}EO=nqhOgBOHf;0>V-d?54(D80cK!Y}ZH&<6ex`U8~S5CGv91VU(o zAPD^dN^b~;@C!m9v_U9@{s5&nghBWP;Sky&0z!X)((caARtg%SNu_xur3!||dd7MN zx@M(du2G$liJpOpCR7;K-os9VdQmJ4AOEAvg2s~>8CV!<Al5P{KotlxFfd?KFUJ6C zQSvh!KpPJO>0@HxXZV07ZVXiqn;(E0&)~@bnj4U0@F;?ekAd6=k_!cki!#8*??GZ9 z3|jjFG9NbI01^XX&~Ozag9rm`J^&;J!l33oHur;uo3M%F@-L`)&d4Cl@WK(|PLSC! zjLjU#@PiP;lsagDLKTCCkr)}o;qwAeVTSi$|B5l7kBftbeS|>a32o;=y8{fIj0_AQ zaag$p6VDN3U{C<f3ZRWQN<r1b@(oPAHdGwe9|naN$W0bdao9LpG)RzvfdMp3A_Qe4 zQ=w4xu>K^p8^iz_P6D|TR_?>>1r0BN#9`wm^Pmym1T_aXJ_YM`_d&&B{STNq^P%Ff z@ksERDh39IHH-`lf(#N23ea%SfI4~$SUs}KA?zzS#6jI8kUL@h44A!=Opx%0)#osA zkb6PuVdGx55aSulq3U7f4otlVRNSEo5&$kx^?6`%C<loGbw5Dn!^ShA-8=?Rw*Vv# z>p#Q7AJqH?iNnT8pwkZwpk^^h95y}!i(gPP6(kND=Td<<iviSZ1c}4iYcTge1iO=; z0XFUd9Y$aPHHSdzVdDx4&<QP2GXx|KYtO>W0af!LaajKs+RbJFRjXie=(wUjG%P^X z97r72e?9>f2URB^aajEWGapoJgT<l!F_<`LxEv%78}Ed5&$F2!@e6Ag!PJAwN055h z_-ZCJd^(`&VdFG>&|+dbR2){W!^~L$6^He|Vd6WX;;?bRi%|2ALB(PH4Ve1tP;pqj z4ikR?6Nk2kVB$Za;;?=lOq`1a;$PUfvZNy<K*hk~5H>Oy1QzFKfc1}H<`f7*%$Wc! z|J9(HlA-Eh?L?UR5~w(=zY7y@g^I)4GcfUKP;uCJP(RfCWl(Y0`~WOHZ-$D)#_wVI zWj|CL*8hT;e*r8GH57^BV1@V#){lg#mxPML+C?yNEvPuG9S0M)hKj@bVK8wYs5q=& z3loopio?dKpu>O+xlnOfKL)104k`|7Z^Fd;q2jQ98BBZuR2(+$0TbT{6^D)Mz{C$h z#bM*vLC`Qd4;6>?M_}q7K*eF>hOlz!15_N=Zi1<2WP^k=Y@7imE(8^awdY~t%209G zc)20eJqA#5*mw<0y(3f{Htz-<=4bGRio?daVCrL_;;?xsSh~uDio^O>F!fbXaoD__ z64X5{P;pp05T<@ASRASdiP{1d=VyS8i^0?%g^I)apD^)jU~wb^pv-qT#F^P4;S8Hs zg5?W-s5oqV8djdmv4h$bP(zU@U8s84coWP$c2IHHxE)N~A1V$T-}i-@6%7@K&4;~% zHk;C+;;{Z9%$y3SIIN!y6YqqI!`l5Y@mXMTsAWjhNw7FSe4HFM{CWc_4jWH^ne!4R z4jm_giT{F%!^Y#G(@_kZ9FTB^_0wSLrNH7y4uLW)!Q%W3uz6ePuswqdR2(+%1FHuE zq2jRd5}5f(P;uD&RyL&j&yWumhgyb2HG{?Z8DR6n&|!0iKBzcs9tk=f!7v*n&LjX| zj{`GmAxIq5UWJZZ!rZe7Dh?YTfQcUli^Fsy=+|IzeufF@5HV1@9K>SegoGz-{1s-7 z5L6sCeghL%hKj@HBVgr^F;pDZe}t)bfr`WCBcapr41rK_*!VI`eG*h0HogKAFNTW4 z=A*uV9M8bO&;S*O%?rcwT@O?oHm?L;|Ifg{FdHfkn}>sy|0|&4u>K^>-knf!*til* z{1j9iHqQ+Uhig!ASpOHM{y9_}HlGK}r=OwXu=&(jX#0eL3lcA|c^#Pf0#I>KZxIv( zF!L3l;;{KRSieITEDlnMitTZT$KVhz0E_c8z{U??_BKMrVe2M>q1N<3#bNVruySuU zR2(+04l`#BR2(*b1ry&36^D&e!o<%(#bM*DF!B3PaoBnbf2ez2LB(P7v#@;k9V!kR ze}<XE!3_z2*f<YNToNn}H57?b1&i}Dz~;?h?I_TCJy81=Hva=N#|^3;Hctyn4?$3I z*nA=^-V>qXuz4VuIYm%$*nGYX)J^qJaoD`FJ~UnRK*bY49V-R~hEzU?hK*2h*tieO z{6kQ2*t`l%{4!J=Hhu{ce*zVU&4<9mze2@f^TW|l_b~B5!Wp(6!T?&X@<GL6<NPpl z<YD5_@k^Mv0aP5eegHO2XA2dFjlaRv`$5HF>os8E90e7J&1b>XXG6td^Ng_mQ8iQ? zHl7Sq-vbthge@|;8Z6Gw02}v$sow(?SAedgfw>2?eiD>^VDq;VpoZOms)vmm!_0XJ z6^G4#!Nh+-#bN78z<Y-n7#P@jA>j|3CxWS$fQrNB>tN!VQ1J`U4kfJow1kSo#&2Qj zy};s7M<Y=&U~zs1*t!OII}j=kTOR`JFPFi@q2uu|^V^}~u<=2d_zb8xY~2)Sd<|s% zVyHN5-U6n63s@Ya0u^5Xi}N$U=3!v!AHu|;^KUTmPf&5#{1Hr?nGX`qu=Q0i{|Z3G zVdJ+j^@>n&*m@z*cpS)Ox=?Z0ycbNp9aJ1Pz77-jhl<12J;2&gaZqvCcr;9XJ|FgW zX*X2;21iIk5~h9*SRCXsRJ<81&d&gwSB9xS0u_hNpTfkiLd9Y8?a<`K@DwTzTXzK; zX#EHkhs^`Q%wggOg)^#KKs+<BI6nhyUC=9N`Qr>02dO~C31D%42H3m_%=|*AIBeV- zCf)=Uhpi`r<=;N2IBdOE1k{?@{GjnPK?a5bNO(erHyBod)k75_QD?#8{0y*pewe-Y zpyIIgJh@PRy@HA}K-c-f(%W~aIBeY=%$>{vpm5`7fX&On%ol-*!`6Gi>NORxIFbXQ zOj{h{(O_|Y2H3g~Sh<l66^E@uftg<o7Ka*&M6Cgf^E1HKe;GjKc7nyB93<*4Se%~$ zHt!1a*E^^<Y#k1)-S`hG?yw6Ike8ewhN(cuVPNybFmp_y;;?mtY0!o3j!<#f`XpHU zCjdO23^5a#%o1c^5Wq6dTn;q{Hs1rYw*x8;Tek{pcTIwdKTn0EEAW0yP`ei_j%*`@ zy$>wT&j6cehnasKDh^wR2@9XwP;uD0xDbdj3@@PKu=Oi2bACd_Ve?`zaV{Z9dV{Se zfr(2)#bN6^q062a)S=?A^%OAm7BF$>JTFY#6Dkgy&xDCbLB(O~+hF4f=}>XlJQYlR z1ymfi?lBwc-&UwNY+V?vT%7_Fhpq>PE)Qjx4;6>4qn!`kfUp`S4jTAnV1O-CV%P%} zhpkJ4nSUB84qGPxbLSnXIBZ=qcwaFC1H*G61_qcPp-u-=Ou~@xhpm6q0gEy)@I%F6 z>qB7XE5O8|>keSzhEQ?Xx(JxK6I2|w?hBURgP`KD`COR#WT-f79TiNx1S$?&PXH5d zg^I)0%fQ5^fyJSoL83N;#rYXv^VKl*N1)=c^>VQC@CsBMw%!D${wY)(wjLBT{{*t; z6I2|w?pPO6d@%e2i-T04VtElr_`}v?!pd!JusEt(5YGWD&d&f_CkAs*AXFT--UlY0 z1QmzPKf}a}Vd7}x0G&{A*gQN;{Vb?BY~3L&d{#llVe5}!^D^7P;vg5J;<I3Jeg@b& zSXh7L7E~Ozo(pF0Yp^(~86cjrC?woq>&jv7(T9q|)>**Jae#`$)>*^U`#{BE^T9Cn zv0!nKO{lmSEY8mWTL%SG-vSkft%rr>wTV!1*m@(F`bAK2*m_i0zTOBGht2oH)E|P1 z!`2<b`W=^`;;?lNF!hhY;;3#1@&1Cv`59pA^I+=v#311bTQ3W%r(~hxuysu^^?G1& zkcp_+8!XPxkO18`0jrO~pyIH753v4tB2*l<{sv}#5mX$uo*I@;>Y?JWeH_qfNQO?R zIBeZ3EPiJ~#bN6TVCJuaio@3F!Nhk%#bN7VVB%+>;;?nFu=dFvs5oqW7)<?Js5opL z22A`POdK?Uh*Zw=i-W=+H7r3q2e3Fl18m(D%$z`|IBZ=FOgsrH4qGn_3x^`8IBcCV zY#Tr$R2;TH0G5CIpyIG~=>Z_efZ7k@3=D!y67Y2eP+^AUQ1!5Nn=tq6fQrM`m%zkN z!o;ELreNZ?pyCFhkai19{54D*x~>o={s$}$a|MEykpP7!KLc!CJgnWT2^L4FgRwlp z;`|J-^*}K5qoCpop!+<a%kCJ`pyD5(88-uJP%%^-ww@4XP772Vwk{ARJ{2YoUC#p( zUj`M2?c;&XzigI(gg^Servp&+uzez+bsiumorQ|S*7rlVF)-YOiU&aV^}zbYPoUzk z^?xw;d<BbxOhm=pl8|tQt<#5<8&Xhl*nR})vUCP@s5or@2drOj0u_g?zlGWB3KfU# zKY@jF5L6tt{tc!+87dB2M_vteZZT9`0JPu%X}oJDR2;VM8`j@k2^EK}ABTzWgo?w~ zt-|a*1r>*_4}*!{28%--fkeFoi}N$U_E*8u=Qo%*Xn_X<0|RWHf=LPz&am}&F!P0> z;;?nZFmV;AxC3<kIZWIXDh^xM4ik3;iz7J@%1j4~^D~&FK=LE_+!zK1hBBx)Y+X9c zoOY-<Y`r#2d<Ik;wlBvUYW`BFIBeemtX$m+6^E^(gqd>;CJtSn3=_W&6Nj#AhKav` zio@1{!Nh+;#S5V824Uh{(vWb5?PG(LpJGsP*g8a*dUdEcY(E_=9!;R)u=U(9^{!BH z*m_`?co<Y1wl4%`ZyHn_w$2`=z8oeFU4ID^?|_QK_SwPAnF1Aut%HZDUkVk6tv7{< zZ-a`%_MyPcIR+JntqX>!zYY_Ju7`$+zkrIv*4@Iye?r9*p!>L7pyR!4GNAB>CJrRZ z04&bW@BzAR5N3`eR2;Vd1eSgRq2jRpMX+)8Sg1H`{W{E?Jg7LV;|0s_bx?8GI&zr$ zewa9Pe;{<*2*YfcICR}CO#K?DIBXwkIJ94|4Jr=X7XVX#94Zc52MrUy0TqX>XN8Hs zgo#7<dBDVfLB(PFS3&FiK*7o?3kqj`2G~AQ=(Z>ZA*eWP9V*NmWvDo8zZI;UH-d`8 z_D{gnJ43}``)XnB2VbZ-Y@Y&5eH>K$0(74ctlZ9nio@1B!_?P9#bN7*Vd4{D;?R91 zF!6;@ao9dl=yn2zwNP=`zR+msfdxCE;;?->Fmp~p#bN8fVdA%;;vb;vQeon6pyIH7 zgr?AV{|Occ#RMuAl7qwxY+nk@9A&6DZ2dP(+ypF+Y6gf`fJ1y1Se%~$wm%ux4qplt zhwV>*nZFGx4%<Hg6F&|WhwUSRiQj;UL)S0E#9u<iVfz$d;=f?x(DnT=ac+6gI2dC6 zD#%YDEG`cXALxEf*m#8sR2;TG8D@?tRJ;MYFBQ5>jll^l4l)rHXM)A~;rr8I>97(i z4%-I^ZT2yAz{H{ZuweGi1dF4Z4dU$si}N$U_Qk-|pNERW_9?^4+uKla2WZ0wx}BNf zJyaaFp8{qMXn`?ko(Q&%9VRZQ00}qPesoy8%Rt3p`-@=eb;05w7o%bi9OAh+#4Et! z{0y-D05J1Aq2jRpjj(aWnNV@q{uG${RbX*cw}5ziz~cN2u>Ik%a617O2dO~C*Kmlx z1dH=CG(gW;fraybm^gI*COb6$@+(5Z6ShAL=1v8uIBXv_tUsj-6^HGcg|+9cpyIG~ z`7m?5q2jQ8!?5^@fQrNRdBD_Xg2hq&3gVT4#rYXv`}kn$+o9sH{W37|8DMdcPE>pb zEY8mWTh|X$e-|na+jj&Le+L#vH3P&GR)T~ZY#%4Ao>hj5!}dYJ%rSwA!}htt(uoUH z9Cm&JEPVW-;;?fBVCtj6;vg5G;$9r$$8d<>#UcI|hq$FO)W6XER4{*efyGhX0^;R> z#rYXv`^jPcs)UNe_I<+4>4J*G_Q~D@8N$H8FbgaW<sebJ!Q%W3u>II4p>ijn;;{X_ zuy)i9s5tCg3D|m)r%-X&{&ko;KS9M|=Lf*X$^S#eVdoFP@&%6yG<=}@++pTOLd9X{ z1t>!Eof=dewvQ1O4(3pC*#2r*Ip7WzhwUSRsgD4QLp_f~<$=Zd8DRU0Vd=9DDh}Jn z3p1x5Dh@mUVKUU6v!UX!a|=SC?b}>%zTjtgm<;Jp!RFsrK-I&}{eZ1g+6oni?T>`n zdkiWL+lK@bzYZ0L?JI+czkrIv_Q%4+e?rA!`#fRdT&mD`f$pP(iA#gUkpc|L^aP9Z zGr-QLfQ>(dLB(PFvS8+<L&ahHpJ3t@P;uBf0kCqi6)X<542hZn7UySx?LUT@vl1!} zJI?^R{fS{KR2;Vd52pSYR2;Tn5+;5fDh@mE1hhW{Wc_2P_yp+w0%-Gsfm00<Zm|8Z zFmt4!;;{WrFmY|DIBfqgOxy-44m;lg*01!0io?#yfVE@8pyIH7eK2#<)gbMt0O&p- zklR5x2de%8be}z}ol^r9hwYDpnbQjuhwVp&iO++I!}iO<#MeW`Vf(#d;s>DOu=7x$ z+xHpHK*eGEEn({KLd9YG+hF4FVB*kyVKDLkP;uBfA<%823_R+Pc!BL#g{hZ?io?!b zfsNa1LB(PFQeo<?q2jRp^)PWCs5tDL9$0@W5-JWmUjo){%m9mnVgeP{fW`S4VCN6O z%<qATL-*;!#OFfAVf(;g;_JZTsAhwBXTak846yyPF!gt#;;{38VCn4@R2+651gu{8 z1r;}d?&E}+!>s`gXXw5_n79m79Cq#m%p4u4IBb71Oua2s9CqFUtQ_`*io?!rfQiRK z#bNvMVdmt4#X&wt#XVqge$a)NU<p`#F#{?NJBI@%z5*%^J6~oESQi7sRt?DdjS0~G zXt4DghoS0W`)*<GxdIi3os$8}cTb?=uyaukLHAXCfQrNR&BM%L)P#gH>>L}|x@A77 z_y*{{RhW8ts5tCAtQS!CYl6k093;vPEY8mW+n)|I#~&sR9XE!J>&Ae^kqm$`+ri@e z46yT)VEKJAR2+8x7HoWAE>s+LJ`*hbS3<=vKsEp}z}gktpyIIeJYebJ7*rg#Zx!bL z>ripn`7p3?jQh}WS83=tC-gW!hG$^)P|qMy|G?t>46t)`vSlHXTw0KLft^FO9oilc zgNnn>t$?{l9V!mn9}W|@fQrM;xq%)B!{81ThwWp9sgHn)!_IMliDyE^Vdp5o#H+MG z^#bNRP9s!3Y=1RO{UoqB#E;123a~go1MFN7nEIVCap*p1nD{BEIP6>tnD}j&ICQ^0 zO#BU09Ja3<CjJ*H4m$?{CeEh~34hprW0<%+R2+658f-jJ8!V3OBM3VNEY8mWJC6Zo zPA*g&cJ2>sUqcO49CmILEI)Qa#bM{hL5~k&m;x4u*o90k0gLl9z|IYU*}D}g4m*zs zR*xKkio?#?f*$+Da1kmF+s_X(=Mh*O*+vNaKMrvP9Z+~e)F6|VU~zs1*!fqmcDox? z9CrQ#%-(RQIPAP1Sh<%D6^EUl15;lC6^EVw02A+oio?!NfQiq7io?#gfr+n%io?!_ zfr;;dio?!HgY8E+2^EL!n}?~t1r`4QJ^#uG8W_)^;;?h+pvM9-e1?j{&J}`{OU$~U z@Z@J;fSzkL18R;CR2+709<1DxgNnn>J%PDLA1V$zUjQcV02YTDibREg#rYXFK-YV~ z>fbD|IFdprvjK<rB(OL?1MFNMn7xak;;?hzpvN;YY=DZx&eedaKL{3wT82bj28;7E z!1n*c)IWiVL(jE=iGKx)BN+f?^5{Xr`2h4BL0EW7K*eF_Wx>QXz~WHNNR%~LoSy-9 zJ_XEPADB4wTpXBqELa@L04TE*EY8mWI~ND0z6~r6RfI&%1B>%Bz|OINsb3Ejhn<H7 zOIQ1$;;?gBVCpYG#bM{r!Sd-{usD*1P$r8$B-~)<Rl?NsL&ag|WWdZ<fQrM;d4mp9 zGU!6ZVdq-H%&~xq!_KROwYOcN;;{2|VCIKG#rdJ<6GM*;V~7TcgEkF7&z*%jpCJ(> z&cM$AI}Z#NK7~+m*tvQz_tZhfVdoQ`g&Nci6^ETa1#{0Fs5tB#A(;4Ds5tB#E|~Z} zs5tDrGMM-|s5tC=519CUs5tB#8JPG7s5tE09GEzx0VKX)=dr=^wIEa+cFrSgyhRQy z4)r7w<pdVzXMmj(0y94tDh@kO116pV6^ETi1rslYio?zyyaqjhx)CaV!2&W60$WeJ z3@nc15EwH!xv0cYFP|YkJ~1gXz9cc7A-SlexTG{KO)r@tCABCuJ+rtZwJ5$MH$FKh zKQFbIAwE7OKR!JtKPfRMKBXkTs5m~cw1OcyKer$!wInq~&j7oM__WNt%=pBjqQuJh z)Vz|SN`|ze#N5>Ql+xVXN{0A&Bwl=GUS<i3(vtjwoSd>;hKl0M+;~I1WQO>7w;)Gf z*LYVym-u*w_;`1}(0Er5sGx^S5JSAXkH3?nPrSdITd-?Le2Alyk83<byi25?qpznk zLrGC$UU6b_NoIatyrGGqIf{UhiLoK7fTg)PLuy58a%o9wypgeq34&u_V!)7@of%(T zl3Nm=pO=%Fmx`j*%m{^RWNe6JlA(zO+$2LY6BLz(24==^Nn;BmLx!}{yyTF?B(Qa5 zIr-`FrFq4f>3OLs@yUtBsqqz{C`c?yMaX9)=B4DM#;4^MC8x$0rRJo9lqKe+#HSQx zmZcWO7o`^G=ahoN0M%wABU2OsBSRxoxKoS_Oe|1jjSY-U8H!7j3X1a6<8$K;Q;f}x zO-$3wEsYXQEeuWKO-vFkEz>M4;tdflOU^Bb4|NGhO-WCU_X`g4FH0@TNi2ZdVQ7SE zg^{rlT+Y-S$t{K!D4~fKf|e$z{xC*0)!Z1xABN@@r~<}@NH!Umpc-y$h?Ydm&~zIb z8(YF1ZD@vSwUH5uqydUUOi@iXHZ-<GkvB9jGJu<GWN3<-cn!@BP<?G=hAv=ifo7nI z1(H)u%@FZaoSc!GQks(*kDOmXi7qiGzBnhpJifRfH90e_GCn0WC$Ta<B?Z+dhGrJ1 zelaq#Ky$4znt+ihx)w7tgl7$qgU#3sHCW6|jfr!4T4HfYd|rNhQEEDfN=#2jHOLGt zz>UmIQB#JIDVhpH3u82YnHivIF-KKkWMGUIO{Qo%3@r^&RTvsspa~dQq9g<~8x7Hw zTcT<=Hb7TkYJe79CI*Ixz&AuI%M6VT5XlC$m@_mmMaq~MUNu6}h#HB;h6a|1NGvGI zFGx&JEI~<ssBvY8mhFrTEQ}d4i!*bbiZVf^OL}TacuG=uQD#YM5yaq(l$`jY%;L<v z^mtJD1NWbyDXJe#j2YtN({uCl;)_cXi%R0-Q7tw>i$6mn1B90iEf58kp$S^d8>8to zGBiL{VQgSv4sk1}lut<o)vNKa5;ilhEI&CBRA|R%rhzNf;*8W36t|h86*dNF1%RQM z8EOGwidwcAnVLZ&0aOoXCgx;TrN);e7H7vJ?1qP$5u%bZGBiVtOhZegD$K~x*aG3H z+|r!*<ovwk#1e!_h~P6aG&f`b`whikhQ^j?N!-X1Da&I`E%CXfIVG6|IjIbpsi~>) z1@V@N=Ba6h$w{C9jYo}fLr{s2T+$gCpl5uvJZfZVf#iR5)rLlBrGk+Wa+P9ijL2Mu zCa69$MrvXhnxn>+u_0Q)U}R{F2s=Y#R8JWjAWNDfn`?{~?P$r+(h^Cru?ZxklZ#S8 z`7tFGR0}YGn<3y312s<KQ_E8GN|F<Ea*`60v%!&_k(yWlZq7lH5lVC#S(+ls4@1<N z!vrlQnHZslfsrwqfT1N?y<uo!im1Mk+7CvC=BUM>p_wI`*`}6|<e!_Elarr}mYK{^ z9cpM`jMRoRM^$WwTAUgh8X}d~C`BZwz<}lO-1x*~gS12gqcjss%Op$V)YSN-B$Gtb z#CY^N#1g$CH%80YMn-4?h9*d1Ze(nNly1;HW{zG=86#`ODAml25y5R}h8Dy|X6Pjx zT96x>K}x*h)ROq(viOq9g4Fo5%$(Htg2a-H_`Jki)K;~zff<s^(UJmYu0xM;W8^lZ z0a`23&=kF4Xk>}%dL#5Y$HW|xIl$SWG%vBJGCscuQLq_WAT?pobGnfsn(4*{mI%L@ zpr#-r10zV%1lt5^MVBS!Ah)2wc@fsHu{1ypWkXXFGyw~wI?Koa-7<3|Swr-MWR9-d z1kG?$)Qn<eW@5+??-}A7kLXo~_&S67lp%>pIjHe#WNBs!E<Zr&p(r`Nq$o2HRAH3n zm7o+MhDL^HJ~Bb8ZIKhLAzFSkGBiXAO{{I*q@4WZY*167EU_rQIJE>+up#APLsK(` zGEjp89PU`kK(IJ8KET-xS-{x95UBt(MXpIqEE(cG{o{*EQZn=6ON&!ez@Y(-bx@F$ zWE7<)rg)}+`gZZ9c}0nNDfzjmAp@#HVO>^ZBczhtz!;GlK@|tGi;N7+(Gr}w3B+cQ zCo}U>i%LKdQIwjMnp^^r05wtI9nqxBlKABO+$8i~un}6p2r3UCp2-9a8^jkB<tL{W z7staKY#5KFjSiDE0=3jYeQvM`(B=^+>>-UJc>4%7JS@<2wWSGCuhrZl%{0|GDKRnG z+`_;xIWgYIG%3;2(8M4fwT?72w?r!D(7IkGMvw#!8#F;l-tqA%i6x1Uwj_$njLeXX zv}7pG%q`7HEP-_6p|x#NPGWIMD!kubTvC*pmtK;AVvM0V(#V3L334Ju&$<Q{sGc%1 zLmL1wG%`U-m}os;Llg9B$Pg{(8yTYvml&fK1jYtPh8r0gp*B~L`wd7Hs}Wjzz!I%U zM{B1US|F97MkWmL@yQj5pavzlG3(&&<LTraZ=`3Whlorgj7rPY5;ZRvS{k9&1BMpp z10@!yUNtg7H^RUG)gwj*XyuimsUf5;L27%$(>ADSg%asTCWff(Mo<G2IYS$o8zExS z$j}^-EI?yV;7kO{*~uBH$=UHmiFxU%@%d@-nRzLx74i9q0U09;NZTD=MnW?zien57 z&~mw<DO$B}WCp6fu(ZQb1KP+0U7rDR`DF?jaRcZ6^wg60(v$+!o}7^(x<iajkp`dO zo<I($y!^a)cwrA2%>cD<6La9zxS=sxP#c*dwcpXoO=Cl38&gsfi$SJ=MjTLs%*+T; z*Bcp|pymZbbF`d>p3ltC<c-lfU`D1!kY*J)tWZknyi~M74<oel5xrGp0qp>!ROTh- zW`e3@#0V;=3PgkxB2>^r%+MUEjx$8+i=Z|ajSNtm(Z&X7ZZkANYhW4~qYI$LhoJ>} zMlnYdFf=tbhxBKPvDZlk*y<$YBxGcOJ|<{_-v2W|jbJlGb~G|DMM~p_7KRM*@gcs> z&;f2_YYdHz(6WmqnrDrS&{P;3q6rw9!ICE^_k+7_@t_2nm=j-+2pX0Ljg}zlTMKA2 z38Wa@Dlbbc$^<pqKnWT=KY)^|jZBeqh8bcg#K_P9H4hn@qxWDeFw!{k*rcU}DQI?~ zI6odTwhd2oMg}H`Rt=~D4|6=a`Ig8d2B4B7H?br+BQp;*Hy|~Uj6lO^Fw=|-(X*(D zAtJdO85*J{TqBH8VhiL(hgqtnxk<92fkCPfsK=KUpPZCrl4f9*9FH1G#%N7xWAvnJ zhB=4|$@j&ndBvF}nN_LC0fmSU^Z^?qW3(YcLlblX1GJjh*c4JTfJ+!qX$-0vKtnqv z@x>)YrO74n1tmoc<wc1F1*t{xpqeZmwT?72utcpA4ULVFO9@l7vH^1>9W}5F%`kdB zXv3Vw7$vzW(s-mHT64w7!~&_202)j|$&99GgC>R+Xq^m0v@t6~BXl2`Aa}FS#$*hQ z(S|jQjL-zoyN`yJXu}zX7U;8ChDakLM(~OXl)151jnIMtG~SPr&5aGveS*}jHbZM0 z8=9e22F6Bc>A)Omc)<{T2-6s8oDH?{YG{Jq8#h49^G2p<RvQ{3l_^Gu{A&mrkV1}K zBQsOf?j>5E*U-cOkv34XzM&~b;Gi01WQ;Z{Yh;3^!_e3Okr50{5H=a2l?R3fXj4W; zMrh@%F{t206k=vbc{VveuPn8wB)$YRACj1Z62peZXlcpF2s$(d&hA)K3(kgAe0~8^ zml3O8Xi5Y1{SXBfYOonvptTJR&C#lULnHKA3^Q~UmS_pW$j|^(-zF6oL;Gh)L4}&y zj15p`M2rc|m4T+1z}`VgR%lt+6s-U>G)1a!L0!N2vc#OyRLmM0*-%3>q``JjkE|>Q zG}>)$U}k1$oD3fAM)iu30b1fVGDR{0trRpgHG&MtfFmB>l7tSfS0aW2K%*1MrA0-l zc_s0nssPlhPsuOJP0WKtK4eT4C6yVOpm(FtBGAwhIv)YHJU2BLH1LIJIvJWEHSS9? zb0J-4kXmqCr5rT(gLU*DtpGwRM+{An=QcoD44x`LH578#8(AU`-WZ~_%8iVTAYHnm z)Ev;%4eC&rp%F&$Y-|W=h$iRf6_>;pWfa3h66OMeZBb(bG*b;NjUkB&6hWY66e*cS z@nGkGmOx~t#TTXKg6e40nIt1iv{KCitu8e}Dgcq@EKufpux53XVgi!yA&H1kH3Z5{ z@R7Lq;>@a4q}YdzjKnAB7a%1{=r9WU92U6y3mU(|>OzR4AdP-d2M3x7K?w}K31evi zX>NhiTs+h=Xpav{6{V&lN;FV62s!x}8XBUO07jOOQ8!RrB^Q+ygBHmYppS>c(t?pO zdXw24sfA~0fzqu&<QgLbOT_q!5vVGM8)%542ffZSMVpQ?v_$RH7@3$uQW`ikl1kGY zL2G{8K|@-|o(5HJ$b3Tsq)8e>q>{)8RG7isW^92rUSw#7*1j?_MHetKLu(-#qYId! zO{f`~n;=CfzSIF~6oHpLz#ND%pkri;X1I|V(yWUSsBI4^(NIREP(sGY4ADk0HAgGO z(Fe5+Es;B4M&?L^oVb_fpvIt~8CvJx*Z{pzYl2eXSs<k(P(uaT^+v{~#tiYPd1wU- zdO2c>W~HH}0a~nCpw|jUmWW^h%^#uIYKS)SW@L`0-Piz0yAgVm%E$nv@JE}SH8Mae z;tfG%J}e0sTB5aHO^v`s8*F4Z9y)o7>|SH^=CTobAI}sz{sPWb@Cq0**_4}^S6Yl( zry3d}+Kxt`IZ9;P&}RjWOwc@NY=CCH5k?aV&B4ZIsI@7^{HzJImWJmhSnHsmC^Nq( zv!oJUJ{qD;JQ`Xey7oqfrX~!2rMW@Ss?-xLSsA01Rz~Isy=Lg`EHkA3iV>*DK?x(| zg=UcY8yxhoDkeF%042l?EzFTdS`CaLB{)P2wpCQ{!N#&g#8e@u<p)bfh=D;+7Y!zA zWQf*p0yQpB+--^0WjBGk1XQs?MtVTQ$e@WVM2K4;<s|f}cVo1iX=H>ZV1gF&=p}%m zDcTIDp}C<ULwqV|J_IEQjZ7?&ie1QDQbA?BL3{;@GD9P@)M{jgHt=R>iZ(51gfZ)C zf~LaI5^adg*uVslgA9$Jfk;$q0kX6V)y)=Y<q=Y6*9cU2!Q&TFR)EtDbb$<V>>8S& zjrE~)<<VB985x6?(ZuIuCM84qHSxKLnR)Pf204kACxTYo=B0pIR`GeM6`;`@P>l_5 zB7v6vWT%1$a6r>+@T6m8XpUNWU```jpeislFhET(Mh0jp*2oC0a4|GQQ(*`yFX2IE zfVOhW$kGIno{fx=+ZAZTcgASLEy!ya&>PU^MyM5%kr}jE500y((lpOB18^$`+d?Bq z0RnR|wCGDMW&l<F1(on9F+{E04ULe?6!db@2(&N=9zn3|f|Bfv4bU5Fre;XZWk>>x zFHTO(K`w;QlaT>ZFBekbB%)X6Cg=?WGb1E>Kuf=iGvcA%MscAbXrKu03AFMFIprIf zqGeDcv@~I4fHVPWWM~d?G|uV<u`mo4D`*jJWQsf&X^gZ~8#$GL7Jwl;)6l}uk^z*> z7{JS(Q2c6afT)a&KnoXPHXDKFYf(%wMxSalN6Yty=+l+PXmbljW@tK$jL^(9GC-@; zK~q3*CmBH30iy?CYH@sGNj$h0RFztkkK#ciOSIWcV?#7M4NZ|3y_qAoPC-N9SQkA( z2dhB!R{_=qp$vM(mANH}Nep_$B}EWA1IEhCD@iRXV9?9UFG<x)&nwjf8If94Qi&v# zRGOKSqMMll6>@a))CFyX04vW(EY4uiOR3B&uFQqdB}EKinX=TP;>`R!6i$2*gI-Z; zP9jJHlvR*Z!k`D5%w^Ck$SKiF&o5!nD@m;=VbBBR3I@HRd~iLemzn|H0+EqY!~o|( zv_kemKy<*^(0MopJ&5+C;$jB9<ow*+)I8{xH`opjvS?}WeV(veZx|S$*AByJ88lO& z+i&5b%AmV0z;~uXgrL{!GQeoiJ*yBI2nju&8^U2=U@$||54}c~0Y<C9RYDlB{ooKD z1NdH6(3OplT`&yrdtpKMAA;1N+mEh4oPmMi+yDRhF#QW4$A~b%Xqf$MAj23K7|`7h zx~GyG$^R#y`e8H!CqyI2ji9iD>6d^AGh{L_fKP0N*$=vx1SAEc8F@fF1O}Ob;4v^1 zGB7awM{@rusKGEAc3%t3{V;oAbR7c&!#^bb6QCQlVYCC(GIaMtg&BIm_wFLn&jqM{ z7;Rw=Q3<mj-T%{}`k~hWFmMDy)Whf>Ad49o7(n{Pk-{J9O@@Wg^b3*)>xAuJgVLb% z4HkzI=<z=XO}_$EAB;|bo?i#m&L99~z-Z9<J)k&&+1~)YPZCDM{0r3vPd_km&@~ds z`eFA`!e|ZXz2`9fFneKq&^@5Y`gcJ0^}%Rfm_jIx?tjoZiy-~5^Z>gz14d7Q-eUyS z4);Hd4?6c4SwHL^VK@yvcLAmz-G0!uJjnVrCPFe0j6MPiAed&P_=gFB&WQmz5u%HM z0d}wQ3onRGuyBT{hw))F=-dX-mO7Yz*gei4h|~|dFAWs;F#WK5k$*t-D?kGlnvCJ` z4~s|8aWNqO!}LqULfkFk3!z^?_2Y8?UugP;>1Ti);2i+b?|~Nn=;a|ZBcx1&>4)8K z?U4l04+|%l{UEo(*dUsN5p*v$0|V^75Re!M!}M!|I7k?#ADKq;B3N|=)cy=-FqZ*T z4}tF1gSiKm|3Sqo%<T}B5c0uNi2IMCISghmL>1_)5lHz9<HP8s*C6@@(KMiO831rD BoNE98 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log new file mode 100644 index 0000000..209349b --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log @@ -0,0 +1,4 @@ +Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 54637 +Design successfully loaded +Design Loading Memory Usage: 22124 KB (Peak: 22132 KB) +Design Loading CPU Usage: 20 ms diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb new file mode 100644 index 0000000000000000000000000000000000000000..03ca0253fa497c8c88cf813f62854d38f1825dad GIT binary patch literal 10176 zcmWg2k!R*+U|7Jwz`)?}q)MKd<0qqB28hSVprF9u@L}JXT@IxT8@O2boL&90{4yQA zJ-r<KT+=ukdl$*~wluG3U~uqfU|{$U1r8w$3=G-*9tp{t5?sA99T)g{xh?QW&RCG; z@9654ndRB*nVjY4>fM`^wZPNY(Z6@*&IO*HzP)~4ZrLC)w+z>m1#Vf6Nei+PJY4-( zE%sZG;pv;;wt!{^^D;0naDaTw@EXQ)U|?ZjV01Xiz`(!_731t^Z)jZD(bKY+ePO=> z@4`L>eV9h1pkQEdU}Rv}z~JzH-anJ@xH+<}=vfPsP8!I6Q1!CU}p1IxVmyCyR* zI5;qHCpj=M3NSO63&Nx}V@L_Xq-J4A3B#mjU`UC;q;_IRNkOGJ&7@`c`4vRP1;K9N zgt<i;D$nAdm5~gVf;&?ND#etN0hWO40R;glXqlB(E(FWKwa7!YFe{?VD8OWt(Pb22 zG8*VIN-!BMbQxusj3&B_3RH$=v6rVG*m1%zud2eNR$xe}!K7AUNU6i5R$@qLz@%1V zNNK{PmS9L}L#3G88zG@54RfFlOs1h3O-2tY!`|B7*kjw+0QQ&=OrJhfmd7#6(JRBj z!^_dZ!x5|m9%Tk-N*ujlO5mm#LY08*Xu`0=2rA3r<L8m=hoRXFD$Trj;UWeG2L=Yl zBnJitP-3@$%CPclw6-^b<rtuHOqMXYW!-%piy0Uk6c}!BG5r5;ZVQ#*Y_VO~*SmP} ziYBl|xS<X(`PRnX_9ola4LxA_#z+SShBHhI=1x#~_SFqNwu?JJp~=7iv)0@hD$5C# z?SMELF7F1F=W_OP$na0d%F1*G8_xuFx48#Y0cU4JdzWp);)Z5ac~7W3w>U`7wz+Rb zFT@FO2YSI&7~xXk4OPLF>gDK}>;Q^duqAL;`a%_OfTSGKTzyfc{h-oZ>C@&cTjk@C z;0N&pTz>#m0pIp%a~CaI=#Z6};Nauufoe)1ilW6>6$ykx6|pMVf}<E#I5G%;svJ;B zApov496Zxq{TyAhT>V|)N*EZ-3!&Os=D|DxkLMzo)Mj)kfl{ax2Q-F2Aqq3qycH_R zDGsj7Z2MZk-hxM98%*8^yL>xTo@*Y&;aQmpU<2TR*8x+o8M^|3E~o<T#VdOIT5Q4A zz?=uF9%e)3*%gFa+Zz|PgN<*7rQbO)StV?;i=nby>C=3ct@6z9%S-}mho`P3Pz9VF z3tJW;jAw?W-(^sFjx2u<aDE1BhugjtD$U=~-rv(;xVWoBeDR8YXc)rd2Bu2D(=W-_ zaYvS$i|gjB%p_3UBIkc}W!|3ivNDrk0Sb>1m@+}Ao!y-+MvGVUFJ93Lb{kyXHmKV; zQg*nwdiy6p(kskS0>`1!?2B5Y7I$@k;t>|=0vDii9LcV;L9R`P$q=F$!8JOpuwrm% zm^K5{jsR8ju!0E12o^>*;V7tO4D1ShEud_n3>W#&;K0owz#tq0Q^K(jn)j69LjM^Y z^g(K3;c6Dc)WC)QGdLK4)WpHntbnP33;kzskO8TQhpSlyQv(<J&)}d0Qj-W%!{+4) zDlb^z0xXOy!dWm`=GOK`WjGI}IU6p$c;O;tIPX7$gCfZ69Jq8t^Fn1f?>~csG)OuZ zF5TX^P#Mnq&)^^hYEm(P98d;R!wM?&8<pXFSXh<A6)f&p*s(|%&WAao0;YfsQm8A# z1^zQQsDRv22~)wmctx)=ocEu>K?Cd#1_l;JKH+YdDpnC&QE@?KI3MPc9wY_EhDOS8 zKFlS(Fa_))w${eBauVPa1{Y-%?n72!1XBPPWfbm*DPWoEuw%9Zs4zzev9K~Q{1={p zq-5?~unlk_2H}Y?SymBSaa&<!IR8I`gE1&9CLt*>vK3Z_^ZzqAn1B>ahAWT-n*itk zXK*kBDVTz!0AvE3|DVCZ9Hd|>Oaa>lhdJ|ROjd>q{AX~G2dS6_Q^76^3Th*9Ww^+H zP;)_mL3lcn5&>fYVMArO$bSY0Iglwc;7UYnAxhvP{}~))83Y(0SsYd<!*VyQ7zX8T zhzeNA2@+udr3N--P<H}U$1(f|HSz@*luyBI`_JIu#2~<+d>To>1tf3=NdT11mCqsx zfbxs-IV1sF1_1^ZMmFV3NMep4V=f~JID-VPAPImix{4$Kw&)s?0NA4INCF^>pdMpj zV3gK^bzvA77#SVdKpDVc3KIi^7OejQ7H3C@!#XcuaSntytmgt2=R}CZx-DRFE`&I& z&jJ<)6_pGSdtrSQus9DwJ*=Yw7UxBX!}=*;aXy4Ntd{~7=SPUc`Y2#=0facLhXNKC zM2N%sCtz_QggC5s0u~oWh{O6OU~v(!_!dxj!a62kaZ!XgtXBdS7ek1{x+Gw6afCRm zKLQq)K#0RSBVch!ggC4x0u~1sv<{O%?t%3~z~Z3tnE@gW>xF>DLDdR_1K9nr{s&kb zl$)UO1?zNx#X%Vnsvg$U0E>gNIaD0h#{i2fz}?Ac$qegWFfhPa4#5lv4ueAnBQ)VM zLMj}XfVDX+eKR0fjEoMT7CKBo8O8wRU{IzofD1T?GBPlr$b*s{GptX;zyM=`ode^b zItNs@!khyh?SMBV7~m{M1_xyZ0S1R?kT;lNy&nb!7z=DXi~}>?!G{5%f&pB<!<De0 zauI<8s^(y>v9^N;4uS;@9GHMIi~$N9kY-J|03$1kBq#%;2!Kik6ai4yM-c#(5hwzn zLIxa0h;|i>1r7ojhruBY6jBV}AV3JBw8%ukK>(LT1c53jq`~@`Vf{n~1{e!$4U7W| zX$A%cMg|8pkUnTaV}|u185m$Juud2URi`?#PFU}ffdR$>>x6M&I>BB;2!gx@=Rsl@ z&P8|))JlQHE;Fo`%D@0)fh~e@U=~3<r-|f5a14NQ2)J5ffU&^3VH}hgKnQ}I3FnD} zV*t)YI8zH`88`;OR)M;15UXG;uvIV)id6_fkX3LV#40!!VU;%ADrQ)Znt=hv0$T&) zpoWJINFOY+VO?zo1{e#h6UIT+sf(-=)(dA~fU&?jVH}uFaAYF{L0*INAn6#+MR-jQ z*&<K_5E6zk7T6*f2WAl@vO!G&crt-?@fjFkEU-=(2URDi!vWK21?%@SFeE_>5@om$ zC%^5omX)B656Hocj1GpN@|?j6HX^{lkO$KP7vchq3^;&0)KE=EU`@=h5dsDV7z^w~ z7zgG=a3~@KL7@oeK@td@3o;)P7NE8+%ty?y@dgG47z=C>jDunkLJ(vToCmQ8&P7-R zYBR$uvVx6XFfdfXf&nhX;pyR@nc(aRO3k2_mnkF=U}G8#3@{ehZ7>ds+Yo{vx50T3 zx52pxw}G1LFt;(o21gheU@WjjFb;}E2tklVa2~`WI2U0NsM!y<2-G`=q*)jXY!Qru zVi7_RWD%SPu?WsZSY&~mIbfqT3=A+9*diDQ#Ug|t$RaooViBB+u*ee0A}iRa4+FzY zShT{0*xMI3+AdUT0cmAqbg%-)D!BYZbUR=yu=8LXSosG@uht;@5M?K#ivnYT^};x) zdTro(?dCz<$H1@<%2LG?Vqj#Hgo`@Fg4#lGF0`-9z@P#bf*XZ8U*f<D_A1EVpcXa* zBa_^GsGST9aF#Nh^`F53<Vgk;5m0kb4m6(yNicAhGMx3F!2#qy1{4vnK@8wfhK-sr zFu+*gkb-egLkiRtg0wN1VS{N53@{d0AB+P_JdoJ21BEcO+OS><_a%Y_ZC=3ylwk}| z^9rPy6E5H&1`2OD7aA%CZ~;i$6wZZuAM8~`FBQfDI~m4-c@<n<A_PI{9nOQyV!^qf z$b<OM9^^xC`m<XB_umF6OBGWHl!oA<kTeA6BGM3Chyi94)-(ia^g+`Q&q}DB3=HdF zEKazXg8(S-;N1TV4q$hKya*TMSAjDb*1(KFndx&dWd!wsL7s=&Dz^%*5YAGDv;H$U zfD#U*Ee;oDlv@qg1ZOG3Sx~n@G{Hp~806sILU>RaE{0+iT$F)lJ=`idOBv4k&)@(` zV{&i-1~>~v5h$z}IN@>*Y@on|bD<6fH}2qqpqOEX4dOB|z*yjP2jjr93OL;%1VNDk z=RwL@I2RQ3pm7$+&<ZGw1Q;NrDhv*wArE+60vi`*V1Ti}R>L?bRwD#KR>OI$U?0J` zP^%dk96&=UFpI2rzylb;f@W%%fHI5$%G3^^ff-~0P+e=i6K)EE1vLdGpbTSxOaYJg zAPayhQtMrCQxGhuDKG&I7=u9>&iW7a63F*(K?aB*iYm~UiS=%{B?uPO5}1GnjKQD` zXCYex7lZ~TiYm|mjP)M4B?uPO5}1GnjKQD`XCYex7i5C^1TOHO!2vXyW4#w{34#T+ z1SX&XV=ySgS;&^a1(~6izy<y@IDm$RtoOk!L9n2fzyvg43<hO53)vF5APdwIxWIn~ z2hcc^^?tY|2o}^5n1BY1!JrIhAzK0$WQAG+7x>TM02;KiJ^;4_!Gc->6HtaRKxq^- zqJ<&=PCf_WrXW~QQ(ywhFb2pJ(D)aM062Xdf}4V1K}~@PD8m>aQ$V9-C<5RFa2Re1 zf(11NCZG&sfJ_06t)U2jWB3T%6a))u3QRy5#sHZD8i_*@07v0bxG4x0)D)P2GK>K- z1vGAlA^?gbE7*J&1H*Z!dCG7h=0z=_#wQ~axLkt^L*^RbTmeQ#2Ul<d%?dU_#=vj` zW*A%u)iAg)#4tD)WSAS+FzZ`TBN!MEEU5or0?IH3$bX=I7zbQH1I|KG1Rmc26<cs= zCdkwbiYibEiy{CTg}1&9cMyUFbr4KI8O8uP2-IKWfD35AStyF&4uVU=90V7DPIjPZ z165sikKqn_0cELT3W1tWa8XF}3C=|{pWs3aFrx@GpBQ<bK<#8;cn)K6!o?uXCph;% zg9CVc2o&;gK}Hog6JZ2u^JynW^XVzfE(SPD8P598-~bvKVn7iAMW);{xHdRT8P598 z-~bxsVL%Z9)sLVWoRJYcvdSn8p1^PbjY&Fyh8-P1gOLuPF-!1dtOICV*8wzl-~cMM z96*H*xY7U@>fk~bTqrt#3ONT*8RY;fVjMsvgaaspJAm>hc(xKeqY0jM1<x#jXQ057 YSq`8+3<G>29@@$~kYhpE0kn1x0G3pjtpET3 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb new file mode 100644 index 0000000000000000000000000000000000000000..35ad51b2c35548a42be06da93012da89915016e5 GIT binary patch literal 15192 zcmWg2k!R*+U|7Jwz`)?}q)MKd<0qqB28hSVprF9u@L}JXT@IxT8@O2boL&90{4yQA zJ-r<KT+_I+T>V`g5?sA99T^<_85kJ;LxDpm0|Ub<zQrwlEw;Ta%_|ys+nc+28y79) zUEJ5u(!64E2T#YM#qBL!JpGG#J9--WcqA-%J34xJ+MByqfyBBOwyfmsUfj^nySTTp zrB7L@op)hJPj5Fb?M#+nU|?Vcd79xHjOD<<!oa}jaFT(6fe|Xk+0ow6xUi$AWik80 zeg)ozeG2+8jmV+Fz~I2hz_5YA;r+g~a$rMY1d6x=GlKvF1B;TmB-D83*7imQ1_uWQ z&Ljs0Mge99b19h2;)RRAGTbm3X_!ny^FpwUG)zVYCez-CD#HYF3&@jP3zNOPCc1cT z&dOk5a7bXd!Nu_ZKa)IEAJ<}RvI<aHc7=w;4Z@2-Cc`u;!laZiq?BM%i+UF=l0ea= z43%Q@^iP<D;t&<MjGxz36d6^h4EvIX_6}4>s6nN;oBMhjI~IzI%cIz)0hQ+2v3bL; z88de~?3y}%Dw5|lq4LbaMj{Lh4hqmP(SivZS%QRNKGuc`vx3~wj4;Mr7belMxB+ZG z14DD90|UbuCI)jos2qn^a>5o+-eF*HK$6ynNqcT_o(-37gy}YbO0)UTgiFGWHiSyD z3V<>ls=3inIp)rVJzyEQkufltCa6>4GO<t@HdhD7EJrU?ZE-Nk1cW40k^=(+D8a@< zC0RWE6CnEFQVCEgR!~~#0GkPyON7dCcJwb<jF4x58Jh%^XJ6FZEYPxYF<3VvOg0%R z%dyZg%W;;AXEInC?weGoG<%-}EWVJ!Jq;?$k>&4^>geYQarNOK2L^^|Obi0KP-(to zPd~qe<ShRL4+qzjELety(u@uP3=9mg{Kde+0xAw6g0O7Gz{0}F!0;a;2+K_jEG$fL zL0CRwU}0f~3&L^`0}IGdsCHQ1VPIilg{y>R8wM5@Hn<=x$1t$4u)_smd4++6g&!>F z$iTn=%OMQP5GTTN1Op?IyJ7i(ff3?EPFPN0aNuBMU~m8#gb;ecj4mX>s0_;@4F4G% z1V9BKsE`HegC$~Ukb)>iuz|4nV_;xlVdNIhgT@F0tB9?np^P$||DVA@hCzTqI3G!Y zkg=gMod2J}K^CN-0H%Oh#8yBV&il{cpbU~OM3NR(hV%Y2ILLvdi{R3-w!+GA-hT!M zd60B5Oqy+j!_4^`W+}r3{)5UD0S4g`m<m=|TO%V0WjOyogM%W-h*FpWW>IlLWjOCY zg995#x(p`GvTMiY9m;U-e+CD3kbF5zo>@THP#Mnq&)~oTlCFSDiwhbm!+HN195^A- z0Sb8r21XVwSiQu+z{m);n!#ZT69a=5tX2YxgRF##!|EikILIyrhe;syuo?+04z>g= z&Zy!Lf}Cp*`G=9gfr~+a!66z{@q!h>a}fia#mL~mjj9Nqs~F%cMg|8SR7LPy#sFtA zGC1&}DuU-a1~`k6!GRA|5j+<%z*&q84*aN!;JK0k&SHcld?eq&b14Iy#mL|wh-wl% z*D}Caj0_G!sEXjZ7?GbD861RB6~VJM1DwUk;2?sk2%gm$;4DT42T@c-@Vw3dXE8E3 zh@mQi=XeGf%ORM70nTA?NCQPPgM$x>AgD-ysb^pY7X)xFB!x0CGB}8XtODx?Clgp5 z%fJ9*fvtjZP^>}-f~<n`6u?%&xd^KyP_2U1*9;6W7T78n2WAzx_2?jpsuxz7Gcdqd zV7)L7s$MBny@+BG#scewabSAEp@|R#c@fTI1A7t9MR-vfZk3%8tdwAgg0fUGg%}ta zCE=nDv5X81%5W~Uv|?aTfeXQnLTw;Bu)@n7P`+hgWK=MQ+R4BW31c~EgN%nu{AX|g zxt38`-2|qB5mH^i`3!OhCY+@VXZ>ez07WT-CR~J(2}Ks1)<N|;T!B0b1EZ(|1H*rH zQ#4Bvd^tFi0nSo}v*4D(MHrb-WZ{;=709zNFo=OIHAAx$&S#Q?Ga2A4WjG6NDO`k+ z2}KreDO>@_QgN`Q=4h6}`OI=~CIg(M3}?YDg^MsUp~%85g)0DA`U7mK1)8OBK8qZj z$pB|5!&z`k;UbJoD6()%;R@tg7=$IkmRh1&3g@%R!I=zjmNJ|Lw-heI$b=#bw-l~G zo`r!~3v8(snx$|)n;e|U0B0$~S#V3?B8*HZvT#e`3P6@>f-SX1vlPx}mxD7I;4Ec0 z3vMY~gpmnF7H%nA0VvCS0$XZ>W+|M{AqQtNz*)+07Ti*}2qP1UEZkDK0(lmO`k!D+ zZP6@+^Eu_<Oa?eh8P0-R3KwByLXm}A3ReIM-d|u#?a?fS^SR{UOa?eh8P0-R3KwBy zLXm}A3ReKKQ~_+M1Dd69KDQj43F>e{hB=hsVsK00B8*HZvT#e`3P5qH1hy1Zt$;=p zKn+9?8-&4p9yvIZ0nSo}v*4D(MHrb-WZ{;=709zNa4Lc=bwcwgoX;x<XEMN9%5WCk zQn&~s6N)U{Qn&(n7KUf4U`w6REQRy=<lsyOI7=DMf?Em~VPry)g<A?&0J2mKY^e*H zrEosK9GuAjXDP#3a7*DLj7%u9a7*C|KnY15Y^f`nrEtE09GuAjXDP#3a7*DLj7%u9 za7*C|KnY0zY^fWXrEtEW9GuAjXDP#3a7*DLj7%u9a7*C|K(&kp*iv^iOW}MWIXII6 z&QgZ6;FiKg7@1IH;g-S`fZ`OCtNyEdpjis%3(LWo3~-h*oCUWOF2cx!A`7<^t^j1I z3fQM!XqLkHB64sh1DvG{XTdFni!d^w$igj!D*(kQ7uZs7G)v)pQ8_r10nSo}v*4D( zMHrb-WZ{;=709zNuyTVf1$838B@nbV2<MB*!I=zjmNJ|Lw-heI$b=#bw-l}b<WnB7 zrM_rBh4aPb;7kTMOBv3BTM8FpWI~aJTMAbI@+mLaQa?0H;d}`>IFkX+QiikOmcm6C znNVcmmckW)3I{&0rJ!+s<lu$#CFS5u1~^L@&VpMC7hz;Vk%e0dR{*k<A8aY84UTLn zoG&E@XEMN9%5WCkQn&~s6N)U{Qn&(n76wHbu%&@$!3*b0%fXopaF#Nh1-BF~!pMXo z3%3-m08}E$f-Ma~vlPykk%KcC;4Ec03vMY~gpmnF7H%nAfjkR?BdBHYUmesLM-E;% zUsevzWPr1j;VihNa1ll(6j`{Xa0MWr%7c9h8u>xC6wa5EgEJZ6EM+(gZYf-ZkqJc> zZYf*=D4%`?TMC+(LbepnmzRSx8Q?5sI16qmT!fJcMHX%;Tmi_ZU%-}zp~WejuOJ6! zGQe5Na2DKBxCkQ?iY(kxxB__=27XW*@4tFDnx$~Qq8yyb0B0$~S#V3?B8*HZvT#e` z3glU88JNI6jX<*$&R3FyGa2A4WjG6NDO`k+2}KreDO`a(3!@?rXpkK=YYB>J*fa+N z1B?Y87J+eK!y=I37|<{=WYh^fY6P1IVPJr<z<OaERK1`PWF)<?sS*YT7z?Zy#)0Vt z562(`L9JRij~zT51Lq=!V?e{r5UZGBlP(MlFc#Pv7>B{312Kk%5CmBR=RvH2a}m~n zhOMFc8JJ-cI1CIh7T6*f2WAl@92G$0MG%`Am|^of3=A+9SSO5wsuMKM4Yw0E`@_Hh zV}W(TIH)?6knM!c3o$UjSYVwn4ooLF^bvxfV1e@>!2;(Zf(0}e0COTZnZTx*7#LtI zuw^g~N>n2RL6*UJ5X<0Pgk>rqUm?aZ5mO#87T78n2WAx{7F1F7!X~sB7+@^0UKoeL z!5f@ZAbQo{daW~Ixt9UKVq|piWMF^`XuxHZ;VkH^2{?%1g5VSl=Rtf8=R&Lp&$WQ3 z&EOL3EY=JR|E;s(4neS>4uJ`1z!(h5a2CuVpa6#pGC<~Z!0tf^TES-Z7#J#{mMO!9 z*!;3)Ox>~z6gP~F4(i~sNxNF8G6sewC`%Pn2s9iD7ljOm!nugyP`D7>C_=-bJatez z85kO2EKazXg8*n?6wdw6-~dh#pkROtGRoD%G%~<h%5c_y1_w|=VBmy{IIx2Zg>#__ zz`+O?WR!!u2H`GcxENFq1BwV}YJh>kt`+W@4k$|%QwS8Ca8XEb!nugxgbOjij3OAE zZBRQI7}{YhPPmwZFe3v4ZZ0^m;fnu5W+0T21wivnNcu4}fD27f?7%fKA}JRHg*#jZ zIwJ@Uceo&<9NgIm4=BUM{xdj$N)rYY5l|V=z`$VF4f8O=S13yrQwS9Ba8XFW!?}on zhYP`t!W!^Q3=H7uI0i;Wo*t;33=CgjEKax>Bpt)K(Ai9|*WrSUDsU#l1eg&ht8E<4 zGYWvRF5FgTxn8(JI7=DMg2o^NiU??4TCNYS4bD=Av!J<*0qi`uD1%%-Toat73}->- zEFhZTq6`cw6XCkx;eyRmoN!OE%1wf6fwPq1Ea+4<1GspFi#mV?@Kki60MvMrn+!Jv z&QgZ6pws0L=fXu9<fgzi!CA_17IYFGq6sd_AU6%J3C>c6v;H%HXUHI$;Gzr))8U#v z!&s1MAh-lH`WQLYXTVf2GAhH_{~;5}DsTaYMKEQk0sH`*YCts;WD1IfXC_?XJQ#}; zE(S@fa4vLaADkHAf{ZF~CIj3ER9ioRZ3QJ)h^>q~vtV{H%!RQy;bIV5;audx0WQcW zHyfsr0nSo}v!LO{fFc5#*Or6Zhp<^0E(X_zECQN+XJC+<54R7_Qiii&DNzG1f+7c+ zfCIN2;L_kQg!AN4xuC^%43KF%InaVda9<9*bP>!_hKr#jUAQQt+(Nip;Vfl13nl5o zMHv~?7sGThGAhH_{~^l{RNw*(YhcPyBN;tuG4m{eD_jX<al*wQkqqY|CoQ-jqY9kK z05<~FR$(U8Vvvz%Da<a06)+YjTnu6>oQs^a;DU^D%U~KA;4Ec03!X-hMZif5ZXd#C zWw;nz8?p$f4rO4FTLrfd&QgZ6;7JQEf+7b`T5xG_T7vV$QMr)N0?!D`t%h3*XDP#3 zC`k)0%E+L;7N(1lQ5nwu4_SYr0vBL72vdd{U+AfXg=ZaH;a(Vv6D|gcFE|%DmB0lV zRp3kpxDlwf8iG>^qS#~PSr4;|VGoSO2^WLd3g;rH61X6v+y<CN1~^L@&VolbvIr=Z zsBDC5gWHViSaS@=Zh~vs4P$Y_#T-CQDGfMR8P0+ZH-O6>xF9$+z<H1!3!Doop`qmt zsyYU_%`l4@;4Ec03+`ED5zta2l`U{>aF?Tc)&cBUkj0Ryfk|#FTnn6~3}^jkZ~(0n zfz+UIQAVEaa7~~N4Y-u#go{C<6V8P;Pmtunod9Hc@IoR`+Z8UzD7OP{1)QY}XTd`N zSp>91N)GNG1~^L@&Vp-076Gk?0tE=qKDdo=7AKqq_LK&ks|;tsq8;RXxS#^0HUY;f zT#!)?&SZeIPz(YMAVI<!F3-pyw;%2<I7=DMf)`hC5fnLiaRrwKy9v(YN9A&W0s`W8 zxdU)(;Vfl13#GV%i!w5(AA;#(WK@Q;|3g;7slWvouELa|CQtO@ikasyT;U}cixVye zjud4$7rD5C3o@#}nGA3vP;D&+XA0!v>Ilp(hKn#3CtM6-E1Zj5T)_ny<&MHMGQe5N za27lvB8z~Ft7C9&aGOybTZ!gaX1U{VEpV1HoQ0Bu;i8N@C*YdkEKWEJ>_ZJWR~gPi zPV8_&<irjaWR!z58Q?4wgFuVdAc-9=&&a?7+7|&XPZ%!1T*wI*gSZRMRfe-*?gCAs z!3Dud8_t7N%y2GJ#SHGwz$G|9OQ#wBtH1>q&cGak8WBz4hyZ06SW$Wkt`N>rhO?l> zAOj~{#DNXeDTi~R3c#+03o^=`h8qfJDZ^Rtbc8GdTD!@>a~7@-5s+{(a6l@<xlpS) z;R29x8aVeqbgd_-jDrg@%AJE-0cR=0S#YNzi-3!0xO)&$tPB@}YeN=+7SVE-;TFPK z%5WCEB!r8g$iYiOxHLGV;XFv)4CjL46<RmTU4dH*XDP#3C?z3Wl#xOG8cY`>qcWWR zAF{Mo1unpFAEpd7bzzo-*Wn89z*wAcF-U~MxyU6UT#!)(&SZcafokh6v^34ga|31< z!)+Lg6D|g^70yL23E_f_ayMZb8Q?5sI13)%$RePUP!4V%!e(W-7+f2&2(;&T7j7S% zr3`1mQwdxIMGl@y;L_k!0_Q<`j&Lq=&+#7IS~yD?&O%8ga8X7E^#?FrjEu@~_J7Et za22=!!y}k7)cAs=5>Q%<GMg58(>oEM+(g9%{%Upe6AP>W^Xi7#Wq}?EjE;=PGak zh9@v(c-_Ikp#Bu5kdaXt&W7&IP=O0DJclX6YaSz`+%vdBI7=DMg8K_u1mdq3Fnx@S z%5e67$SQahxB$Z^m@;hcK(q{)<X*xR!dc327RvA)T$GXL6<pIt7>g4w2FXNlE_8ew zob2F&3@QjF+z3>sz5-`mgj1R2Uc>BSfU}h0EEK20MHzVBz%{*xv6SIr(8h!cTz~<t z2-Pv4!Hz+sOD4IuFw+>|EM+(gTK_O`!bKo;Bb*CW01gzmAfwznxS?>CGMoi<EToA6 z7X|GM`2g1jXDP#3@U(<10!~XH?=Ubh@<KL|fC?MPCJ<213$lp=RK0<BA3-)*fGT3h rCJFE+2=H_>Xr_XZ1-$JAysZYjc?PmY2EIWSZAUD`UIqpS(4JZVP+Rl5 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb new file mode 100644 index 0000000000000000000000000000000000000000..7e248078866d3b7baaa1cf4185935e65225aac65 GIT binary patch literal 1735 zcmWg2k!R*+U|7Jwz`)?}q)MKd<0qqB28hSVprF9u@L}JXT@IxT8@O2boL&90{4yQA zJ-r<KT+=xDZI`vIv~6u~WN`3jU|{$U1rD(c3=FII;Ih03R(}g`<D!MUi~AZ{npZ6D z;OSVjxV@!|r++bTM^8f^kAwwpM@J7&dvo_HkXZM^mX*BSiyQiR7xy-{^eHQ~^DgY@ z>FwsFy$x;*3=Av`3=E8n4rdq`7~ouo(?~pJm@tFLhS~F{I?UfNb=SnrGw08o;xKdb zh8YYD4gpB285~aVGBBvrrWWTUrrD;ZWEK^rmgUFiB$nnS=IS{5*}4WqhQtTC`^I}a z`};WSxca#Jc?R327F3qRXD25nrKF~&=|D86q!tw9mlVfm=OpK4#-|pS>ZFzymDr}F zW+&(8AowMz#i>OQF4)ez^8A$eqSW&I0<fTgo`IolN`7WhYC*hFUS@VqvJSG4Aw<Z; zHajOdzN9oiKDRVa$Jg1{*VZ-6GsM%^GbljEKP1#K-rLE=%{Dc;s5HMA;ZOtH%!<VL z)SRTmyi};G(=*fZ@{?1G;`1s~zz%?T1{4Yz$t9WPDE<LExFjtzqc}dbI5DFDLsLmf zW>$P=d_`g|idkUc{P?^~s4ys8O7c>3^U^bO5}`(c0?Z)3Dzh9EfVQc*iFxrQd8v8% z1-bdj)j9_8M)9U)FlimQLQo24NP(sc1_w~`V01Xiz`)=F731t^Z)jZD(bKY+ePO=> z@4|iseGixvJPUv_86yM31_p=s`_{^Vav2;uAd5S&GYBv+FmRZALycfp=xYJzH3kO< z2F@f021Ws926JDiEXTsE%mfD?KMw|wv=B_%4<@}Bt28Lrf>Jrh3arwg)C-ngg;hET zYB=lSj)fhIz!t;Z6%3VQ^YZlZNbmzo!dxW~29;#V%J6rEdmqkVVPqGMgeqZxd0QDS z@}I$hi$Q=vI2xt|?ssLl(0>L8ZjhQ7xEfdhD8q&RGdS>o)PPDWkS`hFp`Z*G`p@9N z3sMsYHwP9J%5b6o3=VuCH3={^knm83^ZzqA@Pia2!W2LQMHw#epTR)@9No|`U}V>V zMLW0%0{NH0VG0uigBC2p!Qvo4GB|7jiNj(VEDrJ)R2&w?U~!ONpyIH&1&f2+4;6<+ zDp(xkY^c4k2nCCS9SgRXk<khkn+yy|P!B4@g#@7$ge`LV2NkM<5brXwgX0n$S>V_J a2RAr8!C?jo7I=MxRslgni@^a@g#iHd-=9bT literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb new file mode 100644 index 0000000000000000000000000000000000000000..3fbea377dab0f258aaaf9397887d35d7ef094e8a GIT binary patch literal 6162 zcmWg2k!R*+U|7Jwz`)?}q)MKd<0qqB28hSVprF9u@L}JXT@IxT8@O2boL&90{4yQA zJ-r<KT+;-XwXC#lZEv*Yw}rA89Q+v=82&?nLn;FU!zw<g96}W@Ts?1p3vc71g}jUV z8d{oHEbidxShTpkrHiM3F>gmtLm!WX1#d@34^Mk@_bQNB_rjKyyxof%`gs@kHn#LB zE4A}3?C9z3=B1xaZVU_zOrWq~xDI1EFt9K%Fgl!MU|?{8ig9+dH#9En=xJHZzOY|` zcVWMRK1?H)Kx1HVU}Rv}z~JzH-a*)Re{+<}=vfPsNQ#oPmG3%f#J3n=^<7#tiJ zIFlS07zLOa%)Owp91F8D6C8Z}JiyXIFlld?^kS^iJ}~JOSfzbo(yOpagJK^PI;@L3 z7IrKGTg(l!H~^}Z&CAorBf$?WDGZYggGvg*lZGwAa|{eDNe&DQ0?Z5op-^=^F5a2W zt_}<RUBMoNg%^W)B2)oqbH~CJeJgBxn;94!7#J9!2AC&7<+-8qOPUv<sYr&Z;DxH_ z=<97>*pF&T3RDeOmcOe5+&>HqOfYLeDIXLNLW>;IT~k1@;E<J>;Oq@{EwX#kpeBhS z6nbYm<4~LqRV?7;nUU$A>EMjrOi<nhS<VmjK@<Pt6}_$Pji`Regc=4{rGQOU7P=}W zY^t)+RViXql>=492X#Iu4PgXGE}Ej1S(ynKit^ADt;VJ(AF4<g8Vt=H{r$a*7dCZa z1VsUMm3=K3Dhr`1x!hb_-5jz!Gdz>Qp~?u0_(@O&ND+^e4-qNZd<v#Qq#TK)a2lpU zq`Zlwa0aGAPzZw(AJ~oXOw<ci$h&x9UyJRk<_6oI#-1K{9%5h+*aua?0ZNOIL<^S| zI0BXA?po1g3r{o%c~C(JN`7E@cyd9=pGDRWPbdiai^%fIu!IDchcQ?fnS^1*1_Pt4 zGMxRN!GV`SfI&DMY7PUVh%%i0pTU6*T#UkuL84h06@@3jG;!?Oxnc6`IrC;H!-f7c zI0%Cbo(NOJDP(LRD<mZ<E~pF_`_JGY3Q`112n_7}#ul<5C2*1d3=Rq)C6i(1a7<pb zYv%@#U2viQ3=U!-HLzsDz^(u_1}^fS!9fwEWGcd#g-~PQLjM^Y#6fCciHLz+32F>n z<UfOh5=hB(gfWYu#=wRCGdM_q)WDJ$1G^&B7`VuP1_x!3l9>o&RzQt`3;kzskOZlj z1yjSW3^fKW@}I#$3Z!H<T!{u$30&kqgM&0k$sD*6EvOQ>$bSY08IY2Ba3yjgka&WN z{AX|w0V$aeQ^K}z{!~yDzy)CG2-GkFrDq1V#b6Z<pqdOW$jAa}@dz)18N)Ge<_?51 zxG*CNBLl;K;UzF-tVY7Z0>WUU;9~3$qn5(du*{h^V-{EmTnK90GMEx(ISGg%a1p4A z<uDaYQz1sc1q490fZVbIu4Kb3WjN<Qg9AS(TvozlS+>sFJWCnQ{m<Ya0Fqw?lV_H& zgg5~%0(HU~m<m==aX~|Qut(rxP&I2|YFOsa+_4F)1TF+svJR$%W5&!`Gv-f)DuW9{ zm92*<W1BgD!>k>fl;HyZ85{&bF5U=NF>B_W85`y)!v+2`I0%7MY=WuanKg6MrVYCw z5vdFp{?Fhb2U52gT^*#LfD8X;aF7M5+X7R^Hh;^k&08S;g9}0(z7?j3+1SuX8P5C9 z;2_T+zyK=c_QO;#$|}Rzu=INXE-9i6XG2RWkmjRsd0AyR`#*yNJIH~@;F2QBa5l7f z0%<-4mzPzBv;Q+VaDX(QhD(Yl!`aY638eWPTwYcg&i>EfzzNcP9xf@O3}-`&B9P`w zaCuo}IQu_?0~bj1Ww@k>GMo)9fZ*jhBfA!?q0GR*$OtL+9i}ibFlfP=$Y60$KrlFL z0g1!f#$a)fAEDx~Mlo0%<b0?&tThZ42e}L?4r>O3#koP%4a8nh!2vEwK<?oIi-YWC zl(K@gYZ(||HI*`4h-LQ9$)Exqq=^rtiGdl`%4J}Hu^fUK5F7@F4n|NoIDi}uQ^DZi zh^&Mkl?zhNz`(!=$^B6M49u|RG6MsQ1-1yrfmsC62`U%hI$_Od1_l@ltP{pT)d|X< zaGkKmH3I{T1=b1Upy~wWTewbGtDAuV#scevaZq)Fs&cqaSTme~0mcIBgmF-Hg6a*p zPFS0qfdR$>>x6Mob%I(3aGkJbIs*fY1=b1Upy~uQ2H-kjO?L(c7z?Zu#zEBys{i3S zVa<641{e#h6UIT+399npI$=$G1_l@ltP{pT)d{NQ;W}Z>eg*~@3#=2yLDdPW{NXxb zeE|jr7z?Zu#zEByY7@Y9!a4{H3@{d0Cyaxt6Vz0I>xA_h7#LtIuud2URVS#FhwFs( zA{ZE8EU-=(2URDi9)atG^(q(`U@Wjs7zb4+s8)dKv?_*`gbc8XLm4i_wR_e?hs87J z&X_wFls_efOJIsQrHzF}EQRF+gupc}T-*UvV5+#dMtS;rh6I30vH!xQFirdt!UBSZ z0)n>CHXB$gTvkKHHQ2`yG|2H^xD2L+Xa0t%yC&|KKXcRE4Ku*%;ZhnZE<XMqQQ)>y zIb8j&sS~$2Y}&bN^Tb^{!0O>r$mUnT)bmORi&%*W+lq<{T8RjQ3pBVKqi`im8NZaR zvABhdEv&K53zuQ$=hG6df~n)1xnttAO%AYzKQCN@nV*kexEiKRWXC3lne(U4c9^+i zCtNYuwQwcKuC0OT6PWEVbJo<|Q)f9qTl>6lDX=?gVG4OA3<ad+ZK3@JUbr~e8FesK zLNn*h*gC^u>#m&$1L2a)8hrf1^)RKvhVr%&aw1Z;5{4EqW5EuFt3Y;e156tq)Va`J z1vq@+lFY)5Fm+J(LOUqDaB;AEn_#Mt-3v957cL2QZ!=6OihH5Pg53*Of$ZKEm^PSu zq1_j-d*PBs!mTiMQ1?Q6LcDNsuzTBJs*v3aHIWxC33hKgOeu<cp~iyU3s-^c-VT^H zn0ul9B(Qtol03qlFm+J(Lc3hNaB;AEyI`u2-3v957cL2QZ#PUSihH5Pg53*Of$Uz; z$N;$2!YeE*Vk9ANs~{&LDlW(i7iU@2zi1&Nqk{^#abmq4W(@;^#mMO3$-n>?P=?Du zo4F_gpca$$PPi!u7St4&fHI81@Sni})SN;Q0JRLPU;`Np46wGbGF*sd%Iuw>{x+yx zr3!Ys6>MmOfdSSUR)z~9D_4UkhmCYFFu>Zs%5WiM<?0aSuz?Q-23U(%87_pZTmzyU zHV(qT0Bhqa!-bHQYl4+CFtUT2xZs98xPcCCXoDNf4xkVPhY-UL*w8-OSU$A<$KW88 HBhL%~2*l8U literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..2cd36717c37d471288591c5d76a402d9e27a149d GIT binary patch literal 8578 zcmWg2k!R*+U|7Jwz`)?}q)MKdqmNB41H@xwP*7lSc)#z=E{Al64O|R-&aQr0ewmKm zo?Z@qu4&whTl!YC_byu8*W37%`Sz2!yBN<nL@_Wh{D%UE2nGfQFSqReELZ=XehJB0 z{s|rn{Jh*2cqC^m$ntk|^~%ih?Db5}@^kg>P0Cu}>Fem<J9Fm(Pfy=oKQFgzkeFMB zYsvz*EXSk;SqUDl{;L-IEy(cnO>kR4Q^RE#7#Ns9LBQa^$iT3HnTe6Xnu)o2@j?!- zWJfQ5$E=JMHmdFUUJ<7t!fXkyS!teGa?ad^|BolAf&y)=oHY}Rr>CbY->TIM7dm*j zx+M5Hcx7cgUiO~h@M6UW%#09K4)6D^m19EYAc;emP=mOe7caDJY+2ONv!ZX=GL;KF zsd}d&3czMCFz`G0GcYhnK-~^<E(kL)pa`@0BqyYR33LgNW5F(FU~pK-z`y_t1mt)I zu`Qr+&cNWn#2~=Hz`*U0$H2fK54D%QwXwIo(Y6Iji#W713otM^v@i=WFflPQSTKN9 zE5KAQ?pWBd$hL(YLgP@a2vsfQ<?82{?dg}~>zI+1ndI;4;0hMn4Hnt~5t@qKMkS~j z0-pW}UM~Kw85y1)3CT$gt{{O~NCG(YD?{~jFYapTUfA5w+iu$nW*dUpvM9DPGnhd9 zsRGr-yKrI0qUPp?#Vvg;w!KiE0GNkErz)DxMUBWh!8{x~)u1{#dsZw2+tAC|v%(O} z!l6SQNe9?BU>#r<4jmd$9Xwf?Nm-c*UXBTV4hay>1~6v>_VCbz>Jx2lX>VB7(ca(F zu&}vfQODx;mM+^~u&5PS)DS8vWsAiHj0^${4(quD7#uc$h>aj(6NuOhBDR2ttsr6> zh}aGyc7TYTTmlRX%nm`!0u28hma>9KRt5ov{~*BtW&s9=#jFAh4$L6K*MXEefJjz{ zdZb*%z~rzRWYiii0R~1?8AgY-AWc@1P%knt>cN@dvV?&FL%^Ydfq?;C6*v=u39yM+ z)u5FsXj}%cA4Sm({{NqWfx$`&;XXJM=00=*u-)i_V5flzg!|CdAQx81YzA<+i=r!s zxC~a}Ffi)DnJ|~33xHjQE(j{`Ko}B7ps+<(0WZMe3<d{K8W2TS2yzi4tR`gmuLBP> zMO_#ZQaLb!Y6ox$3?`6DWD<&abgfW6sC?yjaA9CzFo0Uh-m$o0@j~0hP}-P*fq|LT zVIq<WZgBQlXuG(jVI{YOxV(&jp{=oqfThC)Q0fF#&kQK)SvwZ>E?&qgVI*s82vNhx z!0;bc4S#=cZ@X=0U(3RU9gV$<`uXK$WyNhJ<wOh(B?M)S<RSW)F!ZrON(Z)GJ2&mx zxdEbz8ABC6)Wywx9bi}ULtQN_CxPU07LY#X|NjkO;V%qoe{`&BS=_Lwqrca-rMq!a z14zsQO^kuT;XEkIf-<wiIS}h2h`0nIE`x|GAmS>Q0D~$ll$n`ym_Qhm_?W=Xh7cU! z`VJ)Oz{kkIfY89wymmn|m;g!Q&?1hag_%j6354-z;6>A*$HdH}2X2?(uz?>%155MT zbs$HrYsO=TB)XRMAT8_hX^{YF(J+LjNPcjNT-?{OXwiyB+eHh(d_x%D2%4rC9Bwl( zFlZP-HSu_6Ic8;KrFr^0Bxis*JHVXHJHP?W08!4sz`*8k9TYn^K*UWDaSKG;1`&6_ zMKCCfI9vlIZ7>NblVM4OfeGC9fpI}a0|-M~J}_Z$YY0p*Fd$|5Qm9)Uz_ksiFo9_R z1t$oDT0^iFFfyBg*<lh=j${D)$srtBh}8jCB6OI~z`y_tTn0vmyCA=UY=?`1tY%<f zU<U_0yeL=<wuONKtREK642%x<VEW-=`1NzLSVBDx>JWgMztAELl!>5P8Mv6h<u8&- zune>n2W!o>K&oeu<1tjCwh~*wwK)T$Lk|N3gEhi_F(fwF2C%j0g4nHnAi%(2fv%L9 zQ-KqN9U?*XeLS-O10$Oa!alI^NPMuJ;1s<OmZG649ElH(A_hjRdQnPaXgLQenZeP| z=upMLz+elto)g9ZTM7z%P{5%Ja(8q#cYurHmPN>HsQu{5p{;OOYa9~J4r^Eh7#LU> z+3cWJ!z@GMgB?MN&(ZaQb2Um9hjGA3l7R(Ot$_<O21rGUEtxTc0~JXn@y^1k6Wm+C z(t$t~asW3dL7|UsGpMhC(Rn}-Z~(PK85kYn85kJc(1I6<PrN_av^=23KvEct2Q~rJ z`$9@p$f*sQ!ay0y6KVhh1A`b68*BnNn9v1D2_|qhLpK1F#XuN2n|UEz0k#y04|WNm z1OxFtx-Mw*1>A^n0M+RXjBGw=HX-pzunAoka^NDf!2zuW>Ux7xGsKlJ9@sht1_lgQ zGO`7rITDEv)<s-!pzFeDsiC#p96&7|tstn~5XZuJU~3r|7>F_hQd9>+4FaVsBsSP2 za3rG(5}AP<z^MvdGblxYFmkF2LAU^HArc?#3gUthT^Fq33TeMOfLe$QjBH_Ob|LXe zunS!mQNgVh3AGy%WiTGtT2M0y;!vow!R}>b1C7Ojk|eBjN8*F^5f?P*x-eSn(DDb? zdUpV|q_tvDoD1WDtp%kpG(G5Mg3W-Wuvn-;pcID02Ac$qaCAYE%Pw>Sm^t}5LD(Sz z(L{(txB_e`5+Cdm;(`)g7jh|r%m$Yz42*0EXtpBpNw5`N7kXf$^TC0yl?=6;(P0S# z0|Sf)wieWf{LjGX0E$&)32+0{VG#oZLnc%+Eaf5b!6tym&5*~?plk=w_zeRClPOFC zqXmow)(7hCA~)c~&}G4qgDyx^D+XNRVAYOMc7wYlc%6upfEXCSA(Dj_B1n9&&!8iM zsN;iBo&#t=3lu6aO^g;W7FaJvsG!S&y@f7FVyK{N#}O(pW!RjE87kRmp@PH*`wSK; z;L%RlsA&U)=Kvbv1BD7q6Qc!;1=foZD(JG{P(c?YF;p<LqgA))Wjna~g`^*tR&373 z45=KnkV4{veTy>I3mx@!01bbFLI|dq(E`Q->%j;ibXjl+p$n22Lg?CYq#KwrY)-@s zja;<QK;naa1_}-E=rw2@8&<Y}#(_bh0aMIq0b_ynV1x#`EI2gK1xXAI4DH0H7noLT z&c+NO(2NXn-H*fv`xY`(k1}o#<v4(b%0b}-)52&0V}W&IgcG_fIGoT0Nem}+?a+n| zlmfSJAh`&p5St@0LnR+A-5~M7egn@xV4s!15Oo00CNMCW!VF=wfU&@4VT2R9EI6Fd z1xXAibnVapf>KD~1XGC3ktpHBz%2!v$zxz(1P{wN*fBCNfL)7_fq0R#v8A)O-L`r0 zLIevk?*ox%U|^7f&Gdmx1JBmLO+&~)R3pqlu+U9|%>;r>1NYM5rXggAHw`u?2r>=S zZ%6nPAw#@ruo*&-X`qITLkKL~5HiG@2AeqqnFeYAAWTEZ5N{f6HW6eRsNzJJhL9oN zG}sIy$TUzTgD?#tL%eCQ*+-CRph6m98bXG6(_k}`Ak#oa8NxJ#4DqJH<|{#_figV8 RG=vP%ra=@UlMbNO7XU<^;Vl3F literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb new file mode 100644 index 0000000000000000000000000000000000000000..6f5da3ea88760a7e3daf4a9a79854d61a12e36c4 GIT binary patch literal 5884 zcmWg2k!R*+U|7Jwz`)?}q)MKd<0qqB28hSVprF9u@L}JXT@IxT8@O2boL&90{4yQA zJ-r<KT+=u_J^V8hoISl59Q+v=82&?nLofpa!z#Xx#=aH3O&!g=?akf1jf)oYF79h+ zX<o6ogQsKB;`Wv<p8mzW9X$<wJQ5bX9UVP9?ake*Kw{ksTUPRRFK+1PUEJH)(x<G{ z&bzRqr?;DzR_2N`Ffg!zyvvXSV>vLeFfcGWoMd2NV1$ZscC<G%F6`)OS<Jq$Ux9aF zpMpM2BO)9a7#tWG7&b6Cyx+H04s0ZhKoNIfW)NUtVB|KJfEv!)+SuFP$iU#>z`&X0 zz`!WL%wR4FlUv-euwxNejvFQ?1(jp-Psqy3bVikwhDtIoUeODdnH=fBz<7p<!5riy zkWX0_W%+wBFgP$UG)FoxFrY{+#4WWLx6}&UQmZhe<e?5^+C3G;5ll)@2{un(*ImwD z3=9ql3^%wK{{IJsBBO&N0|Nuh3!vyBjn4ov0A@MN0GOQ&4h#4})<7{Mixw<$7#J8C z9oRrI<}ihcfk6uvF<^0agg7ix7{Cb?&I9Et9|i^nxB%E6a2^XP7iK-90|&xnSd2nU zM)1-=iIc$rWI93+6e@5Y#B?|pVLB(+^es#b42&!eAt(V03u3T0U>pVqB+C$jAj{x9 z9<W#7T&QKN3=Uji%ecWL518ZylY9&UP;<dfKtv6U1$F|A162y~FG3LH1UL`kUpN=) z1V#o2epIXAvCaTzF)}y^fD}c80@Y3hniLrrvY{+hOd$qFMoGA+Lo6c$gEE}^p8=9) z7*yawaHCL*2?thCU@$N-Ffb^99L&hbqYAZ?fguaV;)IJi2!JetbN@3qfD$1%?BRlp zDsU!)4$KIY!pXrHY%9n@h^=gLYH)>cmNK069~O<E@P>;r$f?6M!CA_1)_(>ENUVc2 z!9^M5G~k-xEM++BKZ64#k$^P8MH%EY;hNwqWjO0Ug99W@fi%HI8RWF!n&2#DIO{)y z11JeHfWr<h${?o=*92!N!&(0s93YtoWD{JJfk8zVt_vP%*g~8O9?z^idT=e4Fcv3V z3>?oIaIP|(^`8M;IDlOZ7i544;!qDx{vZb<s|N|HAOuWdPC#|FFF2hbr%rviLK7H^ z6D|gJwKALwO*SY3plqfBSHWNiGX&M%aIn3I^vNh^09OcSX~0>^a27Ozz`+9-WPrvR z4h^87z|a5^WKc1J+Y0wBA%_~nwZK`*a27N~7&ze~4s4(d4d+4?fSm&uWMp7aF@qZm zPc5h}fkYJ|$e85J;acD<WjG6J5hVG;MH%EQ;F{np4LC~~&iW56RYA!LF3128WWb>T zRH=bIhO7Z3$iQO-x78KK;)IKVQ<Vmss|;r$=LNVRBQ!6-1yIz3q8020xHPnWP=O0D zfcyk%DWR3rkN`%`3)V2Vf?89MW+W$E4D4!UI2T$Pq6mQV0$c@yEy6gYhNMFyMqaRi zD}=K&;4Ec03pp>q1sP#^0WN}~0UkbZX=wN`sMx`6bwIH2JJcSo5YAGDv!EfuzzG+D z<OMhvssJ2aa6wRBaDp2PPc4M<f-_tToTUtBK`nyh1-K}KoC{nNoTUM0DZ^RFc>yj6 z3pN}YK*=8LF=P!OK?WW-xUGRO7AIT`oT@b7TxB>5IWNEknV@+AE`XvQ9@lVbCP)TW zfeSGB!kmCDfRXcpJ6s{CMGDS7oNzI)tCitgXeEy#0L}|=6%3wm<B&T~4y!Tpf(Kk7 zoTUM0DZ^RFc>ylS1j`F>5flyZ@PSK1!-qk|3vMgix2OSx=}>RD7C1{8&Vq&r11DSr zk{94yr~+`3feV82f*;&icxpj)38dIUt`|W4b#MeRz*)+07Stk0UVw`-$OXXdfwMH= zEM+(gIWNEkVZnw&11Q;pJ%+3SB*?%M1h+L7#^QvFfm4+RoU06HA?F3SATu;Czy(m$ z!{Zt*%?!;8Z~=x$m=mxCFmhf1je$S{I0B}H6D|gJwKALwZM&iffb#-e1w$yz5LA1w zV&nx-R}Nw?oTUM0DZ^RFc>ylS49g2}5flyZ@PSK1!-qj74DLL*ZwWax9Ige<Qiijj zA;Q237lGsjI2Wn_oMhmFpu7+THx`~+P+fwV7oy=>;4Ec03u+N0FTh0^<YM5O;4BR| zOBv2W&I@osSg_&H07~{?k0EOS2{Q1+!EMciu{hyk;8djn=PJWl$aw)S$O6p^Z~+wc z@VJIcvq19#T!0}J<^*g3jGPzZ;R;h=EKax>*wxB#F0@OAA^^?{a1{)RFhfx7{g06s z65tBqEDbnI8O}n^3vfXeSYCjOplE=H4_q1=J`5^Ja9iQNCFIa#xE44|8P0-+2m>cv z1d<ovT&MzYl7S0?@<JNiSa@ndbqQu(NQY~Ivy|a1s6~*x02gHdj}=489MGsSn56+{ zDZ^RFc>yj63pN}YK*=8LF=P!OK~QDJ$Os;>VPpZ1v_M8dKyr}&IeZWsZPXg16NDW= G<K6&GxgL`M literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb new file mode 100644 index 0000000000000000000000000000000000000000..f70299764687fde3929b0547ff54666925e10efe GIT binary patch literal 10465 zcmWg2k!R*+U|7Jwz`)?}q)MKd;~%4328hSVprF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+^5rwR9a5uQQfAeYwdYih+UQKNL6wFfcH9xn=h!yLvD1^Kx6@k({w0%iqz} zD>KWp*E2cG&(*s(DQkhJucLqO%$*B7J$-xqyxg)uVs06(DGS`P9FrDgC3v{{uUhQ4 zAj8u)!EFIe3}t0tU=Rd(mBE3Lfnfs+6C;B)6La(8g&bbVj$ZzbSs5#ARNM2tB2Gbs z*%Dl{(mb=|oVg4CA5TyP1<qPIYbF*?Pfu6ARjU^+bntL>N$_*<%F1}W>^;Nb#flG@ z86m11-tSv0$Arv55{EFM25~npUTE9cvZ$kHMc=YzDi?TC^-e<+Fyqosf+b*gK#a3y zLW@!M#Vvg+7PWLKf868Rs>FH#qLx9>!JmPFfe{*3px^;v1_l&i7N6vV6fl7<0SX*& z2r)1?EM#C{fCV}z{eUn7g98(T00Wal9s>gd2h<iew=6H$6fY>{@PJVO<OD`W21^F8 zI!>rM)`k5Ii~ArXk}5+625zuA9;iCzzLsVXc9_j9z`)?p!YshR#Kg#;0Wpmis){8m zGr<c?pr~VJP=To9gR0|PxzM(=rK_*E5y3*y!N_0%(ZLVZ!Q9&32*M8SDE32DfjkFF zhs=u?E&^ffsz540n90+F$<qV78X>5CJc}H%GLy106C7Ney-+wP?qp^#fVfi_rf(s# zK4cDdeIhV@i;?vqb5Ps~w@(zNZw0bGWDa(HVlaKHko6&RF!eDq2rxM8<`Q6V*aIT= zf{1+}Vn2vD03r^8h(jRaFo-w;B94NHV<6%<mjD9;vqKQG0K<QWrK}*5l|g{vKS(fu zS%AS|F{=QB12f2)T_B|nAd=Og9+YIE?qy(d*bXvk2bTZ?BdQFe!%mPUD<+syMm;za zTsAQ<U<f!gFfcHns{&^-Fab6Zs~WUY3XRJE_M<4e!T<j=Ffdp#BisjP!rX^00Ja-l z5bQKCfp8zX8sx$cnauzWcTsfZ5SPJ96b42;I1}bFbOEr-&;>!|83;q-2o$#HD&Q3Y zoWbA#N&}+k3PCPngw>!7|E1u8rYHkrLMj_3DwpQq!kQLlf(?e0(QFQ$3=9l%P>;Z> z3lMFwsHKYm;xS0&;&2y~sM)}UumVg&Yh!PFqiqX2gcd=`s*JFrND-!bamT`rMYb*M z5E_SSC8%oNj`seZhJ}k)^!K&cwm^7>5S|E1(FM0h8LCsr%hk^@+tV+}*D)h2Gs)l8 z!4)jD8!WT~A~Y4dTUDTD2!PdQczGs!f?78Y2@ruDaDfddZh#kqs!;u+%`NQ>s~}!z z?pV~ZxV@#zwihgF1r{}gi^`(71Y{C8sXN?*g!cnbDf19SJOUAqLBtaf@f0NQP>B?O z3=a1}5)h42ut;KHU~~W*V#mn90M0E48L&+ZoQ*A=z3sNmix(nT5Jy4e85kI(V37zi z4eT1YX$TpJYJ?dG7P@J$NCue(_7=!APJ}4LB(P)A1qG55vOGMq5e+j80dUMPIwUhN zFraI8sKp`(s?tFgI_QG}2%8F!EC_>51{0uW4M+qOG_Z6B@(eh7K%N1+9U%iziwF<| z3q5FHDG+2DIG#fo85lSbq7ak7#-j^jgan2F*zJ&@LDvip8gxO-pg~swaSj6m*kmw) z95k@(0P+kdy*hwA19m$?24VstKoBgnpn(^{2C$Ns&CMg(G1V7JF))D3C~#%%aED8P zK|>Ro8(I8a9lSuqEU*h9%|(aX3=9Y*tczFl+BSnpVRS|222gXD8yEG1FqVRm(V>`u zfx!@}kZ~pBN{2L18`t49sEPym(cvGL00S2b%q%dMfx+QFmjDA3CrlKi5QM>Dgf56^ zn<7{apf(RAM$wgnV-#JG1!Ov?X9!R1=qjKJ7{DfjgMtaGYe21U5Ox5yzZe~sBY7Vb z-3;6UkkSSgCNOi^7j<-YEbeQ8(U5Rrc4%Q>U@(S;AIO2AS{sQE)(#F=kjb3rGGHg7 z3vwlUx_D;!yE-IfAu$;kiPO#D<>~L@n&F=bXE;Q$2@tCr5k&|VI7lIph3-^vWT6Yf zlMXzx&{cp#1r%DKWB`pUkR!n^RdCSe6kuRrVKYGsRwO>y08rKd=MOK3KcHHL(P0Jy z0|UA$Mu*v0MVDX^{r{iA0$o2dCqE|$J4Aq**d5HEhI1&0*~7rVV2W^}7!n)oJWg~0 zaAczkB7zygf(AIKOh;D^&j|34V{~{Rz`$UEu8^5iffIxsB0+AA2RWO~4B=W%BsSQ! zV8@{gqJ=Od4WKImrvY?9)FK#^DbQ8GGX*&EAc=s1kvMmOGB5~3Gcl;nL^oaxT>z^G zp&ffD1?inNfO_VjutzrmH1q%pD~EZE0u1147sC<^0m^L!b;=R8CV(7=KRD-tTn8%M zU}5S2N~sozxEDiWgG^@NL>B<N8eI?+;2;bMbPPiX3t%-B8uU;KEe6n?fFmr>l_8QA zI4s~1ilGkddj<wbgu;UinxoKFgK`rHBj+efM5uBivBBnoy^Ai0HAkT<0_P}nLChS5 zt^(n0P;m&0ed64O(dNPs0Gr1shHfcw#<M{xB`D<(4X%*TjlvpWpcDtfkW>e59;55S za1&O8peYPWp(QkQBe7(4bR{&f6-V+$w;2)7;N%TYw@l!01rrJm;DQ2FiecymCr$<i zhh8=Tr1q}@10$O?QffwGgEfG|6kQN&YDQNCPR;0on5h|E1;UposTo}vR(F9C3<x77 z8gzYP=mJ;`f~FQIg_da0O~8_9(3Q}@Rvd{2-DX5^q9qyzb0=uDb1K+EN8TYU$Y2VC z4ou!5jT>Z{Lni|R156B@Hb5;oV@3uBJq%G$O@%H99-Rjh4xnL3aJZwZ0gdH=rT}0x zgTq=-3y)341?pC~y&xOV1)&2wumPSHhmD{C25c%pW8om|02+Q}ka5LkI=UcqAP6=f z)Z(xSW;(h`&=@)hJAlS@8D!kBnT{?988Sj0K!WicHp6T}*M*i58D!kC*@Z3$9$&&T z<b*2Zumxr-x_;;k0BFFPLB<1{jp%}q@hFS|DkK4ituWir^@4l3kiKrS!(UKxkO2(? zf~F!+QUkgmc=!tYpcaOx!!|Af24!>;ps5cu)(!6ef-?dWc)G}8HORTYL9THCk&r=k zSWlmU2|PIk<ATO^K^QtU1rrA61u((DfHY<s1`SXL@WcTq^};m3ybGGP0$~Owhi4#X z%x7R=fDIlnFhSbYpn&3p3qo~*^BlS$Mvadl0BHh%>tJ-v;6ff<5Vep8RYvG4pzcAc zhd3A*SR5EZz3_Apv50|z0W|Fgjb%<GHdrs%C+LFUsWUL)0GeQdbX(9BiJ=Q%H40kt zLn*Wh0Nn&E6#%*t$}IsE!62_g8m%Dzfun_qfq}^sW*Z|`YcMhpMi3Aeyoin}f`!y& zg*gJ`NDu~1O@L^y60lpL4QzCUpvE-_BO2HQJcM5AF*tyd3uxLOmRyk7ASXbEcF+aE zDFsX*B^Pu>V(0?kAVwF2rV}WImR!&kLmdh2e!vGy(3OE54yt02<C@VFrVKLb0pr0G zLq<I?MM0w;=%S!e4|FlmhzAIxk9sgLFiC-iN+E7%1p5#Yst8et8`#?$7Ohy^&<CR- z)8gQA0$r&DL<gLdf=#P~M&v+~r4Snsq7aoZlVLQH4d_Z?B@sj;0|U1dY(^er1-Jzb z8vf=)h(c6?BN<%~qg=!gfTnWLxCFXpF?0c}RzMRFlmdsc1H2oHZUSbp0}f?$We^|X z8uNy&JphFVIH7^Yyg3n~5Zz$Mq6=bpA432sJkT|Zp$lNO0vZrd3N1X)O~4Tz=*l2I z!WAB{H5Q=o0FSkS(+5Hnq8sd3bU_U7V+bIH2fAi()S(N)i%@tWfvy7L99*6OC4X3s z1+{b?K%N1+9U%iT0Wpn%V4+QDNP%h~m}#JvEy6T}4DqIcOaft0K!aM62-85KAPg~! zfv5>T*uoQ#Y2Y;!;AD&tg_s5QI=Uc6EMW*BMH{+iaI~QdvO{cxj1^*40abw7{(%Wf z!B)e7JOf?}0`?3-6rvF98FWDmw_^w(c?MlG*s17(n4Upb0dWqlGzeRw1M&=bO$*2~ zV7DV=ASNJE9)g9Q24M?#K&F8g$G}ZP$PjNDY&j3eG|(y=#DpJ0hG^3u3Xw?%(Beh_ DBozp6 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..7038570f515aa9f4c528854a26d6372e929efdc1 GIT binary patch literal 10398 zcmWg2k!R*+U|7Jwz`)?}q)MKdqmNB41H@xwP*7lSc)#z=E{Al64O|R-&aQr0ewmKm zo?Z@qu4(LxTl!WkYUxt`xW~0siS>X(6axdpe<*MWVPIhJa?9?|a`oSt?CQP1&&zFr zM{>r3EPqE=ugomZUeDw#KUeSGq^t#=zK;IAGj}fV^z`lZ^K#1uiMeICrYvyFa!gu~ zmEhs(ziP4Hf(%dJ1h)k=Ggy{^fq@g`Z3YKM28Io+OpFZHOw7%T7jk$dJ9_y$W@W6f zQEkuniZ}%kW=n9*O7qN;bLKAme>_1I6i{pBteIFmJw09dR;^yR(80siCBe_ZD=Xvi zviA&!7b`wsW`wA6c)xG091}7JNgTq28pPeac%f}$%c72+6@AN=sa)Vm)jJJQz>G^j z36_A}0Wr>+2`zTHL9yH3yJ&G=Z{t(u+fU~1Vm!kHQ44jrH4~FxHb;hMQfiiiUv_^# z`-TrpUk+gy#BaX1rO%>cRm<XrMIHUU<~IG0@AH(N{0Fg|fy=?4fq_918u*~_24MyU z6k!&h<b)J3fi3|GZE%n?FgPq^U|@j7A}n!&Xp2QHT?`BkObh}H3=C`zc?=8;3Q+sl zTN``Z8*N*lw1`7Hvj78wLkqJ20}~S?g9QUvwIWRQ;*NzKi)>riAv6xvN>J6j9qs)+ z4GR~q=<jQ>ZGrF%Av_U>+1Tt+hUygZa`kh}_Vi2gb<D`hO!9Yia0LtP1`F+g2u;QA zRu!lj0${ZnUY^OGpnT?#01?;$7ubN}24)5mh+kEq`bC>t+8b6uywKdSsAF+^OP6gg zSkwwEY6usVMR5t(Bt`}S1_x(u0R{&b5a9|U+(3joi16SRU|?W&2x1ms`0uck6-2T! z2r&Ey2?j6=FgPq`6<}~+2ASamQtALASsm(;5()#8gFVP72W|ldMpPL_2S<=5D=DZ? z7#Q{7OmO~YV89S?XkcJqKvxA$S6~8cB33nMIUSA50QRFOy21bdGcYh%Nh90`XTscv zE&#S0T@dUvFoAF%x*FsH1ewhM4tG&><q(&_G7$r#9-Ikt8M*-2W$1#SEDXYsI0A(& zx(axq3TH4lfYN{{x<ZhP7-2OM!+#BUpebs>n2-XSnaU+1xO}38nW&{G*ct=@DW-TF zJQ)}mbf6wYE{Z{H3uv*-0P#4aP<Ds|C3<dfd8G%{2rbocm2L)*9Ip>k4Jp-dm2Obg z22j=D0*TZz3TlQS)C>Vn{{$}=f7gr*PmhG;BnMZJz$_#I^fC^r-w3LodvRAw_rm6e z-getwFxwE!MlX+`x{RT^co#10Sk&Cyu(+kK#kLp969DsY=rlpoxu_9YCzyvrrzun? zXU~d-U>kZldsY~NSvYi<A?X172CM_j!lA<)s)HvhGbt-G!OJnh&mjTA*#PEjKr5f2 z;b8&Q2QJfzDe0wbF<k&I798RsX)h5}A}4`}WDt=8B2qy_8i+^-5g8yN6GUWzh-{EX zhf1Wx&ft&$l7N^f1xv{c42%w7)9n};7{EmiLI&&v2F}Ko&fa$0=EVyUEQr4$@}Tk^ zmeN6{ft?9A4Iu+jjW7ejLN^VT6F{bc{R=k@Aw#@rusi`W4IFTA(-1Pmn+D4vAk)Ax z5CRJ~gbeYf!Ez4BG;mbHO+&~KZyGE&flLFZ3Akwp8RAWY<uH(G;KT(t4Ix9kX|UV} zG7X$c;ie&Eh&K(EGeM?-lRMlrgbeYf!SXA}G*G^AfSZPpA=)%}jcNd^MtMOE{zWZa zwk_R_iyA;YP`eqzV_*PRFW`2VLo6tNg6b!0XaNFJy8_<82k{WieVlcaEmSjcb(9@U zHBohxJybQM&AkxP=EmOwhn9&BP@Uk~1X8oKfZ33m1--=&)#V7)1+7aUHA@SW2dP<b z=yXEU39d`vI>9^~I-Q|9;WbMOyk^0n!v#qPxIO{v0JCuDaE0oCR3?y`#TCMV)GRpa zXE&%mP<4)6zbAkMkn49GHIqABKe!77@3Ii=!+;82&@e^|s9NE6Xal9xb`a44B051t z7l`Nv5j`NH7ew@dh<*?;0i+RJAHfnggF`Dw0%D>REY*VYAlP(xDUFaJIuA<0QZ>jl zuruMNA!LX*4VLObrh)wnGK~`<3NZ;>d7=vnBqwBfcxEF;EHDJXokd26WCjKXbj=R6 zSOobYwlO&9gDM_uDxeA&z$SwU4ww)FgA^<yf;<C`H;`w*Zb!&Klp`u=1Pi^YhGkHY zY2X9^Hw_^}ylJpZ3o;FylHjHxWQaEnmXSfGfs-WMG=vQCrol2f$TV=;hMR_vA>K4t z0RS=$oJruOA!LX*4OT3GOatdOcm;-#A>K4tAptTClx@M)Atyo<ViGvXpbKK8ZVUl% zdW0l*bj{%8jxLCq+|gA)oWp=Uxx;H?4KHZXC)m-~+uYgLvT$KXWACDV+s1_;5n&_| zK|@F?2M<;`+-6{4(C~(8=E?GQb;|&^{XH_koE>1!j2#$Se4twRGBP}qy}TT=T>V`g zJTjo%iC``kZN6yQl08tgfw@?;`9ZaD`DSK-jrPdk^3B`<W@1qe8W{u)$%2%FJpfS- zW@1qu05zR=@rwS%D|*2-TjN3qPZrF>3^Q}kI5o%)UIklF0|?r+hVT#_Z3Z2fI*09` zDuThGlYxN&CI*jNV@3uBJq%IM_y@WmcrX)8IDm$*z{wO{4XCfb0_q@SHiN@jE&&Dx zZkaHshvD{vY(f`AYhblFY~&JvbfE~U1P$OZ$b@6F1ziw2BnlfmXmQvCvxT5a&<G)e zOawMt&;@Y~I-rh2IBbU5O{RX(NF{?zBsLeJ3xZlS$W0vZ5C)2X!xorp(Dj0HG-e)0 z6>-=AN^UZssTEKvMTu#2L2yGA(rASYhd|j5TVXCh*8wgYPznkd$6+Jb-e_!LfG!Aa z=t7#kumKe)&tV(PZggGHA_lF*fpHx+f$fjMW<R<h%zkil8E!v>=dc}SKe{fM{ot|+ zUg9}IxelAb_QztgA6*dM1P2Y(K!<r8cEIdKR}Cu6zy%(-FoP9?4qL$X#bL7#T@Yj+ zxHtpb2VptvgxQC#8e|{1#Dv%fWjbsH+ZT_`K6F7yiyzYbhYl=3IS#vEwxa8Sl)9*8 zFpTH04QzJ;HoMUU@eEF33|TqshPj3!Q^2zmkRsMR3F=0ENbLac<U;t!-CPD8m|BN> zAn!qH2$&c=--2rh3{g<IjV=hT9KZykhCo*X>T9F+yb(MG2Lq5hxMfnHet^3HWE;95 zMlE3i>h%z%5>iW~VzUKZ5Tlkbh1o(-C8U-}!)6P*AhvElY!JY~3}z!qx<C_H3^M81 zY)2PFDup3K2ar;@#lakAGrA6fr7&nV9;5U}7lf69;K60s5CWtWZgH@H*^RCXRtiE( zBs4Cx6wbuvZ*)PJ{oryJZa;+QU<tDyT^GzkXt9gNg_gou*z89a1eJ;qzav-<Rxo?f zRf9@JsGpIT&{8-Xn|<hlAX~s?FK8GA7RS~w`_NT`EP)oiNK9xcoP*6ibV1}&7&-_8 zDTQ4fY+$yc>mi~P&c$Xox*&Qh2Rx92+{#ICu!Xq+T`vi(9MH@>Mmk3qgv?`OOl%_w zIM~75LWW+@1U0niWME)m0yp=;B|0;B0fED6P#vQOvY;7MygGnL$OJTOAeDg$ymkS` z1<iwkFm&YtOc-3Ug9!!(r1{MPXmmJ$XV5|AFH8fh_y(<L04=+L(F~jpIUwgu1QGK= zt8So1Fff7}kKnEatXl~)1Tj>CU_ttZ3=SYOU_D6&MsPzAYzABmY6wCnf`x1btZT`@ z2rfpzX28XWGy~SpWMBjr1z<DaVnmt&>vS?Og0nZ+47eDPX25!)42<9`3pN8TMx+_A z?kNK!xB&z<11?6`3?_#ipcuXnN_A{fumvoj(GO4s>;NB`LC8SNL_`jP1&t<%0tN;t z*a8=jX`qT0VH!dPq8MQYf`x7xYyk|&G*HC}HjNV@3NZ=ne{?~Nffx({q>&kP&ESz4 zbV1CK8FUp8=inHbk%Fzs0eJ@0F9drA>~@3<!~{fuAXw-@16!Q~G7VJHKnAT4q7ak7 z#-j^jgan2FQqZ7l1_uqgAZE~@tAIEMSJ1$g3V}QWYBYmA19m$?24VstKoBgnpn)hv JCLKU469Fi=bY=hm literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb new file mode 100644 index 0000000000000000000000000000000000000000..5c77c8890b49515a021de87c3692f27565d3117b GIT binary patch literal 5663 zcmWg2k!R*+U|7Jwz`)?}q)MKd<0qqB28hSVprF9u@L}JXT@IxT8@O2boL&90{4yQA zJ-r<KT+>+moc&xF9Q+v=82&?nLpB2g!z#Y^ruI(Wo|c8Hc~>=bcQo-T*!Hw61PSmp z_AZj|ZE0R1z}wclsGoOnUqegtip3o~9g7yXw{-FJFXrv&Y3SpTu;A_J=;3K^?p_5F z>t5KhlDB(tLqG50-o}<bWu<oBg&jS;-MsX+kBxzWffE!o48bs#0|N^K1Ea%91_lrV zEX&!^-q5(Pqo-vt`@((&-i7@N`Y?@<Fk@hFU}Rv}z~JzH-aaWDc!+<}=vfPsNo zjfn$l8K<<du!yCtpsW!CgF^zt4K9ZN|CvDU0b`h?F_I(~R43Q8U9+Zcao90)^Asdm zZkX(@%@cR+K$A7+gUT`+8A>uRI503UCOI%L2rx64^TT8ejnQNTU@{Vx5E%xT9zm!K z%dB~uH=!CQ1eM~Lxp~7bs1uQF6NX7A`DQsJWjZ8*bvMO3FfjaKVlWqhN^?qz3d)Mx zii^vG<rzWpKbRQI#h~(B^Cr)m;xKv22B`ILmx-e&*f3|_j2U1P;0h#A6-?eSV>YS+ zNvHyLaUpR@TUlXLSt%4*5j0sDnC#-d7F$?IH%B@!Fq~mxFqcJ^g@ra;7L;~CQO}X( z@9F>#SGcr1R5K4q8YOJuN|c~Vc%d#gwv`kx7DIKvGKv~eL2PPNP}GPBV^gDwqQ+1L zn;JE!8a{Aj?b@+<m&25ayQZT$NCT=!5bS6PBMW1B0YQYr8(@K_3021rR%a|>C}D&} zl@_WhDG_NyEUL7jssz9eo4IS^tW7%+PJ>&i162lbnz5mTv77}qOZA}YApTr9Z{`k! zVT~~N>!T=Ij9rldilP<R6&a!^T7_MaF;tN-IJgvKg^g{EBn&MOfdO}z35rT3JSt65 zR4U?8X@;Uw8MjJC2S)}523V2E;IN>LkpUtG$_gM1Dvv>GV1*xpgMd0r3|8bp#302k zqcW@vVffGBzz-^PAri<W#0prv!Hk1N5sVLu4HzF5@F0Ew0|Nsrj2Rf&7#Kmu|7Uan ziNit^T^tsE=;E-@LWqM517k)8MqP&x<RC%>79)cL8-oCYLo~>4up&@+fiR?A1G5+z z9N1A6!2^;3&SGS6;6POb4_O8{i;=;B6RwCER-Z61z*r8TS`@}%aOeQJ3giby1_v&X zKBxdQtiEAjfU&?jVH{MQ+{ij%^$`O&QbFDW>x2uV*vW&e6INd_Fu+(~oiGlnoxI38 zVbvJ}1B?aM3FDyZ<U`g8tLYdRU@Wjs7>B{34k?5{=@jOCW_SW-fU&?jVH^gB8YG<p za69>63LM%P85kUjK#G`Q6($1%j0H9e#zA$zAlxhl24+}I%D@0)fpx+-s5*s^b;7Dx z1_l@ltP{pT)hUdu6IS;!Fu+(~oiGker-KgzLInf32!ShMM&*K1AOiyfBZGqovPH0J zoPhzx0$T**pjd<u1eHT@9>gLz7h#bol11Qb32PNFFu+(~%U~P^hcr-Bfec_|a1aCO z1`B}o!rBZB3@{d0FN}k#R~%I@tVO}V0Aqpm!Z@gUB~bOkni~uZFcw%ZjDxCI60VmS z)&^l<fU&^(U>sP$LZU<pqz_guz*;5@3@{d0CyaxtQyN((ti8g(0Aqo5!Z@fpWsr5k zS}_a^Fcw%RjDxCE7Fj2(ZNtC-V}W(TIH)@1kaU7$71rosV1Ti}x?vpD(3J=2Mx+Q> zLx_O^#scewaZvRtpz4J+j~EzWEU;b}2UV{ks$N*5iGcye0_%lwQ1vRI>V>tW7#LtI zuwEDkRj)FtUPLVoV}bR;IH-D6Q1v2ebQlY)7sf%=tBR@@QTxMKV7)L7s$Mlzy@-|q zj0M&U<DlwQN7V~&RlwU9V7+i*l+r>2RWH2d!2n}{^};x)_G+T)g||)^U@Wj+7zb6a z7OGx&3x)y40_%lwQ1xoV^;%m%+ldSeFcu$-;ZO{!#9BcmBO{}OCj&x>GE51mfd^_@ zpa_7<PisrKxiA(VjDcw`Ohy^T0GSJFc%TS?%2sPDxVbPEAB+L1bdlW)lTn5-K<0uP z7bpUta@X1#ZZ3?)2V-Em7bc?&V}Q&BH9b%SKxML^E!<pZC`$!X2z3C%ffd}k0@X$e zAcB#_#15*LfdS4^hO_=dtE{=8m;yI{;Zlq&j0_C_P3+;?;Vfl1>pz17C<Gi3+Tl_V z?K%!{?V#!x)aqkUhKv1&w1G|FQVejGGMt6!M7R_q3uwTL!Ndt}37n-2XJNV#E(O&u z=nB^lN~s`6s$dEc2xuk~H@GQqmNK06pTPl?29ZJvE(HxKcer*B7)u#0_8-y_Fo8=k zz*)+07N#9=DX32w1ifKqGXz3eDwsk9yvk|<YQcemiGcymQiiksGdO^fFOpZ`QV?h8 z_(H8_V1Tof;jI7Ap2|T6Bx!^~1`|KHZa7OB&cd`DE(Hx|&>$(q?QoVdob?~tmjYQ1 z7i2)NOh7$Eh;BGb8P3AA94-a%IRhgLq}K=T)q#6$pk5gR1ALqpZD1Fahe6l@G&BqV D0HtKC literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb new file mode 100644 index 0000000000000000000000000000000000000000..e762743ca8e65d3e98467ac8699557f79fb3535c GIT binary patch literal 20280 zcmWg2k!R*+U|7Jwz`)?}q)MKd;~%4328hSVprF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`Uw7dP52RBG{lH1${c?aWUOQ49<W|DnJkgn@y<%PqU#FU!LrW3lT3KQFfh z9?2OCviu!gy)v^rdp(o0{9L_zld=|g`a1ge&fK}c)6=)t&&w?vB<7aknzFzx%Q0y| zR)UAC|Ek4)3o<-?6WkWi%wQD;1_mLJw;3E585lM&F)=b&Gch+WUdZ8<?C9n1n3b`@ zMzuZPE8-MHm@UCIE6p=Y&Y8RL|M3J>P(ZDfvu0xP^z?M)TeW)OLI)35mjpitudIy6 z%ic2_Uaa^4qQ>F<zO`};48jin3=9l%P+LJ3fiMFDiZF{$azYB2K$ien!!mQ`%&80v z4htC=7+}ta#R`mOU~ph!5MW?*$YWq&P>0&a<Cf*+n&RM?<>=+$!82{wtf^ZZChnRz z%VCB?8lwOM0|O%?BZDOa10PtI7E~8&i*0LrBddt5sJNg*JF@@-gF_3m00StbEEvEl zwGk>8FI>bbVry(@gk7Z$R3&?h?drw0eI4u~w${eBauV28>msRM1XXQ>Q?(vcHH)i5 zhBwPphaIyWW@B@$K0=+ZFUwSixpUEMH-Pxc0IH6)#kSqHnN`GA+*TOPL=A{aLxjpj zV3kI=RGPt5_JYln#W0hZK?P!_IYK4KOj%oWGvO*NpeorC9DMvdoY^)w%$YZ1GK!y= z8B8FiT0+&b_k!YdQ9HXVC{~Tcv8%R1sBT!?(7cdc)>gn+K-dtwYHOJ47F&pF5iF`1 z87x2<O@P5+IjaDJ!wL|w5=5*55vxJO8W6D-M63f5>p{c@5U~+NYyuIRLBtjiv6WST zfq}^(h*^N)zXL0S0K<O}H-K4y!GW1UfWcuINFGeGIMgF$JO(C*#UTAlSV5TtMTXH~ zDM*u*Jk&o7jCyb;xS(KQzz}d~U|?WCR|PH;zy#PttZLAT3^XnS*khvT2LJ!hz`$Sy z%lZtAdT=Jpedq#UyU_(f1rG>ALIUIibQSP25YAu#2eK%-LXe9XVHF6&e_MFaDcZxB zplD~<zz8Zfz(paLKrR}=0tf<9fXQjNLJi;mC2of-e-992vO|_Xtk80}&A`B50JDe7 z&oMc{HQUk6(;2~>%)sDq6cpW{<lu0GRe(Xm18OX1YkT9OcH6~$jbN6z?czQ`XlV#G zSi>8pu)V#Z3zTb`INRGBq(J#b2q`0=DehR<vIwHMV_}OCcEvtWlX(~Swb;T<hw*UO zfT9U*3s@6wn*yLVF)vbBz-**ofb8xA3=9k!2n9+Dn2nV1DNtO%Y@~>(AP{Cnm%;*O zDJ)hX6eulVmcn8MLV@A}W+^OIfZ7(Is+uFq-xXZcff;Cp9V8yYp|0Ts1uZy+!7Nd6 zL6ou%qBsJom=&y$6}zHns3IPa<yn~t;93;Q*#P3qLaG}e=Egy_aDyDt2PqXHY!Ofi ziCmdLG$cYbfZYnNA;2v3TEbyAD9Tb$6)&=FhAKv_C?JZ{p^CvFmh9k}0A_4<n7IKX zDWE9zgDIVdO=%XIsVOj1w_uoxqSVj96QXpU!%R%2xiC{bvmBEhvR%D6X6~A}*<tt8 zSq=}ttrT!znO8!Uv1NEWWVt%C?U?PbYbq$CgPL*Bas`y<p+%y@eO3VmE*6+BFqeVB z;Q_e(2bFy=8K`;&XtM|0_yN~b42%vv3=9m_P<t5|7&wvGU~S-H7G03LqqDigwzIEg zVap<9HUlG8<rwxltYHygU|?Z%h-YA6s6p5c){Vpmy8zPo0kwb}K=mkxRxMNmqeCA9 z0|Sf)R?EP^z}CEI(V|7oP|Bf$S%871c~SE=Mm8jsV2hCWV9gxx*nu$|?t!XJMhB2e z5C&ycuu=vF1_>xbs}99EFdkHvfvtHV#5rIJ>YRlj=OC#BI|qpm){Ngd=&C_&U63{{ zP{j{&CnR)WJdhL!vo$YT2nih!1$EA1kaLh!f}MlJ2We!$?;Ld1JYYQx3|gRch;R;! z2bBed4#*vB%?m*k)Hy3a&OuTMb`BCBtQo&^FjRx}FfeE}qC^&q2bBe<orRFJvv5%} z)H$m_&OuTMb`BCBtQo&^&{ea6^)N7KHK8~M#)Ha<3@!ISWA))Hy3b&OuTMb`BCB ztQo&^&{ea5^)N7KHKRBO#)Ha<4e^Ig3CP)H$m`&OuTMb`BCBtQo&^&{Z>m^)N7K zwZNUTgn@wp#)HZ-{Qu9u$c7{cwi$^JR)^nabk(qcU;ulLfq}ssR6K%`FMDfyqwS)O zh3ul@g0@Byh76FlAC^K{kPTEQ!}K|TxC{&qLTsQ$IVf4eWWdg2V8B@@gUU9L&7eXV zi4E2U_A0s{azTvDh8D``%5fLUAQ$#SgPwtbK@5qFupM2HwZ#_HQ3jI^;O@@@0R{#O zbY;w(3Y;M95DDtf#DhBBY!eW6fjx%A2ipf~9XrFC$zX=VF+{nHt{SWbR1Zu<GZu*t zRs*itK`n4N!{IX6SPOKO%$)q3AnXvq42s1n1_p*nP{YMw46u=)fhSNLqYL8jfdeRr zFigM@00#<&k+3=np2ESEmBRu71_p3_g{}dXs~i?H3NSb{;8Mm2^@zhfkg}<a0t`%S zlcAo4c@K#XR*l1Z43LTwT{Tz>D1xS-8H>aRtAWQ0oB@p>F?5yS5JDG(>w+`D+8r*4 zfc&uo6qRh#(42t8hd2S0KCmS{F?5w+C!h=B2pES1Sm>i`fQSBEaI=uXd@a<0oP8ag zpw8E#c1}47Nl@p@NE~+=WDYKaV0s-uTm}XQ3wRj>lL7k?PZ_ixYCEV5LSlopfjx{a zh+OU<v!P`Wx^nzw&<2F<Vn}R+?dXCy${=)Qpz;TVk;|Zs2)n=@L*j$&1NWp6eQGev z;RLw20OvMz^<Yh)M7{~lU?e_R4YY>_?x#6i1siCAt_D>0fG|?|vl(iv7>ofn43w}z z<qx_bsC$p-=tEczCqbhJpc2ReT{$RlKo}7~kbc4zsHLDv0f`N^8XRiqf;dABT=Ibf z4qXdqU<hQO1ElELim(!F0}>ykiUFq$(832@Jy;VcUbdkbjKl}4!4fZG=xV?&LKno) zh*aP(FtY7HvlodEwil0w#L(4(?MD~HX#k?sLDzy_>M)oegSwInGAQ7X?3ux}0Wz?@ zdFBq>1y3xv;DPCO0C5=@9OB>w4@?H^RXhdH38?*`f(MBW)&}-9x*&34gUp5&Jm|{t z7d$5swu>RL5w@cX;wX5~m4WgU2qW?pGutVIU7Sd4gk9)@&;c4q#Gr5-u7P|0ppGiK zCU~v_DFKh_FfuS&psNCvF(3>sXV^|7xeSSoa2dKFiepeXP?se$FfgEN0v7`4f}pu1 zkUb9ij0_B7=(1R~z<mgA*?<Z`bZw|pMac6;kb!$}g9jcyOwg2rZVGhj1U!M_02<9_ zJA)KXNNkY%8Ng{3T@WQ`P&i28gsw>pT>u;k=z{QogNFjT3Zg;*6zo{d2YU)s%b<kQ z3<d@UbbA=VqjZ>}pq?DM=>Pu=7U*K2bO^%Wv<U8wF*<~Tx(g1V=J#1>n2W&}Fo$6X zfMWn%749+;R3CzUj;;k}0k~dr0L`xwX%&1xn-k7*I0dhI(X9a$4<L*vA{=<Zlb)bX z**U1MLG>>Z8|*Z&KhXuj!>8b;1%l;p1#UUIa*)L!jIf-U?L5LxP9!$MPIN((1dYOh zrfyLAgsusmd*SH{R6e1r0;LBK2B!(O3rH?QVk2CJE{Nh76b{s7pz;Y_6F4!T3&OJ* zD5Hp>%VO06_aQuIqH7~6XTrk=Rz9Jd!rEdBnp_2w4xoufwu?yNgv3UK6S^Qu(4cUT z!U<iI7`gyB6wn3X0S6BSbQMH}0w~zAnh*9A*76D69!U9wDGDl|&_zMz6S^2E9fB}8 zEh3dq49skokpdiv4R#wio1zP%gfR*S8sMNTgRTjlpx{XYlx5IWft(1!U}v&jL2?-q z8{sl^K@`WJaG)*&Wf^o$;Fv)dgvUH6?!?e#v1)<)5T01jwGowA;Nb(yGU%pYR_Rxf z!U>6u2q$zwl%PT3AcYgUCNXpYa44V)!UGN-3g{|`3I$NGV>KV_DXdur-5yAm!4w5$ z8FW!lmO&Q-r9%(~r$wYJ1Fq4pLxWrl#(=vE)RsdRB+#KnR}QMwKp0W6F|yr&S_tww z$O<Gr*lLtmMB#v=lz~wUT?Z$+064Rw3!)f>!U3Dh=m5%6=$gPWhb{<D8SuD8R{{12 z$b*PH;2;1RmPJ<xiWU%tM9oal8Y9r?_hV=nak+w~gT27rz^O!Z122HPfiT?;AT9%g z!$o*E5GDh2A4Yc!Ix+baYCotOh{OhK0|zd;Aad6anGJ2sqbtX-7d|lwYHmG4*e-^| zM%a!nh@%^bt_+kCK^T!5nc1Er>;iiXi4V39GRclS;SOOtTn7&sIe?nr=z1Xe6;l+H zU(rQD`4wFZlpsJDk|;nEsgNc(+Y2<uBJshF1vfSkll@?p!wrN((bYp7iYW?mD7q-f zq3B{DM}jbhLtmmf6p4@QP}o9)UQpA?;U>bN=;|R3#S{fO6kQbLP;@bnBS9G5p-kW+ z@D<dxVlW2SjiAOpx&SzdpbHYwsX^BQvjC}6gRTU-Rq&-fh=LetJQ3XtP+|vRM1n`^ z)VzlJ8stwTHrQ!k%h3hFsS9_f23<MGVh~1H&cyZxVJFxMBtF<)uod8Bi(o+$FekcN ztOnrCu8<-g!yHf}4_y%61OgTDV(7BqS^-^90qg??=oAmAW<ys&RC@tbu7C%MnAqN; zg%%PYY!_b7GBAS6CUiZJoP;R~%1P*=pqzv*2FgVsjGmJinAqN<ITwi!b}lqZv1NKr zbhY5fMHj^DUr6MlYXQd;x*%%gqRV2{f*HB!+DMJu4``u<#0Q5KUe7`!7hMk|axq0g zk&7-0id=LtP{e{TdgOvj$xl!Ri@_LRXM!3zptO!Ih!}K1u#kpd(3OJ<3J^vV7L074 zp%%idK;na~CJ;hm=;}Gq1;B|6T@bISkVJ;A1)O5g1u+|m=qkXT0C^6P<-v_atj=PG zG&>f;Xa~@0Wd~S;5j3I?UWNu<_5hxD1kVA0TY!w<<@*lDLDegmWCSl?hOKgCU;^(K zfN?>qctIGtTL2~u-a!B+7#NUN=c+S8wmdj6f>ua_5&}#E%y+O|1TdOGz+pZE0|RU+ zE(0TYO@RYwsUTborWYI%=%Vb4IyyTR_qD)i@CsXS;~iZkI9|{N;b!MCLMQCeRlth} z$VLXRb74z-85qHnk6`D*#o$JQ{EsdQb0&;NI2T<d*tzI}aI@jgMOT5%xv+)C3`~&L z0eIXK<T|(n)LhQSmd@UG+vdd!5iH1pO9rsNVM~M=m>f=nhA+>62#`T=2_g-GtqNvf zb~p<%;~a=M4<bOO!DWdw4Yt0Qf!W~#$c&31;u44enFg07(lpp&U<O9WLIsc+a4{my zfUOc{V1$hGg3N%65orc&@h}4;ctRSS`r%?kngLr|%)kil)pEl5Q2k&-(FFyP6S6!! zvk}{kFa*FY5=iNXt{I$c(FNg&64ZXessidB2C&Isf`b8)=3r}`85qHxEKWEdss-!? zbU_RkV+erlfOr92GuVOXf|y=FR{?bo7B9e7Uo$X*Td$mOK2!_X3+RFvF2)c*@&dYM zF?0c}RuF2WfQPz3?O}A&;4{_W$vSwXqAP=X4@-!^mY6dzg8O-#a6VKE*tzI}7y*DG zfD|I=n#Irsuv$TMh@hK>BSg@ZLA{41L_jqjc%31W!!1zBbsI#0iVe5~R4<}rMzD~} zXxMsq1}29)AT#cQ2#`T=2_g-Gt(IqCge++TnE@Arn!(=QuxQ2NhCUb#Sw|1fK<G+g zi#quo9)esX%qGC#u!w<yVGdfm4v7!81iS$S-qA(nfomZK1}0OOCPoVw3#^xcfdSNv z1YvMfQVd;|umCujplidmpbRu^Nv@HE780Vn0mni@bY-Xs6SSHKGHZ&i4!b`=TT(!4 z@6gunf&7U-q#ZzOO2NYm*sa3|C9L*?HgkfvXSUd`2GI`SZG6Pqt{}?5K)gNRO{37w zqYmIbgT&gy2Wsqsribw+L!`B<43LyR7cJ!@@xiGVoYvv9)u=oNP}2*P@?n}7EnqCL zUW}BFE-OY*030Les_>?Kbj28UU^kLb%11XAN6JT6hAZWxtHbUnd?_E@1W+d#gdrVe zw3Lson7BZ}lk(9G1eI<e3@+gy`3{`&(bZw~C&(TgDIZ-w$PN%jvImj!VT!ROL(G&9 zD)+&a9cX-vfq?;u4^F*^;Y<Vz+;w4KU^Im(hHP?z@xb~R7#P6W1b=k_u6CB9Wj-W6 z*eJv}1%d@0u>d&%rWoP`7!Rxu<^=rt7VLx-Xih-lgN=f1yN2w(b^z^92IY8|GKl3c z9#{th0|UIIhBLsu7%_Bp7y{trfvyUaJU|66j7BPe(UpRd1_&c14{$O>SBKLc9LW$} zKgbRcMzRN#3{7F`7%gBdSdf5JgD_YJ1A~Jltm&~IEdr4EV7>6Y?9e^!4xruPpeTST zghT<12i5}`djXG%Ll&TdyKZ9WDli1Vfr73I6zDht1zjn~dms$<B2pQEt`4U?I3gKc zKgbRcMzRMS_%L;h7BChpFhJ!Z2!qQ;XvGKG7>u0#k@#S3;Gtn~AR<`cel#fW!4yNn z9>xRf!xr`o3=Z+I_`Zi0-$;D0e)e9_?%C#rFxp`YXpoG7$rPrLkrT!Os|StNfm+4r zf+*9;C>(Gv9I_t+T@$>637;fp6hl`7jy`ljJjn!IDJbed7!iF4uUTL!MlHB6!GiGz zS}-E<!NI}`p0a_E4xsZDK+y(M1c^2n53C+Etqj`84AusYaWD(qSB9pTpJ-Mh@xey0 zg69k&qyy+Q2auI8MGz}tJg|C%m00E<7#O8s=bA7uFoIX5LROF>L?MR22Jv7tcrXvL z?FU^cY?~@XBLf4U6zmWbkQLy=D(pZbkf7v*kb$U1^z#rbXpaw~fPp~@c2Ek)H1M`B zxM>I(h+>2p2o}0&u)|b9rh%KnaMKVn#G3{?Yz1T*xB(A04Ix9kX|TgsK&F8<B0GRg z<3xx;OakW=bU}>q0t^A90R(i-;7%>NATz`^2Ji}LtSX=iP<#9^K`GdYF(A)?4<-S5 z2JCi(3`8*^vJfovXoH<A12PS?CK?hn2vLYhVB^sRF+u`E04ZqDHH)DOV6}qiu@!XF zP#f~_(I#|d5Z^K22x-_!I-sxtt;d9f4MG&68|-j&L5!fl5I_nWbj@Pu0$8meI&9EQ z!x1*<${@bO6*jQ5gFs;eDz6<v7#SEi5uy;?V27g%Vgv<-08-eXYZgNnz-k52VS{cO zj<7*j2Js!Puz{VH1PU8a#R3T%geXKe*x~4c7(sy{fD|_9n#Irsuv$TM*r1z+BW%!> zL41cRY+&a@fx-sVI{}9c*qsO&hzW=?3&BD!y<jIuflLE6v=OEuWQaEncES|MG*B}R hVH!e)c++4fP=QPXPqo6!X@m^Xra=@UlMbLW^8l7C31a{N literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb new file mode 100644 index 0000000000000000000000000000000000000000..59087255dc3e1f11dc5d40e81a77b069f72cd786 GIT binary patch literal 209383 zcmWg2k!R*+U|7Jwz`)?}q)MKd<0qqB28hSVprF9u@L}JXT@IxT8@O2boL&90{4yQA zJ-r<KT+?_LxAa+btZG@@u&ATIm%+iGfq~&a6gWgMFfe5IXSw?C^vrh6a!mG2N?73M z<+i{hIb%VVzoV;HW|n8KXL6RGt9Nfw)&fsoNB`cLI~RC*`u6&Hxn+aI+%jBK7Pw_O zCN0QH@No5Cwb*Y#hNo|W+X9*zF37;Zz|O$Hz{u!uhJk?r&UHAA#8ZX|GYIY4F?HUq zne!dyP29Y5*NmN;ckN(ca0ozB%OIgroLUJA8-{cNh}jGd3@i)`j1DIm7#R4W_HlN! zH#9En=xJHZzOY|`cVWMRz7SLjEua|~92glGHZVB6-?vr{6i;xBBJRM>AOMQevrG&O z<{)(-U$M6~_O>_LiZC!ZFfec?IWRB^Ff*9TLoH%o+_A7@5xT4bRF=1+y}zfyaPf-% zz7}*ficmG&i@RF74VxQ!+tF1hK~?ZBT-dRwxmjRw3#KW`XlfQUVyaPrs^RQeVTjEP zRU~<A?oflu^JHZvWo2&ga!l}Zn2H)4>QE&Dp8g55T>M=#GCVyJl9L=ZfRzcsLQMm% z%rD8;afg>@vL`4xqbt*bD&uNezbGriKfz<&KCn3qNe&DQpwQPwC|HP9feuswtCFp- zoFuAdJ*XVd?46URI{0`b_<2CR12<nEszgvy%0kFi%0fuQ7C9Bb)fqz734x8u$nZ?| z@|u|J;qQuWpfNUuS+1B0O`!_;z$W@;?!czV9I6OnttU7vvoez~O|yh56a;%=@rwS% zD`b&k814^is5$|#ty$i#Zaa`-8LrG0stoQpY>MomiXg$8<(c7`j2;>eP$i7ws4?RN z6&IDV5R$cp<elb@MIDRVTe@szQPsMjs_p9)?(Az>xUi$KcTqpOT34uAu|*F44vQ8o zbZ~XZ^2^Nd-0bD$=r99R`Y<rSJ?Vz5cp(AB?#PN46Hx4dtat?h#h%EDR}oO`g{*ib z0ma_PidPd*?1QX$2?52v$cmQ{Q0#}ScqswJpo$Vy7(-%kA^sQyRV8r63kfI=M0Unv z0*Zr>6|W$mI2c*+Dguf_kQJ{apg0s+@oEB!!;lp(A)q)MS@ALgia`w)csMU5pg0oQ z<VB0|$6yq);)MhhM<Xj<Oh9oAvf>p46vrYfUPVB098|F=zipqbijr-M?c(+o3p<RO zn;UEe4N(iPcx1Kwig?u~AgfituQm}`trC8<Nyusy@vBWnR;!F(Z3?nl4g6|Tk=1J9 zSDS{cRujM4bY!*K_|<~i?VwZ)i8uUeGm*{ZSH>G}S;%S?@T<*6R;z?xZ4R<pMf_@W zk<}{WSDS~dRs+A<d}Os+_|+C5tJTD>wh&pZHh#55$ZA#at1U)W%ddeq-b#?wD&SXJ zimX-%zuGcnwTk%FmLscG#;>*lS*-?swV*x~JgsZtS6hW_t|or9)yQhK@vE&tR;z+v zZ7s4|el5K5R)?%s0l(UMWVK58)ixljRm88h5m~J=ezi@=YBlhyZAMnBg<ow8vRY02 zYFm-jYU5YihOAZvzuI<WwfvfR<E;Z(tpa|voycmH@T=`YR;!3#Z8x%7W&CP;kkxA7 zSKEuMRtvw{K4i6;_|^6!tJTJ@b^@|m75r)^BCF-s#v5;wkku;SS34P5trC8<Q;^jv z;#WHrS*<dDwbPK*YT#Ep9a*gwezh}@)oS8bI}=&0Hh#6UkkzW-S34V7Ex!uhc$<T) zRsp}-xyWjj@T;ANtX2`f+WE+8mGP?ujk3b)EDii>7b2Uhg<tI=WVM?3)h<R>tBqgn z5@fY1_|-0jsucnaO8Da$lvoB+2pW*Ur*Jt;A!slHpTZR|g`j~5d<s{>6oLjJ@F`pc zQwSP>z^8CERG}chrfnadUeX$vItBdd*22^&;a9f~rcM#Ry7e%1%J|i7fT>eZ!RwEW zFm+1!)op^QQ^c=sGfbT_esx=5>NN1H+X_>sg<st^m^w}T>bAqwY2#P71Ex*|zq*}J zb^J=reV~aG*~RTEdNBIbyP&G%nn0s`iyd4Y_O93i85@O<GjHC3Hjum<s!91jsMoGw z+hS{@pkUhBu?T(i(a6vowF|!ou2aFb&z2OOd*M2jNYuFxu2Ydjo%`WB;jYyn&YuV1 zI^nJ*Qs+UqPPl7{)OiT1QwbcEprN9@EB3f}COZ&{&ckqx3myC&NYHo$u5mGG8jr#? zt{_e0F}Oxhas@ke2~mDL4%Z0xBXJr}z%|1CNSsE{oExYHR%(L#kvNT~pf>VBXGXjn zJ(C?aqm8$NCJ8~NOCz!%zbSOatGS_Lku65n15Glb=}^G0<1Ex6NOmaU*KrP0ha!F* z=TUTk#{X;x=(qsYA^P8j-*i#O;)N}p9Y&zpz=fE8z6ez-2~rCxp9rYG1XVB9w0F@$ zaJowN%*t?e@!SlW-N|skC<!kkG%O@a!xe;v#YAbiiqNovC=J&T8dedd;W|RYN}@E} zfNGHZZv!a}354oRgnA`#EkQv2ErfbSV$|P8s8=RN{T+mQ4Pw;aMX1*zM*Tg6dQD=~ z-$$s|CPw`OgnAWX)IWr(XY<VjC1KPW`4Lo71W{|OT(JkD60O>Q3{}eJ@9MC~Aqmx- zCrFYD(If?)K_!{N)5`E=1OhLh;;agg31@`NE2xZER))W;!<5-OCp&;=S>Y4U2&HeK zN(C1$>}x@rc1EcB097T9Pz5b6VUzy|wV!dSg)Ia?sQm_2D~vD~X~6(O-A|}GnI^E0 z_Ac53_79|PhE79+S}HId0)L<yWdDOrw&7PW1<yxACU~KXBS3T7a2@~AbSMzi!Ndqz zCjzrWiJ%TvG#!crb#S2RP$sB@8%>7>K^=Th9k9S%NGNax(KIY3q(KBt!wNzg#L+aY zBBVhIO~Xn;8f4KltR|#E0ZqdaLK>9OG%O>eK@CmAQbHOup&B6B9GoLim&?EsH-mr< zRH-O9JAktSsMd$C0Ya$MhpI%)lCTvV2z5qKbw~vVd|eVkl_^w}JS-oAvLWU=3zVE_ z0o9`b%k1C;xdFW;z5yk}Tcc@ONQ@>sG);?%(d3AxX$3KwT+lSFB1V%tnx>V+X!3$; zf`vFZ`x6LpUo<^RM8r}6njS?W^aP{nQ6@r97@8gpBJ@O}>CqxWPYjwKO(OKfqv_Em zLQfK!9u*?=q(b#bfos3nJ11{&z+Ci($aGmy<#M1d9&uW_pjvoP7bzjk+yYe~05%h4 zB@;r?E~p|we$e0q>RJSZszXp!;1NjlWl;!aXJE=e<BzzNU4tnDjXvU5_5h{~H1>#F z*(;bb(8wchWnW;*K;w?MmHmS$!?xrJ;ZqJKNJByh+hQk#G9j2UY|ESw%4A^5uq|*x zC{u$f6I8$#S9(xYLW{7hwLutW0aJ!;=@UYk6HFPlMNbH2J}_k~@LC!MQ-*D!6T-9v zm@;fjoDj;gV9Ky9ZbB$4fhohbtO=p44yFv-f+mEr4wy1*OPLVLCP9@6D&dQ(Sx{9% z*p@mW3|j(IhHa4(LfJZ)GHlD65XyGIlwn)ggiv+}rVQJXCWNw6P-TLO_&j<Zrb-!~ zsw*&68u(P*gsIZPr|KR|l_ow_k725`@u_+NQ>B7W)qAKa0nn&2u0r`MRFNP*XzT}9 zdHV~dN&%m$|1ecb_*AhlLy9UvMSQ9_VXBnzsp5mF(!i%m7^X@KpDGEMDouQ<WMQhb z@u^aRslu|N4v|zfp{fK{U@YxLDAI*062!9L4x!2jrV7haJA^88m?|ub>=3GKV5+bz zuS2MEgsH-^unwWh4W<gqk~)McZ<s19i|G)m0${4JETcoH3Wce{vVabuDhj4b1#{^f zLRBJEmB1=I5tI&9B#32Y9m1#_m?|u5>JX|5VXCmKrbDPIgQ>!@jt-%!8m0=%3Oa<U z2AC==Yv&NET4AcNteQip>Vm1lvR)3Msvo8b%St(fswps4Sk}lPRLzE}5?F~Rf)+v* z31V47hcIdxOcj>Ja|l(dVXCk!n?tDD08@o!!5l)>R+uU*OXU!%cEMC(StN&0wI8Mm z%knscsv|H}SQf@1RGoyW!m=a|q3Rq=6_&+t2vt|1ssvW!iJ)6hMS@t?${~z;2vdb+ zl^jCVYnUo5>*ElrzQR;tSs8~=^&h4R%bGZZDoz$?eTHQ<972^aOcj=Oa0pehFjZJq zz#&wr!&G5e`-V_u2vdb+)f+;UHB^<r5<C&)3{?bL`hdPb9AT6%Ocj>pa0pf5FjZI< z!XZ>8!c<{d0*6qQ4O4|>@f$)_DNGfXWp4;o^)OXf7Q7);b;49(S?Y#RH5sM~%OW>~ zs<|*#SeCaTR4s?95?F>Og4RP731V6OhA?U;Ocj=OZwOU~VXCmKctfZ<3sZ$<ts6qs zb(ktFtK1N(9>P>%S>J|G^%|xM%gQ!{s;@9rSk|;5RQ-pk!m^qTp^B3gnzOO2V?(GC zhN==+iYJ1kp^5~tEOSE`r3zDpWq}()l|D=rmZfb7RhBSSSQfP*R5`;`VOh?GP~{6# zg=HZdLRC0S6_zDz2vvzNRah3UAyj3<RAE`RhEP=sQ-x*08bVb)RFzZ{s3U}9c@08& zD_r^BMc^rD$P^LkY8-^}UZ`^E|DYKn&>S~pf(X6?5WElop?)etJ)Si?2=#NI>gE4~ z`g-`*`5^Qxh3b+1Z=(d6A;#~fwFvc!#HinjP_Imk`n?GCc-GP&+<yeB9_nYJ^qht2 zk!sotnMB7QZC4S>7ZVZ7cM-~QujxT};0aW@ToY)l6VEargqF8ZEmBQ;R}tZ$uL$KU ziBSF*p?ozF%30YUC7)E&UfgSD5N_vzDu;TP7%ieuEmBQ;ml5G0S%mVXL?~B<Di?=j z8Z0Yw5RTVIRf}a!4nnOFs#+|oaS&>)P}O2tRfACL09OkdRlv3a2cgyzuGWShy!rq- zjgDA#gisrZPz%YYc+^HB)IwH?;8B~1PzzZhf=6v8RIN<Y-bH($MJkq6JqSk^LN&;O zOH`;Qu`F3Z=%_^KP*5->Mn@w;hZ1o*IuSY)iPJF=p+lKC9WxO+G>Fr&5UN84RO%5E zxGNDF784a48xa~-5T#)!Lc=PeG#o@|SV@$IlL!r~iPCTpp<xM88g3#qEF((8LxhH< zL}_>l)c{K5rm&U+-bDTpszVOEHV)7FI7GhqiO{le;U1#2FtS5Rez~T-i;2|2iO{lw zNG*a0EvtysB8kwll1MFzP%W~cb#g?7u_i)?5^=F&h|r-(oDNHb4rStWI3jdt5U0Zv zp+k!}9f1fPn#AddMCi~aPDdg_hYE2zG7&oXO<`?cyt$+hssox!a4!l)<dRB+7EmrB zMoS|?3n-Tmqoos}1(ZvO(J~RC1(ZvO(J~XE1(ZvO(XtS#1(HjkeG<H3yb_^9iMUwV zh|r-(oQ|Cc9m>S%IEc`pL7a}02pw9)>9~l{p-G&Mn+P4+#OZj5(4j({j+Y1>{HCxT zAKn=J2-N}2CAe2YBXY@4gceXPAw~-$2TEN+j22FW7EmrBMvEXq3n-TmqeT*-1(ZvO z(V_^|0?8%NUNzn@)<oz~A}&@85jqr!(_x9wp-h|(M}!Uy;&gZ-bZ8N$BM_lOlQ<ob z2p!tQ=}1KAP$5o7CPD|lsSR|T18)o#LUllM3GU_ph+I;M&;rUO#As<mXaUtF#AxY6 zXaVICVzf*|XaVICVzkUeXaVICVzexTYJubuV#0VOLWdG@v9b}NLy<TgI}tjRiPLcq zp+kc>9VZbww20Gj5urnqI2|_;I<$$?@erXyg*Y8A5jyxyZJ?ujcyq}|s19f@!M*1N zkxPCew19F6F<KZoQR)(6v~VJ{fN}{jS_BbVK)Hk%Es_W=pj<+X7DcEQNG>5Jj5QHD zl!%KJLxc`R;&fOdbSM+2!x5oFgE$?Y2pw9)=?FyV&?HVrBtnNaaXJzaI#h_$k%`d3 zZ)yV_pT?U@3ZXinxdit{FGMb>L}&r!5@NJ8BD8?&5@NJ;BD8>V2{BqGBD8>V2{Bq` zBD8>V2{Bq0LbX702{B>35}`whxLDbU(4k12j-3b{%Eak7h|r-yoQ{(S9a_ZcxQNi9 zNt}+G2p!tQ>3E3Hp+cOFmrxy$kzdHH4BnC7k5CPuK`{kW+?yK_dE_TT2WaFMGRTcL zBQkP9DiT@n+!!%-a3XYoMt+H~LlB_@H1bP?4oQR#(8w<lIuxNgAS1s-1g<7R!{R;A zIWoMlVTjPMf+!7^2o0-<(%^{Du#zYZo(K)AiP8{=(6EFk4Uq^9%ZSpDh|sW<C=Hni z4U6{dg-kx;jfX<0257E;OwQm<<CO><pj<(Ojz)wI(0m9HIyw<LK)Hel9TO2cK)Hel z9WxO+K)Hel9Sfm4Ai08wz+H*Zu$ZXW*oe@uf+!6;5gJwzrQsk#!%CtwoJ43?O_YX< z2n|b!(r^=@VHr^x9wIa>B}&6fgoZ_XpcCeJbHzuf257E;Ohe+$6+aO=K)Hel9gN&4 zbp;VRI1xHPxq=8Cf(RX;TtS2mNrVnit{_5(B2)(?S3njp;0;_&goedL#fBk5!wRA_ zSRyp6B1(fJLc>a;G<YI3tR_lBAVR|uqBKMzG%O=ZLn1=MQld0uA~Y=816>z_H{uGR z8lbrX=Wz^(7E~od2Pjt%p`#I@15{TKp`#O_1C%R>&@mCA1C%R>&@mIC1C%R>(6JDz z1ClEsi*E1+?n;D)#YDx%MudhHL}}QG(6EXq4F?e#RuZM*BtpY#qBLAYXjnp&hMNct z%ZSqO5TRiyQ5s$%G%VV)7qa{bZ^V6sYJlbnoQIAea>Y-C4p6QjLI)!cN?k#O4o-v) zP_7_Chaf@+C|3}nLlU6_lq-nPp$OFh$rVHdt|mgmVxnTh5TRiOQ5q}}8dedd!4aWh zB~cnY5gJw#r6CZZVF^(hA`u#v5v3s!p<yXe8Zr?Y7VX&!S>=Z}R}?}uKywAoqjeCu zq7tD4lq-nP(TLCisw;@l(TUIj$`wTDn268;$`wTDn2FE<$`wTDSP0bt$rVHd?n;D) z#YDx%MudhHL}}QG(6EXq4F?e#RuZM*BtpY#qBLAYXjnp&hMNct%ZSqO5TRiyQ5s$% zG%VT!UCfF%SA2wOfaVIE2S_1u#ZQC|P_7_C2O}>^T|tBnPJ|9nt{_5(AVLQyR}i5? z5}^Z>D~Qmc2-N|}6_91Wcmr1xp<yvmv0;eNu!1NJmIw{2h|=JQ(6Evy4W0-MtBKMO zh|sWvC=HPa4a<nqkciN*lqe0E2n~z&Ko?-+jkrRn257Fpc}yB2S5zW&fN}*9IvNo= zKy?KXIyw<LK)Hel9TO2cK)Hel9WxO+K)Hel9Sfm4Ah`mvx*c!eu0&{9OjK-aL}*w+ zl!l!M4XcRKa1fzkB~cnqA~dWfO2b8jh9yL4xQWoPj3^Bc5gL{frQsz)!=gQVA^QyQ zM%+iJ257Fpc^DufSNufi0OblIbTIOv)D=YN;6&&E<q9Hn2qJWVas?4OBoR75xq=8C ziclSpTtP(OY9cf&CMq@z5gJwyrNI)RVHHst91$8;5~aZtp<y*q8Uhg-mJp>O5}{!k zQ5q5v8kQ2JArq>BAGVjKX9e`6S46VThpG{P>~~tU5OIJdLQyHIqJ>x$Rii3ej8#!1 zs-hKG6}6)(T7^|nFRG%ISQSl1RkRwbqM4|QmS9yhA63yZtcsSRDq4zF(Q2q7Xsjrh zVu_WFP&KevS&S`KwxcRqh*i;ER7H!iDmsj+Xa!b9Cs7rx!m8*zs-l%x6<tMDv>L0T z+o+0`U{&-GRnao6ik_n?T8dTCTc{#vtSDiNmCsN$uvkGl>=lt$exfQ`h*i;lR7H!i zDq`h_)E`jmR$x`cjjCuBRz-rSijYsKML1j>t_Zx@7P}%@s3K@EDPjvIWmGlF*wkpE zs?orvMjus;7B)4esA@E^sj)^?qm50CBdQt|Y--%$YM}enuw-0+s2TzARv*w2^6>M3 z5g{FpPy}7(k3~^DLJ@S8GZsbZ2u09Eo>&y+BNRc`xM5LLj!*<$;)6v|JwnlH$hrkA zirNv1mf%#>k5IG>r=sZyMN4rinh#aP585gO+Qf||R+dB6fMNwQvy8>v>k*0;;#9O9 zp=dEqMf(woR^U{09HD3xPDSStidN!ObRD5+HBLqM5sH@JRP-F7Xc<mL?-7cY;#Bk< zs)+x;4P@>gORW5dssY6cWM&gftgu7x;}&SzyAY=$euSdMI2DN_6s^FiNFJeR6;4I! z2t_M#D$<84;{R_0ndik40_F%ckXbJ*YU~keAaej%)VL$mKt@EdsPRXrfs7AfQ4@|( z1L-YeQ4^0)1L-?pQIiT)!z?Ih1uyo7G=qhaQTRFZkZ=Y@No6?uKZAoPg8&0a{w0z; zoc*7{K^!d4B>WmKFQN=*|7UQJU=Uysegl`3Rfe<wGdM^>HNS()izvg{{}~*(K$_pf zC1sW2Y^cdBj7-8G;qoHNaQ1%&2Y!&|PjE?DWjOmkgM$>*<}Yx05oI|0KZ64wNb^^? zq^vTW{hz@>8mjp_TwX*O&i>EfAOO<*11>463}^pmaFBs&{sos8(SWm+;jI4*4m=D3 z3=WPA3=D8V28f{WZ@6Ar4LDmF&ic>bAPdtA7i544f<o*sT)T({oUIIJAzKX><bqoL z53W~M1I|{4vyiQZ3vwZ>W`Ld>%fKk20cR`2S;$ty1-YSCGs5-CYQWjba2B%Fa6xW_ z)y#11A{ubEGMt5MHC&JfYBdX7udD`~tqf-&TMZZFL0HWO*Dj&~XDh>5$X3Gzd7)Ob z!}ZE)z}d=h7P8fFL0*K_oN(<T8gRBUoP}&PT#yfHH5Xj3tOlH|3}+!*4Hx7?Sj_|1 zE}{WvE5ljHR>KAPp;q(4^~!3%*~)Mhvej@weuUNhaP1-*aJDj>g={rkkP&LN09>!E z2Ar)7XCYe+7i2_OEd<vtq5)?s!&%5y!v&e3Rtv-R%4)#b%5WC4)o?*3gw>*O?IId* zwlbWBY&Bev8EUl{T(7JKoUIIJAzKX>WJXvm0oN{~0cR`2S;$ty1zDh0OTzWaYQWjb za2B%Fa6uM?)zWb7A{ubEGMt5MHC&JtYPAepudD`~tqf-&TMZXvMOZBd*Dj&~XDh>5 z$X3Gz*`QX-!}ZE)z}d=h7P8fFK{kZdig4{B8gRBUoP}&PT#y}VwGv#ftOlH|3}+!* z4HslbSgiurE}{WvE5ljHR>K83pjNBG^~!3%*~)Mhvej@w4usX}aP1-*aJDj>g={rk zkP~XP23)VK2Ar)7XCYe+7vw}(tp(REq6}yMXK)Y#RrcC&Nm*q$`#*z&9JI36h0BX5 z!`c5C9C$&R_281S%5e671_yblW&^mqh%%i0pTR*8q}dQIDXR=;|7UPefNC~|%Zn(( z+5Z_FxIvmt;F7Y+aQ1%&2Suo6Gq}8n2Ar)7XZ>ezP=>WL;DQVgL1A;aURez|TN%#! z&)^^e(+d}5fCz%xD3);TA{ubEGMt5MHC&JjYPA(yudD`~tqf-&TMZZFLRf7B*Dj&~ zXDh>5$X3GzxuI6u!u85(z}d=h7P8fFL2iWA_HgYY8gRBUoP}&PT#yH9wF6wQtOlH| z3}+!*4Hx7=SnUMYE}{WvE5ljHR>K8(p;kM?^~!3%*~)Mhvej@wUWC=IaP1-*aJDj> zg={rkkPm9L8(gof2Ar)7XCYe+7vw`&?E%*=q5)?s!&%5y!v*=FR(rzr%4)#b%5WC4 z)o?+6gw@_~?IId*wlbWBY&Bev5o)y$T(7JKoUIIJAzKX>WJFl)2iGp50cR`2S;$ty z1(~2$`@{9hYQWjba2B%Fa6u-7)q!yBA{ubEGMt5MHC&JxYIP7?udD`~tqf-&TMZXv zMpzvJ*Dj&~XDh>5$X3GzS)f*j!u85(z}d=h7P8fFK^BD7;c)FD8gRBUoP}&PT#yxN zbp%|mtOlH|3}+!*4HslZSRDn|E}{WvE5ljHR>K9^pjJo2^~!3%*~)Mhvej@wHiXr& zaP1-*aJDj>g={rkkR57u99*xg2Ar)7XCYe+7i33RodDM^q5)?s!&%5y!v#5@Rwu&s z%4)#b%5WC4)o?)$gw@G#?IId*wlbWBY&Bev6KZt|T(7JKoUIIJAzKX><V0AV2G=g4 z3}^pma8LnN_UUj*S!Fo;KZAoXxUy#v&W6b|Et;wU=P1Kj{}~)s!D?){AR|OjI0vqI z;ZzMcM;XpS)(jV9hHB1*YhFB61I|%~vye5z1(~3l^I)18WtHJ<sGnIF)rGs^@&cL& zHopd(tqf=VXK>g6^C4W2A0jxao`GRBd>9xQ=#(BXQl)SYEFrVZpE_frCY;MCrwnI9 z2W&?LAd}0W6bl-_9@gO@+zU$>>=MGVG8W<jns5<D7G*damNrNi5blH7z$m1NV6!X3 z*)X$dE-({Rll8-#1Ih`LHQ`)FA!Rrl=Auyn2GH%^@SK1i9>Nn~fypSSiD2_;z}d=h z)_(?vgRuG$E~pF<990jlA4kInnjSDxjqpTRLgSVb5i}GKG%+#~5LAYXLNl!JB$zUG zIT45wxCl(iWSA1Jc{6v+pSpGP=7}>j;ew3J%5XMR2Vnu>DKIlx7EYWwLmAG6njkzC zF25Kg59h+<r@`fCf#l&_nEZ5@JSVh}mJn2ii@_AlfGJ{GIC<s_WjGfmKNBv$7$gtp z!sKVc<e3E}1eM`DnDlIzG$%A@B?Oh>VlYK>V2ar0ZQeCw<_u-H08GVPm<ncL2|;Bz z4<<biCe1N()`q$Br_P+A3>Si_nGaLLEF&SP4Cle57r>?EB?Oh>Jec%CxU{Iapfa2X zlU@XqW)#*$uqBn@Z0NN0r~sms1e(SlEa4%%7#4wSkQAf|7hq&nhO?p3O$`CzB`_Pg z=1-kJW$KPy^LEZvh6_S%5?%^Z1$Nh_4VrKPMs{U58>$*bK#W0v0lxsanTl)%h8C<U zgqOkG#3-+cV2dil+5Z_Fx<R%h3-q81^r8#&p$qh*3n-xrOh6Zyh%PV*U0^c0z!Y?W zsptaJ&;_QW3(P<lXhj!jLl<aA7wAA2=tLLj0yRXJ!vY`F5Z$B+=Q0{7!`ZOpFe-rB z5FHJV(ePjZx0yi&oA3&FR+_kL$7W?X7n*&9SHk3Z<si*Mad81-LnCFlI85Oxm_ja4 z<*{=f*f_W#Ox0?bDwfG0>)>3N{2I9Y43IpW3zJ_9lV=w<G8P0m1TF$ovJR$%WfsT? zI2R_r9wrZN5z2{x41tTm6m5VhVwnpv1kQ!YZ-mQl0?EU<P<a+cX5p<cC7^L#I7b=I zLLOa(3&KWMx4|_poT>rmD8pIEn&E=5aoz1O&5W`daJDj>^`F53)SU+}af1tTLj+kE zS%r7Qw1XTD=P1Kj$acd8VGiE|*Sv752Arb|XCZ5b3&I?}7p{5nR1G*s8O}o13>SnA zh3|uFUNKbz&QXT5kTt^v8K9%t`(c_HWi{YzWjG5t$l!uZupna>J`B?i3NkoH8P598 z;IJLmgNF;UK?H@5z%?(NssZOH!&(0s9JawU!v)zOg2G4Pnio&ifOC}LEM#B91z|yU z46b>_R1G*s8P598;II{DGhC1jA}D+uu6fl|4LC;`&O){sE(i<n6L8Hdr)t1C%5c_y z28S&$o8f|N5JBOSFwKmz%5e671_xE}j0(H(S(rR1HsBm(IO{)y!!DTNa6wjxpzt}k z=7m!=;2dQ*>pz3TPMBu6AS*;r_&i+m;;9;NjxwBu942r<SZrK?YhE!`1I|%~v;H$U z?10$}7i5J93SWe4UNuz%&QXT5kZpzw!eZkRT=UAQ8gPy>ob{i<VI$0DxF9P;Q1~)T zGo!3Boc*7{K@A!k*J1LY*no4C;jI4*4*Ot+!v$F(g2Ff8nio#hfOC}Ltp5xSdtsX4 zf~*ih;hS*Hi>GS9Im&Pra+tscVX<)wu6e~&4LC;`&ic>bum@%{T#ywaD0~~PdDT=6 zI7b=ILbe$$2#bw7aLp^HYQQ<laMphYhutun;exCXLE*bF&5W|jaQ1%&2X$y{JcP-E zVgt@mhO_=NIBbR)4i{vF2ns)fYhE~21I|%~v;H$UY=UWq3$j84g&)H;FP^Fa=P1Kj z$YBB(gvG`axaJj8HQ*d&IO{)y!vUDha6wjxpzu?;=2cTQ;2dQ*3)yD4AS^bX!8Na( zssZOH!&(0s9QMO(h6}Pn1cjf&G&9O7!`c5C95kS@@fs!%iVZkN8O}nkH{gP-(0bzy zT=T-I8gPy>oP}I(zy(>M^~PJc=EYMr;2dQ*3pq^Sg0R?l2iLq}ss@~+3}+$N8*o8Z zXua_su6fl|4LC;`&O){sE(nW_4{*&Zr)t1C%5WBPy#W_wh1MG%VVW6bmEr9F3=W#m z*!T*Q2gL@QqYP&u*Bfv_7HGZk4X%0NR1G*s8O}nkH{gOS(0b!LT=U|o8gPy>oP``F za6wpX{D5m-F;xT3QHHaS>kYUd3$)(&3D>-8ss@~+3}+$R3>SpO#xJ<$l~Xm~9A!8Q zx!!;avOw#N-!RRLvdVDwe+CCFXl(q4$%A48&QXT5kn0V&APcnKU|@odu`w;2ssZOH z!&%7n23(K@T5mAIH7}m30p}>gS;%1m7lg$I6I}C(sTy#OGMt56Z@>jvp!EhbT=S}_ z8gPy>oP}&NTo4u;EO5;$r)t1C%5WBPy#W_wfz}(WFwKmz%5e671_y0uY;eNlL9qen zD8pIE^#)v!1zK-#!8I?OssZOH!&%7n23(K@T5oW}H7}m30p}>gS;%1m7lg$I4_xz# zsTy#OGMt56Z@>jvp!EhXT=S}_8gPy>oP}&NTo4u;d~nSxr)t1C%5WBPy#W_wfz})R zFwKmz%5e671_vEzYzV{TL9qenD8pIE^#)v!1zK;2z%?(NssZOH!&%7n23(K@T5pKL zH7}m30p}>gS;%1m7lg%z7+mv;sTy#OGMt56Z@>jvp!J40T=S}_8gPy>oP}&NTo4u; z5^&8cr)t1C%5WBPy#W_wfz}(6FwKmz%5e671_xbeY{<goL9qenD8pIE^#)v!8Cq}1 z!8I?OssZOH!&%7n23(LCT5rh1H7}m30p}>gS;%1m7lg%z0$lTosTy#OGMt56Z@>ka zq4kC$T=S}_8gPy>oP}&NTo4u;N^s39r)t1C%5WBPy#W_whSnR(FwKmz%5e671_wQG zY_JNe!{kA+0p}>gS;#Jf3&KVqG~k*SPSt>Ol;JF7&2T|jfNH`uFP^Fa=P1Kj$eQ7T zuz?dTxaJj8HQ*d&I15=bTo4wZ+Az(GvKnx<GMt4xd;u3^L=0c(!?c5f49-!8vyiJ= zxF9pMsx^RXUN}_)&QXT5kgHm_ATzY8HH2$kJXHhEQHHaSeGM0cg|`u0^NOh&aE>yZ zg<RFb1(~5$tub8ls;L@qjxwBuY%^RC7TzXs%`2yBz&Xlr7IIY!7i5N3wWcu5jIzpb z_J0NkeQ*M17q*1SgJJ{DQHHaSt6I1qGqkF;f@@wlRRhjZhO>~XTDTxHw5qj+YhFB6 z1I|%~vyj6CE(nVa8@T2bQ#IfmWjG7Ds)Y+OL#tX_xaL(;HQ*d&I1AZkxF9Sx?BJSL zPSt>Ol;JGosunKD46SPIVVW6bmEr9F3=Rg+*l>o)gJJ{DQHHaSt6I1qGqkF8foonk zRRhjZhO>~XTDTxHw5oN5YhFB61I|%~vyj6CE(nVaH@M~%Q#IfmWjG7Ds)Y+OL#tYM zxaL(;HQ*d&I1AZkxF9SxJm8vFPSt>Ol;JGosunKD46SNCVVW6bmEr9F3=W3S*zkqP zgJJ{DQHHaSt6I1q6SS)JgKJ(mRRhjZhO>~XTDTw+w5s)oYhFB61I|%~vyj6CE(nW_ z0J!EAQ#IfmWjG7Ds)Y+OL95z8xaL(;HQ*d&I1AZkxF9Sxg5a80PSt>Ol;JGosunKD z1g&a=VVW6bmEr9F3=T%n*a(NogJJ{DQHHaS>kYUd6SUrlfNNejRRhjZhO?0C4Y(i^ zwBCq>YhFB61I|%~vyj6CE(nW_D7fYoQ#IfmWjG7D-hc}-LF<iZxaL(;HQ*d&I1AZk zxF9SxV&IxrPSt>Ol;JGodIK)V1g$q>VVW6bmEr9F3=YQ7*hqxQgJJ{DQHHaS>kYUd z6SUq)f@@wlRRhjZhO?0C4Y(i^wBAUDYhFB61I|%~vyj6CE(nW_6u9OUQ#IfmWjG7D z-hc}-LF<iFxaL(;HQ*d&I1AZkxF9Sx(%_m`PSt>Ol;JGodIK)V1g$sHVVW6bmEr9F z3=SsH*vN*-gJJ{DQHHaS>kYUd6SUsQfoleB>ws~T;ljxE23(K{T5sgS)H8v$b-*~v zaAD*yfeXT7BM+vYX~k3xI7b=ILasO9f=tkQBOk7L)l>~QM;XpSwizx6i;V)f=9N=5 z;2dQ*3%TBa3o=3LjY61aMp<Pz`#*z&DKs`pVe+8ZfOC}LEaZ9vF31S2H_G6e7f#iH zbClsM<az@x$Ox@B%Hf(9Pt|~Pl;JGoFo6rgVxt1CdBs!>I7b=ILasO9f{f65qY|!p z)l>~QM;XpSwizx6i;XI{=9N=5;2dQ*3%TBa3o=6MjcS-?Mp<Pz`#*z&88kNPVe+8Z zfOC}LEaZ9vF31S2HyYrY7f#iHbClsM<az@x$Ox@B8sVB3Pt|~Pl;JGoFo6rgVxtMJ zdBs!>I7b=ILasO9f{f65qZzJw)l>~QM;XpSwizx6i;Whz=9N=5;2dQ*3%TBa3o=6M zjaHauMp<Pz`#*z&IW#spVe+8ZfOC}LEaZ9vF31S2H@e`O7f#iHbClsM<az@x$Ox@B zy5X7^Pt|~Pl;JGoFo6rgVxtGHdBs!>I7b=ILasO9f{f65qZh7u)l>~QM;XpSwizx6 zi;X_G=9N=5;2dQ*3%TBa3o=6MjeeMBMp<Pz`#*z&1voZXg(t)0L9qenD8pIEE`tlg zhA*bTH7}g10p}>gS;(5<g0KLc3fH`Nss@~+3}+#0h6}=mFQ&mYub8R<=P1Kj$eQ7T zumGJ7)66KV0cR`2S;)f|a6tyd@WpJHc2JPPIm&Pra#af#WQ10=bKsg6PSt>Ol;JGo zsunKD2(4=8!Zk0RssZOH!&%6_h6}>Ndmdc#im4iKjxwBuT-Cw_8KG6}e7NRSQ#Ifm zWjG7jX1E|MycfVVubip@=P1Kj$W<*|kP%wdE`(`jlvRea|1&sPf)g;i@KTsOC^q06 zWjG7Ds)Y+OK&#qiaLo&+YQQ<la29e^3m0U7R<+CFnio&ifOC}LEaWhO3&LV!1zhur zsTy#OGMt56)xrfCpjGWkxaL(;HQ*d&I1AZkxF9SxR>3u|oT>rmD8pIERV`eQ0b13r zhG}M$Rfe<wGdNg5V`Du`9uymJjxwBuT-Cw_8K70|2Ds*hQ#IfmWjG7Ds)Y+OK&#q~ zaLtRSYQQ<la29fyzy)Eku?enu#Z(PAM;XpSu4>_e4A81}GhFkksTy#OGMt5MGh7fB z8(ZL-S5DP{bClsM<f;}f$N;Tsx56|t$|}Rz{}~*tp|P<OCJ%}YI7b=ILau7zf(+2A zb{Aap!l@c?jxwBuT-Cw_8K70|Zn);fQ#IfmWjG5tOyGjB*w_Qtyke>boTCh9Ay>6< zK?Z15yBDr`)l>~QM;XpSwizx6i;aD7%`2yBz&Xlr7IIY!7i54|wfkY38D*8>?Eef7 zHqh8O43h`N2Arb|XCc=ca6txWy>SGt8MJB-#!-d~Bi9>nK?Z2OaTKN=)J21Hl;JGo zFo6rgV&fQGGicQwjH3(}My@yDf(+1l<2Xz`6KK^QjH3(}Mz$F)2#bvqF!fBJReLaw zGF%wB-hc}-K<kZ@F!i8SdocEY1_xVkY_JHQhKVsPnyL)v{AX~m11<SK1D9PmRT<9t z&){GWl06HPWt7!`vz6g2<PG$2LFk5Z;d5}kh8l3TGMt4RAaFrwdy9pUMfd_tJIL8^ z&VL362av-q!etjuRfco^gU*)}U=Y3plVz0EfU}k1EM#ZH1^FQ7A_!lG>owGXvz6g2 zWM{(#k(_-MrXA#LIOjiugA>Tv*Wj`Xrz*oa{}~*dL9*9jvW&7CaJDj>h3ss&ATQL} zH{f~=HQ;PzI1Aa?a6u$z--2leIUCOT&*0z!a@cLS?82$aaL#`Q2Un2n9hfYmtOlH| z3}+!b8!pHLb@pAjUPBEyTN%zmb~aoP$=UZ|+Ck2SbN(|pxPct@04}?5sxqAOpTWT$ zB>NC1%P6Y>XDh>5$j*ifazmZ{2(H&q1I|{4vyhz)7esRQ6PR|8v*Dco3=SS3hdqVM zE}W_i=lo}I@C3;|gUK?=YQWjba2B$&;euRHXFrGQHPnE!mEkO8XTt@Noc$7}9pr2{ z=Rbpk7sz3+;Ia#+D#JPd863PpvaeyXjItVVwlbWB>}<FoC)C+*;Cc-;;A~|$3)$Il zLAbM7g+IWwgQ_DqM;XpS)(;ni^}jyCH7}g10p}>gS;(5<g0LFp6I}D+sTy#OGMt61 z87>HQx$tMW<`q*l;2dQ*3t2N<5LTmnfoW!x)qt~=;Vk5yA6$?N*7IW#{sz+yifK6K zKZAo0C@#OlWfx9WhI9TiIQW8Of52oJWi{YzWjG5trs0Ad(3t)S*K4Q&XDh>5$X<pE zLc<GGXZ(g~2RR$g`Oo0s2XfdSxa`8I%5ctq1_ytT>|dBHqpSv;tqf-&I~y*@4t4fF zxL!jII9nOcLUuM>5XspL%&<jui>4~WIsX|P0zeL9gv&0Rsto7+XK)Av$uhxY8D%x# zY-KnL+1YSGHmI|i;d%`<;A~|$3)$IlK_q9h!nA`z8_xO9;1C3I7#m!6;Z$Wf=Rbo( zFi4giCd(+R0cR`2S;)?Y3$j9;%>mbIr~zjy!&%7Ah6^G&n+v8L<ZL+SKZ8RE$YI=Y z*@aV;;hg^r4xu1f9+)hntOlH|3}+!b8!pHKbv7?tub~E<tqf-&I~y*D<ZOPJc965- zoc|0CVIYSIz-1RsRfco^GdP5UWCdZejItVVwlbWB>}<FoGt}8aaJ_~aaJDj>h3ss& zAd<61VA?^>hI9TiI7EOPCJL8bI8_<W`On}G36d3q$ui1nz}d=h7P7P9f=p0ni^KIA zYQWjba2B$&;etrcmV{{sIUCOT&)^URa+nlccHvZIIOjiuLo`TM8Yasqs{v;#!&%7A zh6^%6oh<{`Yp4NdE5ljH&V~yjIa>~<9pr2{=Rbo(49H>faM^`ZmEoNK3=Xj%Sp}FZ zqpSv;tqf-&I~y*@0Clz^T(6-9oUIIJAv+r`h~#W#n0An};hg^r4sjrdsla6yPF03; z{xdklgJe}<vW&9Ia5k)WqXw5W)PS><;Vfi#!3Ci;KWM9=7EC*+<pAd>!&%7s;exQr zUmLD@;ZzMcM;XpS)(jVf28yr_Of#db2Ar)7XCc?$a6wK){jCSn4hm2>=Rbo(0w~Dz z;j#;-D#JPd85|NpvIa0&Mp<Pz8`dE-gi9J~z}d=h7P6n<g75%k5jKWt2e}K*`On~x z1hUx#F1v85GMw|D!66wWYYLMEE$@P{Vf{ffm;j@p2Ar)7XCb=_E{NnV3z&A0yWpJv z3=SzEn=Rq83#Tf>IsX|PQbDp-Fj+=fWjGtw6Sam*8fw7V%5WC4yWoOI?y`kx2e}K* z`On~x2C~@>F1v85GMw|D!66+aYY&qJEx>}YVI5Him;j@p2Ar)7XCb=_E{NnVCzy7S zyWpJv3=SC}o1NjZ3#Tf>IsX|PGC{H~Fj+=fWjGtw1$Ko?8fw7V%5WC4yWoOI?sA7| z2e}K*`On~x1+v)#F1v85GMw|D!66$Y>j{$uEs=t;VLf0km;j@p2Ar)7XCb=_E{NnV zADDKKyWpJv3=TOUn|<N33#Tf>IsX|PazV0wFj+=fWjGtw_x6WN8fw7V%5WC4yWoOI z?h1ry2e}K*`On~x2eLT`F1v85GMw|D!66?c8w`^LEn<SPVO{SKm;j@p2Ar)7XCb=_ zE{No=Fqn3byWpJv3=Rb#o5SI<3#Tf>IsX|P3PG|FFj+=fWjGtw>5qg<8fw7V%5WC4 zyWoOI?uv$K2e}K*`On}`1hP2>F1v85GMw|D!J!x=8w-;KEi;0#VSWBMm;j@p2Ar)7 zXCb=_E(muQt8fxbJE)?9bClsMWc_eKSVff#*Sv752Arb|XCZ5b3&JX@6u9QaQ#Ifm zWjG62Gh7hra^Y0C<`q*l;2dQ*3t2N<5Z1*_gK1`z)qt~=;Vk4T5-!Mrs3J39+CfnX z=lo}IC;>%QCR}#mRAo5lKZ8RlNHz;5%P6Z1XTt_)vf+}38gRBUoQ3QsxF9q@Kz-O; zn0Ao6;GF*q4rL&l^Wd@zrz*oa{}~+0L9+QUSw>l9I2$%TQvjDV)PS><;Vfi#!3B}r zRRq%xau=NQpTVI5WOFfGcHvZIIOjiuLnTPI1SZQUs|;tuMutk^l7<>^wlbWB>@K(< zlDo=b+ClDubN(|pRDo=+fXgnNsto7+XK<(n$yUN-8D*8>Y}hDA6<pF#1I|{4vyj~d z7esPb4NN=8U2x8S28SAu&9!jZg;SN`oc|0CwIJC#m@K2LGMo(?U#W*n8fw7V%5WC4 zyWoOI?rMZ-2e}K*`On}`2eP>dF1v85GMw|D!J!@`+YFOslvReaVZ$pea7jZAI9nOc zLUtEi5XoI_Fzq0B!8!jK92!72x5H%@PF03;{xdi<f@C{jvW&9Ia5ijUrxPw|r~zjy z!&%7gf(s(Ks~e^r<SsbpKZ8RP$mSln?82$aaL#`Qhh~s$FHDwERvFHQjqCKmB@H#; zY-KnL*<El!BzH}KX$QFr&iT*a&;qh~B3yRiRAo2^dX|ImB$zCttTLPp8&R4Jmo(IX zvz6g2WOu;@k=!*ErXA!iI0t%ef$%iA?82$aa1L}Iz3_CHETgP4oDCaLngN$I)PS>< z;Vfi#!3E*&VileP(+;X4;T&Z+3t2y05LQLbg==0oRRhjZhO>}0!v$eg<UF|M#Zxul z9A!8QSu<P^>T==vaLp^GYQQ<la2B#=xFD>GTmaL|D60WyE5ljHRU}-H9Z^Ltf@udu zC7c7@W-q)LF1v85GMoe5_AR^wCd(+R3}?ee|CYif4K?6wWjG7jPjEqKfPkvV<uL6a zcfmQ(EzZI#;Ia#+D#JO@Ew{oeVX};}%5XMp@NX4d(oh4=R)({X-31p!a@QJ|c96T^ z9O(8^;k9ttg;SN`9O!mO;dL-sMp<Pz8#e5?9xiF90cR`2S;+2!3nIB|BTPHUU2qO` zYoG8Yxa`8I%5V;J-<0rXm@K2LGMo(?b=(4%G}M5zmEkO8cfkdb+_ep+9po-J2f9Z{ zcspEn;Z$Wf2fAlPcn3_DQC1nwhK+abgi9J~z}d=h7P7nGf=KS#4bu*C7n}p#pCP;l zF1v85GMoe5FCe@ZCd(+R3}?fJJNLmQ4K?6wWjG7jU2s7pcO8If2e}K*fv$}gJ_wgx zI8_<Wfv(jSJ_M6xlvReaVFRs);gW_LaJDj>h3qc4Ad<U|!nA|j1?T*StV<R?2A5qp zRT<8Ku9Fo$4wGe+Rfe-+<E$s(l7<>^wlbWB>@K(<lDkg9w1eCQ=lqAP2^BsKmt8nj z8P0(&xD!4DlVy}uhO=QKyl3H(h8l3TGMt6%F1R3)yUxS3gWLt@{D&+q6TSeKT{u-4 z&Vep=621tNWt3Hhvta|gm*A3y8gRBUoQ3QzxFC|duEDf}sz^9T8O}o14;O^hHP_*q z7f#iHbClsMWX*6vSp9kfu6glP4LC;`&O+7<7lgW8_$Ev<qpSv;tqf-&*K%+{HbgCV z8>Sr;8*mPEIg{`mxa`8I%5V;Jd5!R0m@Md2AQ&4qpneY~z-XueXDh>5$bNzgLjA<T z$S(W{rX3U;aE>yZg}mMqF31mE@A(+6dErzII7b=ILSF9)7vzVo_k04^ym+bxoTCh9 zA-fzd2#d<6aLp^GYQQ<la2E1<Pq-jIbiL;@xaL(;HQ*d&I1AZkxF9SAK8I^wIaLGB zQHHaS*L%VR`JwAQU%)go$|}Rz&>09&Y`lTVgJJ{DQHHaS*L%VR`JwAQ-@-L7oT>rm zD8pIE>pkIu{LuBD@8FsjPt|~Pl;JGoFo6rgV&gqr^NOh&aE>yZg}mMqF31mE@A(0) zdDT=6I7b=ILbe$$2#bx6aLp^HYQQ<la2E1<Pq-jIbiL;%m}W*<WjGr;;{%F~Z!mdK zY`{6na2E1<Pq-jIbiL<yxaNgZHQ*d&I172bCtQ#py592#T=U|o8gPy>oP``Fa6wpX z{Df;>F;xT3QHHaS*L%VR`JwAQf5A1cnyLZkD8pIEHp2yBvGE(OdF50MI7b=ILSF9) z7vzVo_xuCX%qXi2XG15GK(WEVf>^(x0p}>gS;*@>;e!0o^`4Ay%?qb$z&Xlr7V>&e zxFA1ty(be~^Wv!*aE>yZg&ZbuL0D`s!!@s%ssZOH!&%7dJ>i1<(Dj}yaLucxYQQ<l za2B%7a6wpXu);O3oT>rmD8pIE>pkIu{LuBDY%tA?vdVBabn*@q8(c7XP~L!Zl;JGo zdIK)V2dy`_;hGmt)qr!9;Vk5O11`u1tv7h!nio&ifOC}LEaWhO3&LW97p{55R1G*s z8O}nkH{gPN(0YRpu6fl|4LC;`&O){sE(nVaez@k9Q#IfmWjG7D-hd18LF)|xm}W*< zWjGr;p$Uo&5tuwEHsBm(I19PnfD7_L>kU!3=7m!=;2dQ*3%TBa3-Uqh4KcXp#Zxul z9A!8QIZWV!u-FiXYhE!`1I|%~vykfzxF8?2-jIN6UNuz%&QXT5kZpzw!eT=bu6gBD z4LC;`&O)v?;DUV6dP54PnNe05&W27PgJMGtCJ%}YI7b=ILasO9f_%_=LmsYq;ZzMc zM;XpSt~cO<e9(GB0j_!RR1G*s8O}lu6SyEOHWcBSS4`D_bClsM<az@x$Oo-Al;E0I zP1S&Nl;JF7o8f}6*ieRRUO80*&QXT5kn0V&ARn~eP=RS?lvReap_A&M*wBE<gJJ{D zQHHaS>kYUdAGF@kglk?nRRhjZhO?0C4Y(j5wBFEyYhFB61I|%~vyj6CE(nVaZMfzY zQ#IfmWjG7D-hd18LF)}2xaL(;HQ*d&I1AZkxF9Sxbm5v;PSt>Ol;JGodIK)V2dy{s zV44|amEmmYA_h=w7{TO0u>t2O!&%7n23(LAT5lM`H7}g10p}>gS;+MUT#y%9Z<xR} zFP^Fa=P1Kj$YBB(gvEv_T=R;l8gPy>oP}I(zy*1s^@bT-^Qx&DaE>yZg={li5EdKe zaLp^HYQQ<la29gC0T<+j)*BWu&5W|ja5i*d2k5YH8<;#OHsBm(I1AZja6y>MY~h+0 zPSt>Ol;JF7&2T|z*HhRIu6glP4LC;`&O+7<7le&<+ru@ln5qHiD8pIEn&E=5sC0m7 zW|Y-{vz6g2<c>UCkQLUEXBT#XX$J)voTCh9Ay>6<L0)K8>k8MraH<BJqYP&uSG90K zUT9V82G_iJss@~+3}+$x8ZHR)wL4t%im4iKjxwBuT-Cw_d7)LU2VC>2sTy#OGMt5M zGh7gsOg!P5S5DP{bClsM<f;}f$P2A%y<nOdWtHJ<=-MMtapDJ)2gL@QqYP&uSG90K zUT9V857)eKss@~+3}+!%wQxaRXjK~k*SvVD2Arb|XCa3PTo4u;fpE<$rfR@B%5WBP zRSOs7g;upeaLucxYQQ<la2B%7a6wpX1j9A2oT>rmD8pIERV`eQ7h2VZz%(<;D#O{( z^;n?Th=9q1Vgt@mhO>~XTDTxDw5pASYhE~21I|%~vyiJ=xF9dIs*QqcUOZI;&QXT5 zki!Hn2#bwqxaJj8HQ*d&I19O|g$wdRtJ)a2=2cTQ;2dQ*3)yD4AS^aw;hI-Y)qr!9 z;Vk5;7B0vOt!m?7ni*x4;cV#oH&ARO!Q?@)0p}>gS;$o_T#yG^)h5F=gO=;SILdHg z<f;}f$OElvQ()>r8zkWzWjG5tOyGjB*hqzI1})ctag^c0$W<*|kOx}Troq&MHb}xb z%5WC4&2T|jY^1|Aubip@=P1Kj$W<*|kOx}TX23Kv$|}Rz&^3Xe*vNs&gJJ{DQHHaS z>kYUd547IMg==0oRRhjZhO?0C4Y(i=wBE>rYhFB61I|%~vyj6CE(nW_e7NQnQ#Ifm zWjG7D-hd18K<kYHxaL(;HQ*d&I1AZkxF9Sx3gMbpPSt>Ol;JGodIK)V1FbiTV44|a zmEmmY8ca}Zl)>adu>t2O!&%7n23(K_T5puYH7}g10p}>gS;+MUT#yG^Z&biFFP^Fa z=P1Kj$YBB(gvCZBT=R;l8gPy>oP}I(zy*1r^+pw3^Qx&DaE>yZg={li5EdKNaLp^H zYQQ<la29gC0T<+f)*Cf2&5W|ja5i*ZDkwG@VDg~YfOC}LEaZ9vF31C|HyYuZ7f#iH zbClsM<az@x$OEl6n&6rjPt|~Pl;JGoFo6rgVxt+ZdBs!>I7b=ILasO9f;`ZAqXn*c z)l>~QM;XpSwizx6i;Y&e=9N=5;2dQ*3%TBa3-UngjW(EOMp<Pz8@dh{6dPSIc~ES? zIm&Pra=if;<c8K8-Ehqdr)t1C%5WBPy#W{GhSnQBaLtRSYQQ<la29fyzy)Ek(F@nS zVyXt5qYP&u*Bfv_ZfL#H2iLr6ss@~+3}+$R3>SpOMn7Eh%BdP~jxwBuTyMYyxuNyO z1ej(<S!FmIx;7dV8&hENpxA(Ol;JGodIK)V4Xrn(!Zk0PssZOH!&%7n23(LET5n8) zYhFB61I|%~vyj6CE(nW_>2S>}rfR@B%5WBPy#W{GhSnQ1;F?!W)qr!9;VfjE;exQ( zm<iXsa;gTLqYP&u*Bfv_ZfLzR3#OS-RvFHQu0;pM#yprjC^q06WjG7D-hc~oL+g$C zaLo&+YQQ<la29gC0T<+k)*B1pnio&ifOC}LEaWhO3&LV!Azbr{sTy#OGMt56Z@>k) zq4mZhxaL(;HQ*d&I1AZkxF9Sx7Q;2KoT>rmD8pIE^#)v!8(MEHfoTRU?}D+R>+?aw z7b{?5pxA(Ol;JF7m%#;LE?WuLyl|=poTCh9A!~*U!UA*^T=U|o8gPy>oQ13zE(jaG zSPj>_VyXt5qYP&uYlaKL22R$%G&9O-z}d=h7V_`~T#yAZe6azh9Ta46jxwBuT-Cw_ zxuI3<M!4pMQ#IfmWjG7Ds)Y-3L#x_NaLtRSYQQ<la2B$!;exR6-VE2gVyXt5qYP&u zSG90KZfI4z1+ID3R1G*s8O}ns87>G*CR^c}S5DP{bClsM<f;}f$PKM(x4|?s$|}Rz z&@CjO;$#<09uymJjxwBuT-Cw_xu8|;Zn)-!Q#IfmWjG7Ds)Y-3L95z5aLtRSYQQ<l za29fyzy)Eku@|m+#Z(PAM;XpSu4>_eT+pg^A6)aQsTy#OGMt5MGh7fB8~fp!S5DP{ zbClsM<f;}f$OWxx55P1t$|}Rz&}}uK*f;`{2gL@QqYP&uSG90KE@)MI6s~#UR1G*s z8O}nkYT<%h(5m(rT=U|o8gPy>oP``Fa6wpX9EWROF;xT3QHHaSt6I1q7qqH90oS~0 zss@~+3}+$R3>SpO#!0y5l~Xm~9A!8QxvGT=azU%wQ!vepvdVBabQ=>WHqOE1L9qen zD8pIERV`eQ3tH8lhihIqRRhjZhO>~XTDTw=w5q)T*SvVD2Arb|XCa3PTo4u;7vY*$ zOx1vMl;JGosunKD1+8i?!8Na%ssZOH!&%5S!v$fnaT%_8<x~wgM;XpSu4>_eT+pia z3QRMjtTLPp-KPYKjT<m|P;9_C%5WBPy#W{Gg4P>1;hGmt)qr!9;Vk5O11`t~tv7DL zH7}m30p}>gS;%1m7lg&eZMfzYQ#IfmWjO0Uq>2FFrV1D2f(Q!VfoonhRRhjZhO>}u zh6}=C<1SqD%BdP~jxwBuTyMYyxuEsNJ(y-jS!FmIx-SeA8;@Y}pxA(Ol;JGodIK)V z39UCC!!?6e?ZG(8aAD+n11`u3tv8;))Psih;2dQ*3pq^Sg0R?l3fBx;wFl!U!-bLS z4Y(jDwBC3IQx6*2gL9POEM%MEg0R?l4%ZA?wFl!U!-bLS4Y(jDwBC3DQx96T2V+C` z%7J3z4NMFa8*q*?oP}I(zy&#>^~PJcX3(lV7)Kc{j9hQP1v#Ph#ygmLP;9_C%5WBP zn7{>LvGE?R8MJB-#!-d~Bi9>nK~8AB@d2hD6dQ1kGMt5MGh7fB8z14CL96y)9A&sL za=if;<b>86pJ3`itM*`Q=-xz7Y<z=>fno#BQHHaS>kYUdC$!%94%ZA?wFl!U!-bLS z4Y(jDwBGmuQxA#_I7b=ILJkwSAS^b1!Zm|d?ZG(8aAD+n11`u3tv7zb)PrIJ&QXT5 zkZpzw!eZk$Tr+6Z9*m<57e=l(;DVgcdgBjFJ!sV)j1Aqd35pE{R^(NCFpe@@7`fhn z3vxp14Mv!H&^>@~jxwBuTyMYyIid9i6I?TB)gFwa3>QWY6SyEOHke`RK?mu>Im&Pr za=if;<b>86EO5=BReLawGF%whX1E|MHdtZmL8mmrIm&Pra=if;<b>86Y%tBBReLZt zbbl-;Hn?D7pu7R+D8pIE^#)v!16prz!!?6e?ZG(8aAD+n11`t`tv7gJ>Opw}&QXT5 zki!Hn2#XC~xMt9*Js3wBE{t4nzy&#=^#&hIJt%L$Im&PrvdwToSZwgaHG@{|!8por zVdQ!PF316`Hw0knL96y)Z0Me0(C~!_ObiqoaE>yZh3qo8Ak1Z=aLu4qdoYeNTo_q1 zTo5*hAO=$piVZkN8O}o13>SnAUx>psgI4XqILdHgWX*6vSX4^D)HBLzz}d=h7V_`~ zT#y+td?5qV4hk|jM;XpSu4>_e9MGy(7Or{WR1G*s8O}nkYT<$$(5hAru6glP4LC;` z&O-JzToC4KdAQ~kQ#IfmWjG7Ds)Y-3K&x5>xaL(;HQ*d&I1AZkxF9T<D8e<boT>rm zD8pIERV`eQ16tK8!89|<D#O{(ZSA1qL=7eniVZkN8O}nkYT<$$(5hA)u6f~94LC;` z&O)wg;es5{s#XK8dGS;YI7b=ILJkwSAS^aC;hI-W)qr!9;Vk5;7B0vEt!lO4npaKL zfOC}LEM%MEg0R@ohHG9qRRhjZhO>~XTDTwww5rvCX=apFhO?nt{Xwx|0FwvB2Arb| zXCYU$a6t}eRci>>yl|=poTCh9Ay>6<K@MnDYXsN4c&Y}RqYP&uhY4H|78}NJ%`2vA zz&Xlr7IIY!7vz9ewI*=QtEOteIm&PrvdwToSZtWWHLski0p}>gS;$o_T#y4=)tbRH zGs-H%+0dgEK(S#3lLy5HoTCh9Ay>6<L3U_WYYo@DaH<BJqYP&uSG90Kc4$>=1J}HG zss@~+3}+#S30x2s8@6!GE2e6|Im&Pra#af#WQSI@c5uzBrfR@B%5WC4&2T|jY}ms! zubip@=P1Kj$W<*|kR4jpI>0nD$|}Rz(BmdRvEc%f2gL@QqYP&u*Bfv_c4)of3fBx; zwFl!U!-bLS4Y(jXwBB%osRvcHaE>yZg&ZbuL0D|K!!?6e?ZG(8aAD+n11`u8tv5Vi z>Ol=3I7b=ILbe$$2#XC*xMt9*Js3wBE{t4nzy;Z%^@bNrJ!sV)j14{B0~8y6FfmYU zz&Xlr7IM7-7i5Rl8~$+3pjCS?jxt;rx!!;avP0{Q0GN7EY`{6na29fyzy)Ek5eU}| zTD1q`D8q%3>kYUdJG9;if~g0^2Arb|XCd1R7lg$|FkCZe)gFwa3>QYOH{gQo(0U^T zrXI9v55|Tbc>;=!2$&csHsBm(I19PnfD5ui>y1dbX3(lV7)Kc{j9hQP1=*qXMifjv zC^q06WjG5tOyGjB*ocN}2CdqIag^c0$n^$XkR4iY#K6>pVgt@mhO>}uh6}=CBNnb1 zv}zB=QHBd6*Bfv_c4)m32U8DPwFhHEkC*|)MiNX66dQ1kGMt56Z@>lFp!G&FTr+6Z z9*m<57e=l(;DT(>dLsp<9uymJjxwBu942r<SZt)iHG@{|!8porVdQ!PF31M0H_~A0 zL9qenD8pIEHp2yBv5^kf3|h4Z<0!+0k?Re(ARDya$bhK_t=faJp~vokVj~A828s<h zM;XpSt~cO<Y|wfm7p@t!Y7fRyh6^Lt8*o83XuXjKQxA#_I7b=ILJkwSAS^cW;hI6K z_Fx=kxG-|P0T*P0)*A&d^`O{*bClsMWSilFu-GVsYX+^_gK?DM!pQXoT#yY~Zxq4Q zgI4Xq*wAB+K(SE<69dHtoTCh9A=evlK{jZ;Q4ZG(TD1q`D8q%3>kYUd8?@f2fT;(? z2Arb|XCa3PTo4u;m2l0VReLawGF%wB-hd0TLF<hwn0iobz&Xlr7P8H7L0D{5!!?6e z?ZG(8aAD+n11`u0tv705>OrgaU~K5oPoQ&a8(?CfBMso3{|pWjKxacW!ev3r@?acg zxbS}l2PFmp&{Y}?3~)hyh@fy2Og*ElGMxRN!C@Bowh>n0Hn_Z?GMxRN!9fyab306u zY32-NIOjiugB(b<118ICBrd27=ly4JPy|VL!lW66HQ;PzIO{)y!(o`K;erehLE$dA zUIPs{TN%#!&)}d8(+d}5fCz$ygZkjw1(o4!n5X(-k|0mPIWSL6fXRYA1?Ry$H4!Gw zD69czE5ljHo`MT<K|M7IuGc^V&Q^xAkUa$#<U)9A8eF@eGMo+b)O466$Ww3*%u_R9 zvS3fac`#4Sgh?|BYrxsca2B$s;DX#xPtAhsHPC>wmEkO8Pr(JbVV+_Wo(t2?G<AkD zob#W-VHqe1&4bHsn4t;hFtRAa+5Z_FW-|ydpa{%C7nqAKFb`z-e3;>EyQa>cx^;#! zT;M;0gD6PF0+<S>sk1cU97YypI2)>x3<2SVFvA&THQ;PzI13i&J`4;Da6$0oDxAlS z%H?1H0dS@gUIaJXPy^0ZhO=NL2FP%@AlNiGPYRVQ4l)~3q<}KmGPwDI%5XL;EiQ*i zg3=<K151l5V6xz}2<O4l;!2n_qp${?tqf<ug2j=6fdMYa15Jyo;Cc--;A~|$3pp*q z1$kg;kyUsdT)Ut$oDK8TdYB~0Q*aK<QyXBiU{Aq$Fi&lSNizy-z}d=h7P6<{g1k^q zZG!7H(15d*;VfiN!3B8{p4tZ2E~pG=!#uSeCJFKsoCEXJ4wx+1Q*a*4Q#)bOjKUgl zwlbWB>?yb)AJkL3;Cc--;A~|$3)xd}K|X}1_QACaD#O_@Pwj_Ef;<K1z&v#TCJXiy zoCovNL6|h7um+s13}+#G3NFYG_0%D_UIPs{TN%zm_7q%@ALc1G;iE9^prR1YffjJW z$KbLXW@y4WjLgb#_J0P4`JjRhSzrOWz(P>Db{u9FtmK0WK+7}X6EGE^k`K;dWKo8* zp(@D`5IzYroKaQ-&Q^xAU||d@oZy1s(g@DuN9FQB3MWu=LiiNia6=6^TN%zmF8Sbs zVAJ3{IaIDR#Bfjx3smx*gPSj?3}?fV-g%fLDCxmDu%veZCJRn_a2_n_U4%(93TwdG z%5WAeSU@EoT#yl(^e(~m8fd`T%5WBP(t`^!!jc}V@HM!0L1j1_=Bev2Nsy=D9GItW zz+}Omg7aXWx(SnJ6xM*VmEkO8Pr(J5pq{z~*K42wXDh>5$ew}=G9f&553XHM8P0}z z>OM>o<S94@=BWoTS+J+zJea2*!lW66HQ;PzI1AZRa6x9Mryjxe8fd`T%5WC4r{IFj zFi)`wKZR)r6%ud`G&2f6gUfE1p$X?OvM9sZ{}~(>fyxAAfyL+oOV9<Df=Z3&FvDS` z23!DU{R@~1P^kguFtRAa*-(`v3V?e-FJXo=%4)#b%5WAe&_SgJTo9a-;XGzkE;wI9 zW91dxa6?T5n@I!CR)({XOAxpq*km|Q2$d@Uwh7!vLoo){1$zg#Tu>R#h9%VZFiB8C zg>zsD^#e>6oKWFBSVH{>lV%jwfU}k1ELfO<iWImY3p7YR!Sxzwz}d=h7IH#`3$nlx zDy#4}xOPEhI2-1v?=VS_r{Elzr+&a>!JdNiV4nI3lV%jwfU}k1EM!l?1zDk<`UTf( zpaEwq!&%6lf(x=DJoOK*T~Ha$hI#5gOcLZNI0xn_1~%yG9k8e1Jea2#VbYAk8gRBU zoQ3QuxF8$UQ%rEZ1{!d-GMt6%DYzgT!c%N;?Sjg1Hq2A(FiDW7;2fBzIAF41Pr-RG zPjSMe8HF|AY-KnL*;8;qcBrSg;Cc--;A~|$3)xd}L3Ws@*o1ju+Ck+coC7WWg!$mI z8)j(2IgBjIa5l6DiXyNaR4gK^Sb;9E5>!s|!wiR&lW+lO=_f1zQvoU`;T%R5WjGtE zk_-W1L73s7yTf2?Ww<CT&_U%STo7Dd!FlYcToy?21#Vpl!3<<H)PS><;Vk5`5iSTe z4bBro<qAU#hqbQ6;N}Y|!`ZO3C=QbZrA0UgmKG&ovf#7`=fTpVButu7SOd;hhO=P7 z0xAmOf*jDaC<WJRpaEwq!&%5_5iZC9ON*?+a&YZ}%5XN!Q}QrLkf-1rn5PtAvS3fa zc`#2Y!lW66HQ;PzI1AZRa6wL}r<CA&4K(0vWjG7jQ*c2}n5US9)nM8|1ITcWGMt4x zm<kt!4W_EYH7}g14Cnl3aF7ONAPtx-qpUKV{hz^MHF(I9MOX(W53(B0QHHaSt%eK2 zMv!&knio#hfOC}LEM(1aL72Ps;F=dtRfcn5?$(FNGRi8$+5Z_F)_~p3Dr^js2e}*0 zQHHaSt%eK2)|r{WH7}g10p}>gS;(5<f-p~;!Zk0RssZOH!&%6h;es$vo53}&n5qot zz&vdZlVy}uhO_@OIIIPGnpxNyCJ!3ghI9TiI7oq_+6FGWaH=w#12fweCd(+R3}^pm za99U6n@!jeCJzcwI7b=ILiQG15VrQt39fnJR1G*s8O}o13>SpOhBI9A;;9;NjxwBu ztQjr{3s4uh<`q*l;2dQ*3t2N<5EhlLaLucxD#JOj5OagcGRi8$+5Z_F)`LTgRoDwI zFQ^P>!-^trm?Wquf^(qZE$jo61s6qd9;_(xg-J6CE5q5)Oe^dMmo(6Tvz6g2WDmmy zp`iupa|gk-3o66eFjoh|Btfo*b6~Cxfyshh4d=mJ9SW0X6jp|_p;=Zq3@&M)0cR`2 zS;(%23qqp_<mxE6c0px08|Lb0m?X&6a1PAXF)&%MtKmGDt7Bo(jKa!rHZ;!($H65H zG~jGyI1Aa;a6xFY2Dv&3u3b<W&W5=<872vGHJk%;bqY)t>}og<=IT_KG^4OGoDEHz z!f9|x0}VJ^8O}m>HCzyylR>V|f@>F4hO=R=&W1^XTn*>^XK;`Q6+t;LS+J|&JeaF< zVbYAk8gRBUob{i<K^8U>1s7z12ny%H^%`iv*~)Mh@^m^}kO4BC4)RnHT)Ut$oDK6- zF-#KVDL4n_sS=nh*i&#G%u}T>X+~iUI9nOcLiQ9~kPGUmGPqs?4LDmF&O-JST#yUl zsVca3L1j1_=Ba9!B*;^64$M<EFj=st;5?Y8YGKlh!WwY4GMt6%DYzgv)KhhEy#^X^ zwlbWB>?yb)^w<edOf|u^3o66eFi$nZBtf2nb6}oofyshB1?Ry$)e4hl6xM*VmEkO8 zPr(Iwpq^@j>ow4Tvz6g2WKY2bp=U6GJk<r)E~pG=!#vdulLUDR&VhNV2PO;l6r2b1 zR4+`LQCI`cR)({XJp~u!g?g$FuGc^V&Q^xAkUa$#<V7UENpS6g%5XN!Q<GtmAWy+L zFi%Z^$$~uv=fONR6(-FntN~{$!&%6lf(!CNJv9xk*FXc#R)({XJp~u!LwITyT)Ut$ zoDK8TY?vg-Q*aK<Q*&UlU{Aq$Fi*{eNizy-z}d=h7P6<{g8WcV&4cST(15d*;VfiN z!3Fsdo>~OgE~pG=!#uSZCJFKsoCEXJ5|}L5Q*a*4Q%hmejKUglwlbWB>?yb)Bh*vN z;Cc--;A~|$3)xd}K}Lk9R>8FkD#O_@PpyVYf;<K1z&y1ECJXiyoCovNT9`DWum+s1 z3}+#G3NFY5_0&4JUIPs{TN%zm_7q%@3E`<taP5N1a5l_Sn_-e5Pr*4bPi=w8f;|Q2 z!92AUCe0|U0cR`2S;(G(3o=7JwGFP<Km*QJhO>}81s7yScxo41yPz_h4fE7)m?X$k za1P8<dtkC)Pr-RGPwj<CGYV_K*~)MhvZvsJEKpDFgX=ZWfU}k1EM!l?1z8ZDIt14) zs0?SrJarf*3Gx)21M}1om@L>+a30K4M`6;8!WwY4GMt6%DYzgj)KkacdJQz-Y-KnL z*;8;qR)nWc!L<u2!`U!TorXz*JO$^#Jaq;p3-%P82lLcfm^7oX2Ar)7XCZqEF31M; z)H%3b0}VJ^8O}oX6kL!E;i*e-?Sjg1Hq29(VUi$E!8tHbU4hAhJq72%JarW&%_yt^ zXDh>5$ew}=vO_&}4X)Qf1I|{4vyeRn7i33x>K0tPpfa2d^VDsaB*;^64$M<`V6tFO z!Fe!G-Gxar3TwdG%5WC4r{IDdP*2^1>ow4Tvz6g2WKY2bIS`(D1lKO83}?eU^%y1z z@)Vo{^VAcVEZ9?U9?VluVbYAk8gRBUoQ3QuxF9FgQ_tXf4K(0vWjG7jQ*c2}gr{D? zwF@f4*)UJNhDm}v1?Rv#^#&#j_7t24^VD0IG^4NvoUIIJAur&B3o<|#aK3}<HPC>w zmEkPpWv6gK2FS8gP=odpT)Ut$oDK8TXP6|&Q*aK<Q(s`RU{Aq$Fi(AjNizy-z}d=h z7P6<{g0O>1zQOexXu#RZa2B$s;DXTORY0El1=lX93}?eU^&2J$@)Vo{^VA=hEZ9?U z9?VmJVbYAk8gRBUoQ3QuxFGDHvwv{C1{!d-GMt6%DYzi?cs7uynAo9{WsHK#a5l_S z%rHrir{Elzr&wUJU{Aq$Fi)|<q#1=Z;A~|$3)xd}LD;FEY;e5>8gRBUoQ3QuxFGbb zQjn*(;MxV1;cS?vxM7kYPr*4bPw~KH!JdNiV4mWINizy-z}d=h7P6<{g0Lg7`QUmD zG~jGyI1AZRa6#z7-5^g1!L<u2!`U!T3Bx2oo`Q2=o)Uq{f;|Q2!8|1jlV%jwfU}k1 zEM!l?1z}fch{5$5Xu#RZa2B$s;DXSLKR}+6f@>F4hO=Rwl7>lwJO$^#JS78@1$zq4 zgLz68Ce0|U0cR`2S;(G(3&QS`l7s6t(15d*;VfiN!3CkWV1YcP1lKO83}?eUr3{k< zc?!;fc}fK)3-%P82lJFFOqx+x1I|{4vyeRn7i5GM>1uGj1{!d-GMt6%DYzgbqDa?* zYZp|8vtgdnhDm}v1?Rv#r2~@%dkW5jc}f>1%_yt^XDh>5$ew}=GC@712iI$$0cR`2 zS;(G(3o;=*WdzqQs0?SrJY@`%1bGV1fqBXVCJXiyoCouiDNLGCSOd;hhO>}81s7z7 zdddv0*FXc#R)({XJp~tJMtI5!u3b<W&W3r)8YT(y6r2O|lnqQ4>?t@8<|$j4G^4Nv zoUIIJA$tlg$O8409bB)02Ar)7XCZqEF35uLloMRLpfa2d^OQ48667g32j(dkm@L>+ za30K4t}tmvVGTH28O}oX6kL!M>M1w4UIPs{TN%zm_7q%@72zo_xOPEhI2-0EZ<r*= zQ*aK<Q$8?Ru&3ZWn5TSU(u~3yaJDj>h3qM~AZ+uFA6&122Ar)7XCZqEE(qOn1S<W4 z;MxV1;cS?vf?<*%Pr*4bPldo_!JdNiV4ezvNizy-z}d=h7P6<{g0P*eVQ{?$8gRBU zoQ3QuxFB@@Eyz<*aP5N1a5l_S(J)Dnr{Elzr($5TU{Aq$Fi*w8q#1=Z;A~|$3)xd} zLD;blad5o`8gRBUoQ3QuxFGZ}3XrFg;MxV1;cS?vl3|h{Pr*4bPo=<Q!JdNiV4g~a zNizy-z}d=h7P6<{g0N$u(%^axG~jGyI1AZRa6#x{Rv=Gh!L<u2!`U!TWy2&vo`Q2= zp2~sAf;|Q2!90}<lLpOC!Pv@hQDld~1)+T+;XIgnMgt8vTN%zm-UA31WPt1e1bM0m zu3b<W&W3ra7$ynw6r2O|R0&KL>?t@8=BZMcG-!Sb##V-lB6|uh2<;OIm%-FC8fd`T z%5WC4r{IFnvo1lNs)B15RED!*o~njPf;<K1z&uq0lLdPU&VzZX7A6gvpMtTK;iAZ% zf(t_XM8b72^^67@aJDj>h3qM~AoQ$kkf)m9+69&2Y?!B-VUi$E!8tHbwZLS-o`UmW zo@#|jgXX7TY-PA8vZvsJ&_0oH8%#Z;fd-td3}+#G3N8q}I0EFUF1U6<WjGt=scx7g z$Ww3*%u_uuS+J+zJea3?VbY-aDHvNBE{g0axFEDoB-{s6&uE|lXDh>5$ew}=LT^z5 zd1?||yPz_h4fE7wm?X$ka1P8<Q(&@SPr-RGPfdkMgXX7TY-PA8vZvsJ&_0pyG?;ou z0}VJ^8O}oX6kHH`3nR!=v*6kVmEmldr)I+>L7swhV4j)-lLdPU&VzYsE=(FUKLuke z!$pxj1s8<&iG=6D)H51rz}d=h7P6<{g3v38L7rL!*Dk0GXTv<T7$ynw6r2O|)DoC1 z*i&#G%u`EY(xCY%7+V=GitH)4Ahb^;ybPwE(Le*vR)({XJp~tJL=@?(;MxV1;cS?v zR>LGgo`Q2=o>~Kw1$zq4gL!H#Od2#l1!F72MUg!P7lihSgxA5;Ga6{X*~)MhvZvsJ zObAbHf@>F4hO=Rw+6<Efc?!;fd1?zx7VIfF59X<@Flo^I6pXD57e)3IToBqP65a+= z&uE|lXDh>5$ew}=G9x^-3$9&I8P0}zYBx+0<S94@=BYg}S+J+zJea5U!lXg-Q!utN zTol<;a6xFFNO&JiJ)?mJoUIIJA$tlg$b#_HA-HxyWjGt=slzZykf-1rn5T}wWWk<- z^I)Dj3X=xSPr=yAa8YDW!3CjxBH?2&^^67@aJDj>h3qM~AS=RCr{LNJmEmldr%uBp zL7swhV4gYylLdPU&VzaCEKC|SKLuke!$pxj1s8<&iG<I=)H51rz}d=h7P6<{g3$9H zK&9U$xOPEhI2-1v%P>ihr{Elzr>?+c!JdNiV4k`PlLpOC!Pv@hQDjfS1)+T+;cGDU zj0PHTwlbWB>?yb)^e`llr*6Tu3o66eFi+ivNrF5D=fFI52PO;l6r2b1)Lob~XnqRD zR)&irdkQWH?Gp*#gQ;gU(15d*;VfiN!3Ciw1A;vD2(DdF8P0}z>M=|b<S94@=BXzz zS+J+zJea4R!lXg-Q!utNTol<;a6xFFNcb5{J)?mJoUIIJA$tlg2t8RC<f&J1?Sjg1 zHq2A6VUi$E!8tHby@APsJq72%JoOeP4Vs^Vv6bPX$PR-GLi<F*?_lZ~4K(0vWjG7@ zFeA7i1LQCxkf%PuwF@f4*)UIihDm}v1?Rv#^#vvi_7t24^VC<EG-!Sb##V-lB6|uh z2<;OIe}k!KG|+&vmEkO8Pr(JDhp&S?^$V_DP#MmKdFnSz667g32j;0iFj=st;5?Y8 z{=%d|^HVUkGF%kdQ*c3OpGf#0Og*E42Ar)7XCZqEE(pDe1mr0u4y5@h7#rp(W|#oT zQ*aK<Q!Fr9u&3ZWn5S4_(xCY%7+V=GitH)4Ahb^;%m!1>XrKXSE5ljHo`MTPZ!!aU ziVLn?P#MmKd5Rk*3Gx)21M?IQOcv}ZI1lD2UYIm!ehS7`hKnM53N8rk6AAOd)H51r zz}d=h7P6<{g3xO{L7ozVYZp|8vtgbRhDm}v1?Rv#B?6NLdkW5jc}f%}4Vs^Vv6bPX z$ew}=Li<F*VlefL1{!d-GMt6%DYzi?T5yo3q~O{GmEmldr=($$AWy+LFi*+AWWk<- z^I)Emg-L_vr(kSlxG1ux;DXRTk+2+0J)?mJoUIIJA$tlg$d4%fl;GM0mEmldr<7rm zAWy+LFi)w#WWk<-^I)D*g-L_vr(kSlxG1ux;DXRTk+2#}J)?mJoUIIJA$tlg$cXTi z7F@faGMo+blr~Hf<S94@<|!SREZ9?U9?Vm^Flo^I6pXD57e)3IToBqP64ryMXEe}& zvz6g2WKY2bnGl{bf@>F4hO=RwGKNWlJO$^#JY@ot1$zq4gL%pnCJmaOg0Yq1qR5_t z3qt!u!e%h_j0PHTwlbWB>?yb)Gs06=aP5N1a5l_S)-Xwsr{Elzr)*%dU{Aq$Fi+XS zq(SpjFt##W6xma7L1>>y*bb(i(Le*vR)({XJp~tJL3qjuu3b<W&W3r)872wx6r2O| zlnYE2>?t@8<|$X0G-!Sb##V-lB6|uh2<;OIyTQ~m8fd`T%5WC4r{IFD2v2#zwF@f4 z*)UIe!z4kTf^%S=@`1^MJq72%Jmm|M2F*{w*vfEGWKY2bp?xA@KbU$(0}VJ^8O}oX z6kL!E;i(|Fc0px08|JBCm?X$ka1P8<Auw66r{Fx8r$S-Up!q2nTNy5j>?yb)v`-`) z22;;ypaEwq!&%6lf(t@V=LFSLQE=^o%5XN!Q_(O<kf-1rn5SZ3vS3fac`#4K!lXg- zQ!utNTol<;a6xFFNH`9rp3y)9&Q^xAkUa$#gdSB5@>CLByPz_h4f9koOcLZNI0xpb z6qqd7Q*a*4Q>id%(EJpPtqd1M_7q$Y+9wiDgQ;gU(15d*;VfiN!3CjLU4T551=lX9 z3}?eUl?{^wc?!;fc`64c3-%P82lG@eOd2#l1!F72MUfo_7lihSg!5qPLGx2EwlZ84 z`B+-GAOqxBT9BuTU|JXjmEmldr;1^cAWy+LFi(}hWWk<-^I)DTg-L_vr(kSlxG1ux z;DXRTk#HGIJ!pOk##V-lB6|uh2)#xN<f$r{7Dhp3I2-1vYM3O*Q*aK<Q#CMIu&3ZW zn5SxC(xCY%7+V=GitH)4Ahb^;TnAGRnxBHPmEoeuo`MTP@00|2stKlrQBWDqhIy(P zCJFKsoCEVz3rrU5DL4=2saBXYXnqRDR)&irdkQWH?Gp*N!PJB1r(kSlxG1ux;DXRQ zsX?CVf@xtCRED!*p6Z54f;<K1z&zChlLdPU&VzZX7bXpwpMtTK;iAZ%f(t_XM8bVA z^`QAF7+V=GitH)4ATJ_MO@e7*6jX+@VV;@{lLUDR&VhMq3QQL4DL4=2si`n&(EJpP ztqd1M_7q$Y+9wj822&53pMtTK;iAZ%f(!B?JT(iZg;7u$&W3qvHcS%aDL4n_sW~uN zu&3ZWn5X8#q(SpjFt##W6xma7L1>>ycpgkWXnqRDR)&irdkQYdkMPtYm=;DsWjGt= zsl_l!kf-1rn5UM&WWk<-^I)D@3X=xSPr=yAa8YDW!3CjxBH?8)^`QAF7+V=GitH)4 zAS1$4t6*9f1(o4!n5S05Btf2nb6}oY1Cs@N3eJOhYAs9}G(QDnE5k*RJp~tp_KAeo z!PJB1r(kSlxG1ux;DSsDPi=x}VH8w`vtgdv43h+T3eJIfY70yj>?t@8=BcePY0&%> zjI9h8MfMb25ZWga-Ud?-nxBHPmEoeuo`MT9BRsVWriD>Z8P0}zYBx+0<S94@=BYg} zS+J+zJea5U!lXg-Q!utNTol<;a6xFFNO&JiJ!pOk##V-lB6|uh$b#_HA($3ML1j1_ z=BdLlNsy=D9GIt$z+}Omg7aXWItr5p%}>GD%5YI+Pr(JDeInswF!iAMDHvNBE{g0a zxF9RSQ>S2B7zLH#Y?!A`!z4kTf^%S=Is=mhdkW5jdFm`o8Z<uzV=Kc&kv#<$g!YMq z&%xA#=BHq6Ww<D^r{IEY2v1#tX<-yphO=Rwx(t&9c?!;fdFl#G7VIfF59X<>Flo^I z6pXD57e)3IToBqP621mg51OBXv6bPX$ew}=Lho4swJ2`Ev@i-P!`U!T-G)hmJO$^# zJaq>q3-%P82lLcjm^5g93dUB3iz0gpE(q-t3EzXM2hC5x*vfEGWKY2bp_dMUJoN~s zg;7u$&W3sFF-#KVDL4n_sV6X5u&3ZWn5Uk?q(SpjFt##W6xma7L1>>y_!&$+XnqRD zR)&irdkQWHy|fVIsaG&9jDpH=Hq2A6VUi$E!8tHby@APsJq72%JoOeP4Vs^Vv6bPX z$PR-GLi<F*?_lad^HVUkGF%k-f)ThN1LT4ckf%Puv@i-P!`U!TeTGSbJO$^#JoN=8 z3-%P82lLcdm^5g93dUB3iz0gpE(q-t34epB2hC5x*vfEGWKY2bp%)^9JoO8vg;7u$ z&W3sFH%t=bDL4n_sXs7Tu&3ZWn5X{2q(SpjFt##W6xma7L1>>y_#aF?XnqRDR)&ir zdkQYdjfg2GPNexM7#rp(W|#oTQ*aK<Q!Fr9u&3ZWn5S4_(xCY%7+V=GitH)4Ahb^; z%mz~rnxBHPmEoeuo`MVVAUwqd)50jI3}?eU#SN1Lc?!;fd5Q-n3-%P82lEs!Od2#l z1!F72MUg!P7lihSg!y3VLGx2EwlZ84*;8;qUWBKFU|JXjmEmldr-WgWAWy+LFi(lV zWWk<-^I)D5g-L_vr(kSlxG1ux;DXRTk+2v{J!pOk##V-lB6|uh$cONh6if@Fpfa2d z^OQ79667g32j(dmm@L>+a30K4vM_1T{1l9>3>QWA6kHJ6ClZ!}sRzwZ!Pv@hQDjfS z1^E%4Qi5q=6jX+@VV+WkNrF5D=fFIr0+R)M3eJOhN);vznxBHPmEoeuo`MTP`$WQO zF!iAMDHvNBE{g0axF93KQ(7=BjDpH=Hq2AnFiDW7;2fBzbYQYzPr-RGPwB#>LGx2E zwlZ84*;8;qXrD+}52hY8KLuke!$pxj1s7yOc*+Q-g;7u$&W3r)7$ynw6r2O|lnG20 z>?t@8<|$K{G-!Sb##V-lB6|uh2<;OIo59qB=BHq6Ww<D^r{IFj2v1qTv@i-P!`U!T zS;Hhjo`Q2=p0a_-f;|Q2!8~OPlLpOC!Pv@hQDjfS1)+T+VLO<5(EJpPtqd1M_7q%@ z1>q?tm=;DsWjGt=DQB1@$Ww3*%u_BfS+J+zJea3kVbY-aDHvNBE{g0axFEDoB<u!L z51OBXv6bPX$ew}=vLZa?1=GSPs0?SrJmn3O1bGV1fqBXYCJXiyoCouiFH9OVKLuke z!$pxj1s8<&iG=-N>Ou2UFt##W6xma7K{kY^f?!%01(o4!n5Tkak|0mPIWSL!z+}Om zg7aXW3WZ67=BHq6Ww<D^r{IFnK9O)3Og(6R3dUB3iz0gpE(pCb57hRHf@xtCRED!* zo{EM^f;<K1z&sTLlLdPU&VzX>7A6gvpMtTK;iAZ%f(t_XM8a_}^`QAF7+V=GitH)4 zAoTiJkf)MhS{MbD;cS?vl3|h{Pr*4bPo=<Q!JdNiV4g~aNrUF6U~FZ$D6*&Eg3vyZ za2iZKXnqRDR)&irdkQWHy?!3#sVtZlMnPpb8|JBOm?X$ka1P8<IWSqUr{Fx8r*dJ^ zp!q2nTNy5j>@c_>v`-|Q2U8E4pMtTK;iAa5J;DVUAh$h&JXHkK0-B$Kv0<Jnh6#W? z1?Rv#RRWU*dkW5jd8!m94Vs^Vv6bPX$ew}=Li<F*Wia)i`6(D%87_+KDYzgP!c$c+ zEui@+7#rrPYM21XQ*aK<Q#CMIu&3ZWn5SxC(xCY%7+V=GitH)4Ahb^;TnAGRnxBHP zmEoeuo`MT<BRtgv(*l~Gg0W$qYK94bJO$^#Jk<h|1$zq4gL$eICJmaOg0Yq1qR5_t z3qt!u!fi11p!q2nTNy5j>?yb)55iMjFfE|@DHt2(scx76$Ww3*%u_uuS+J+zJea3? zVbY-aDHvNBE{g0axFEDoB-{s651OBXv6bPX$ew}=@*+Gn38n=!KLumMJT)060P+-^ z1M}1rm@L>+a30K4Q(@Ae`6(D%87_+KDYziCPb54IrXDmu1!F72MUg!P7vw{DY8Ffj zXnqRDhIwi>OaSC5I0xpbIWSqUr{Fx8r{=<>LGx2EwlZ84*;8;qXrD-U9!x!GehS7` zhKnM53NFZx@YEuh7SQ|@j1BYDVweEPQ*aK<Q%hj7U{Aq$Fi$OoNrUF6U~FZ$D6*&E zg3vyZ@G_Ws(EJpPtqd1M_7q%@5#gy-FfE|@DHt2(snsw6kf-1rn5WjjWWk<-^I)D@ z3zG)TPr=yAa8YDW!3CjxBH?u~^`QAF7+V=GitH)4AQQq<n_yZ%^HVT3%u}0T0w7Pp zIWSLcfyshB1?Ry$wG}1}nxBHPmEoeuo`MTP`$WRqVCq5hQ!utNTol<;a6x8-r*^@# zfaa%QY?!BZ!vsK{f^%S=+5?jXdkW5jd1^0A8Z<uzV=Kc&kv#<$g!YMq_rcVI=BHq6 zWw<D^r{IDt2u~e?X#veo!PqcQ9fk>jJO$^#Jaq&n3-%P82lLcXm^5g93dUB3iz0gp zE(q-t2_J*02hC5x*vfEGWKY2bSrMK(1=9kWpMtSro;nQ^0C@_|fqCi-Ocv}ZI1lEj zvoLAU{1l9>3>QWA6kHJ6ClWpfQxBS-g0Yq1qR5_t3$h_RbqS^gG(QDn!#s5vCIIpj zoCEXJ6__m8Q*a*4Q&(Zqp!q2nTNy5j>?yb)v`-{_4W=G6KLuke!$pxj1s8-~Uk+-} z-hycX%}>GDFi+iv34lBW=fFI52PO;l6r2b1)Lob~XnqRDR)&irdkQWH?Gp*#gQ*A2 zPr=yAa8YDW!38-GG4%+h1vEbeW5Yc47$yMn6r2O|)DxI2*i&#G%u`Qc(xCY%7+V=G zitH)4Ahb^;{0ycZG(QDnE5k*RJp~u!M0n~IObcj!3dV+c>NQLN<S94@=BYO@S+J+z zJea56!lXg-Q!utNTon1>LAW6F%yZ#)F!iAMDHvNBE{c5dIb4tda`8DR`F(<E0nJar z*f39hh6#W?1?Rv#^#vvi_7t24^VC<EG-!Sb##V-lB6|uh2tD&$_!~?;XnqRDR)&ir zdkQYdh49obm=@6d6pRh?)Nhyo$Ww3*%u|10vS3fac`#4?g-L_vr(kSlxG1ux;DXRI z&xQZN)Pv@yU~FZ$D6*&Eg4_sCF>xWyPr=wQPcg#;K%RngV4h-u$$~uv=fOP13X=xS zPr=yAa8YDW!3Cjbo(r?V)Pv@yU~FZ$D6*&Ef;<RMaly2J=BHq6n5Vd50w7PpIWSN0 zz+}Omg7aXW;)O|r=BHq6Ww<D^r{IFnGtY(jVCq5hQ!utNTol<;a6w*#r-WcyK=V^D zHq2APFaeOK;2fBzL}0RDPr-RGPl>{$LGx2EwlZ84*;8;q=$YrjVleff`6(D%87_+K zDYzgX!c$T(Eui@+7#rp(X_x@WQ*aK<Q!+4Fu&3ZWn5Sf6(xCY%7+V=GitH)4AoR?0 zVL6z3(EJpPtqd1M_7q%@AK@t_m=@6d6pRh?lrl^J<S94@<|!4JEZ9?U9?Vm!Flo^I z6pXD57e)3ITo8KZxv&~cJ!pOk##V-lB6|uh$cXTi7EB9hehS8hc}g250P+-^1M`#) zOcv}ZI1lD2U6?dzehS7`hKnM53N8pe^ITXDrXDmu1!F72MUg!P7i2<s$_S<fG(QDn z!#rgS699P%&VhN#1SSji6r2b1lqpOaG(QDnE5k*RJp~tJhMf8<Yz9*gnxBHPmEoeu zo`MT9BRpjV(*l~Gg0W$qvW5wOJO$^#JY@ru1$zq4gL%ppCJmaOg0Yq1qR5_t3qsF4 z7q)|`2hC5x*vfEGWKY2bSrDFbf@uNGPr=wQPdUQ`K%RngV4iY;$$~uv=fOPX3X=xS zPr=yAa8YDW!3Cjbo(sFd)Pv@yU~FZ$D6*&Ef~*KndBL=R=BHq6n5Vp90w7PpIWSN8 zz+}Omg7aXW@`Xu*=BHq6Ww<D^r{IFnGtY(nVCq5hQ!utNTol<;a6vYNr-EQwK=V^D zHq2AOFaeOK;2fBzLSV9BPr-RGPldvyLGx2EwlZ84*;8;q=$YrjVKDWe`6(D%87_+K zDYzgz!c$Q&Eui@+7#rrPXqW)VQ*aK<Q!y}Eu&3ZWn5SZ4(xCY%7+V=GitH)4AoR?0 z;W(IjMgt8vTN%zm_7q%@1L3J8xOPEhI2-1vWSAt#Q*aK<Qz<Z6u&3ZWn5R-<(xCY% z7+V=GitH)4AoR?0;WU_f(EJpPtqd1M_7q%@6XB^Wm=@6d6pRh?R5nZi<S94@=BXT* zEZ9?U9?Vm@Flo^I6pXD57e#g$ToBqP63&CE2hC5x*vfFx{|pX0VRu)-1sNcMp#D@5 zObcj!3dV+csu(5!@)Vo{^Hd2;7VIfF59X;-m^5g93dUB3iz0gpE(q-t375gtgXX7T zY-PA8vZvsJTnJB9!L)$pr(kTDr>bEBAWy+LFi+LMWWk<-^I)E;g-L_vr(kSlxG1ux z;DXRTk#HSMJ!pOk##V-lB6|uh$c^w+6HE(eehS8hd8!#E0P+-^1M^f1Ocv}ZI1lEj zR+uzsehS7`hKnM53N8rk6A8D$)Pv@yU~FZ$D6*&Ef;<RMb-}cN=BHq6n5Vj70w7Pp zIWSN4z+}Omg7aXW>V-*z=BHq6Ww<D^r{IFnK9O)AOg(6R3dUB3iz0gpF35}U)FhY| z(EJpP4fE7wm;lIAa1P8<Q(&@SPr-RGPfdkMgXX7TY-PA8vZvsJ&_0pyG?;qO{1l9> z3>QWA6kL!G;i*|LEui@+7#rrP*)Rc+r{Elzr{=(9!JdNiV4j)_lLpOC!Pv@hQDjfS z1)+T+;dwCip!q2nTNy5j>?yb)Kf+UsU|K-)Q!qBnQ;T5&AWy+LFi$Ok$$~uv=fOO+ z6ebOtpMtTK;iAZ%f(t_XM8eBp>Ou2UFt##W6xma7K}Lk9R>8D@=BHq6n5S051VEmG zb6}oY1Cs@N3eJOhYAs9}G(QDnE5k*RJp~tp_KAeo!PJB1r(kSlxG1ux;DSsDPi=x} z0nJar*f39Rh6#W?1?Rv#wFM>%_7t24^VC+DG-!Sb##V-lB6|uh2<;OIZ-c1^%}>GD z%5YI+Pr(J55uVxw(*l~Gg0W$q+6@x`c?!;fd1?<#7VIfF59X=8Flo^I6pXD57e)3I zToBqP65a<>51OBXv6bPX$ew}=vLHNl2&M%zKLumMJarf*0P+-^1M}1om@L>+a30K4 zM`6;S`6(D%87_+KDYziCPb7Q{rXDmu1!F72MUg!P7i2|v>J&^1XnqRDhI#5VOaSC5 zI0xpbGcZ}Or{Fx8r_REpLGx2EwlZ84*;8;qXrD;<985iEehS7`hKnM53NFZo@YE%k z7SQ|@j1BYDWtafSQ*aK<Q&(WJU{Aq$Fi%~DNrUF6U~FZ$D6*&Eg3vyZ@HLov(EJpP ztqd1M_7q%@9pR~4FfE|@DHt2(soO9Ckf-1rn5XW*WWk<-^I)F33zG)TPr=yAa8YDW z!3CjxBH?>5^`QAF7+V=GitH)4AP2%zk6>Cr^HVT3%u|nH0w7PpIWSK>fyshB1?Ry$ z^%N!znxBHPmEoeuo`MTP`$WReVCq5hQ!utNTol<;a6wLlr(VIdfaa%QY?!BB!vsK{ zf^%S=dIOUMdkW5jdFm}p8Z<uzV=Kc&ksSsXg!YMq-@(*_=BHq6Ww_{n28Ug+{uEr0 z0U`+MPkn-E0nJar*f39hh6#W?1?Rv#^#vvi_7t24^VC<EG-!Sb##V-lB6|uh2<;OI ze}kz9%}>GD%5YI+Pr(Jb5T5!4(*l~Gg0W$q`VA8Rc?!;fdFl^L7VIfF59X=AFlo^I z6pXD57e)3IToBqP68;BM51OBXv6bPX$ew}=aw9y&#EmpR1!KcJ#S9Ywc?!;fd5Q%l z3-%P82lEswOd2#l1!F72MUg!P7lihSgxO%~LGx2EwlZ84*;8;q9)zd3U|K-)Q!qBn zQ`|5Ckf-1rn5TGPvS3fac`#4$!lXg-Q!utNTol<;a6xFFNSF_%9yC7%V=Kc&kv#<$ z<VAQ&2&M%zKLumMJS7Yh0C@_|fq6;<CJXiyoCouiC`=kOKLuke!$pxj1s8<&iG;;q z>Ou2UFt##W6xma7K|X}1q+nV=^HVT3%u~`Z0g$KQ9GItMV6tFO!Fe!G$-<;T^HVUk zGF%kdQ*c3OpGa5^rXDmu1!F72MUg!P7vx8HN(rU~G(QDn!#t%7699P%&VhMK1ttsj z6r2b1lqyUbG(QDnE5k*RJp~tp_KAemVCq5hQ!utNTol<;a6v|dr?g;NK=V^DHq2An zFaeOK;2fBzbYQYzPr-RGPwB#>LGx2EwlZ84*;8;qXrD+}52hY8KLuke!$pxj1s7yO zc*+Q-1vEbeW5YaU3=;r(3eJIf$^<40_7t24^OPw}8Z<uzV=Kc&kv#<$g!YMq&0y+5 z^HVUkGF%kdQ*c3Mgr}@vT0rwtFgDCn)-VB(r{Elzr)*%dU{Aq$Fi+XSq(SpjFt##W z6xma7L1>>y*bb&1G(QDnE5k*RJp~tJL3qjurUf)V1!KcJ<qQ)5c?!;fdCCPQ3-%P8 z2lJFGOd2#l1!F72MUg!P7lihSgxz53LGx2EwlZ84*;8;qR)nX#U|K-)Q!qBnQ{FHE zkf-1rn5TSTvS3fac`#4;!lXg-Q!utNTol<;a6xFFNZ1di9yC7%V=Kc&kv#<$WJ7o= z2&M%zKLumMJQWNR0C@_|fq5zfCJXiyoCot%C`=kOKLuke!$pxj1s8<&iG;&o>Ou2U zFt##W6xma7L3V_vqF`D;^HVT3%u~@Y0g$KQ9GItKV6tFO!Fe!G#loaP^HVUkGF%kd zQ*c3OpGY_krXDmu1!F72MUg!P7vw;ADhZ|qw0{c5hIuL(CIIpjoCEVz3QQL4DL4=2 zsZ^LWXnqRDR)&irdkQWH?Gp*7!PJB1r(kSlxG1ux;DVe8Pi4Whfaa%QY?!CAVFDme z!8tHb<-laYo`UmWp2~$ugXX7TY-PA8vcuql&_0oH9!x!GehS7`hKv4ZaM%s&Pr(Hl zAcCO&R1r)IXnqRDhIy(OCIIpjoCEVz2}~C3DL4=2sZy9UXnqRDR)&irdkQWH?Gp)? z!PJB1r(kSlxG1ux;DTHTPgTLRfaa%QY?!C2VFDme!8tHb)xc!Io`UmWo~ngOgXX7T zY-PA8vZvsJ&_0oH9ZWrFehS7`hKnM53NFZv@Kh5_3ut}{#)f&S872Vo6r2O|R0~WN z>?t@8=BZYgG-!Sb##V-lB6|uh2<;OIx53nd=BHq6Ww<D^r{ID-2v2pvw1DQPU~HJD zx?ut!Pr*4bPxZiL!JdNiV4muQNrUF6U~FZ$D6*&Eg3vyZa34%PXnqRDR)&irdkQYd zi}2JWm=@6d6pRh?)MS_d$Ww3*%u`cfvS3fac`#2+g-L_vr(kSlxG1ux;DXRTk?=H_ zdeHn7jI9h8MfMb2kPqRhSuic2`6(D1=Be2*0g$KQ9GIu(z+}Omg7aXWnhTQ#%}>GD z%5YI+Pr(JDeIns`F!iAMDHvNBE{g0axFA2mQ;T3)K=V^DHq29tVFDme!8tHbErH2` zJq72%Jhc=i4Vs^Vv6bPX$ew}=Li<F*%V6q3^HVUkGF%kdQ*c2>gr`=)w1DQPU~HJD zR>K59o`Q2=o>~Kw1$zq4gL!H#Od2#l1!F72MUg!P7lihSgxA5;gXX7TY-PA8vZvsJ zObAbHf@uNGPr=wQPi=+?fIJ1~z&y1DCJXiyoCovNR+uzsehS7`hKnM53N8rk6A5pF zsRzwZ!Pv@hQDjfS1(^|^+6B`BnxBHPVV>Fz699P%&VhMq4@?&9DL4=2sl701(EJpP ztqd1M_7q$Y+9wj;2U8E4pMtTK;iAZ%f(x=BJaq`B1vEbeW5Yak7$yMn6r2O|)Df60 z*i&#G%u`2U(xCY%7+V=GitH)4Ahb^;d<>=@G(QDnE5k*RJp~tJMR@8IObcj!3dV+c z>NHFM<S94@=BYC<S+J+zJea4>!lXg-Q!utNTol<;a6xFFNcbE~J!pOk##V-lB6|uh z$cFILC72e_{1l80^VDUS0LW8t4$M<mV6tFO!Fe!GU4==5=BHq6Ww<D^r{IFnK9TS> zn0nCs6pXD57e)3IT#y~%sar5Dp!q2n8|JCoFaeOK;2fBz?!aWho`UmWp1KQ@2F*{w z*vfEGWKY2bp?xCZdocB&`6(D%87_+KDYzg9!c&i6T0rwtFgDCnk6{8JPr*4bPd$Oj zf;|Q2!94X8CJmaOg0Yq1qR5_t3qt!u!p~spLGx2EwlZ84*;8;qPK2jk!L)$pr(kTD zr(VMZK%RngV4ivdlLdPU&VzaCEle6TKLuke!$pxD1{Z|(iG<(5)Pv@yU~FZ$=zj)> zJ+S^1T#x}G2<lILf@uNGPr=wQPkn|7fIJ1~z&!N@CJXiyoCovNSC}+tehS7`hKnM5 z3N8rk6A6EVsRzwZ!Pv@hQDjfS1-THO`UTShnxBHPVV?R8699P%&VhOA4@?&9DL4=2 zslPC3(EJpPtqd1M_7q$Y+9wkJ2U8E4pMtTK;iAZ%f(vpZJjKL=G(QDn!#u?d699P% z&VhM~1ttsj6r2b16e~;`G(QDnE5k*RJp~tp_KAeqVCq5hQ!utNTol<;a6uk~r?_BR zK=V^DHq2ApFaeOK;2fBzcwn+%Pr-RGPw~Q}LGx2EwlZ84*;8;qXrD-!52hY8KLuke z!$pxj1sCK+cuEMS1vEbeW5YZp3=;r(3eJIfN(3eg_7t24^OPt|8Z<uzV=Kc&kv#<$ zg!YMq#bD||^HVUkGF%kdQ*c2(gr}rnT0rwtFgDCn(l7y#r{Elzr(|HVU{Aq$Fi*+C zq(SpjFt##W6xma7L1>>ySPrHhG(QDnE5k*RJp~u!M|esJrUf)V1!KcJr3@1Qc?!;f zc}fK)3-%P82lJFFOd2#l1!F72MUg!P7lihSgw<f`LGx2EwlZ84*;8;qMuexdU|K-) zQ!qBnQ`#^Akf-1rn5T4LvS3fac`#4u!lXg-Q!utNTol<;a6xFFNLUZ19yC7%V=Kc& zkv#<$WI}k#2&M%zKLumMJY@_M0C@_|fqBXVCJXiyoCouiDNGtPKLuke!$pxj1s8<& ziG<By>Ou2UFt##W6xma7L1u)ftYBI|^HVT3%v07d0g$KQ9GItUV6tFO!Fe!G*}|kj z^HVUkGF%kdQ*c3OpGeparXDmu1!F72MUg!P7i2+r$_b_gG(QDn!#w2-699P%&VhN# z1ttsj6r2b1lq*acG(QDnE5k*RJp~tp_KAeuVCq5hQ!utNTol<;a6wjtr@UZVK=V^D zHq2ArFaeOK;2fBzd|<L*Pr-RGPx->6LGx2EwlZ84*;8;qXrD;f52hY8KLuke!$pxj z1s7yPcq#~{1vEbeW5YZZ3=;r(3eJIfDg-7A_7t24^HeBI8Z<uzV=Kc&kv#<$g!YMq z!(i$`^HVUkGF%kdQ*c3cgr}llT0rwtFgDCn(J%p!r{Elzr($5TU{Aq$Fi*w8q(Spj zFt##W6xma7L1>>yI1Z*BG(QDnE5k*RJp~u!KzJ$%rUf)V1!KcJl?)RAc?!;fc`5}a z3-%P82lG@aOd2#l1!F72MUg!P7lihSgwtT^LGx2EwlZ84*;8;qPK2kjU|K-)Q!qBn zQ`s;9kf-1rn5S}JvS3fac`#4q!lXg-Q!utNTol=1a6xFFNH`Cs9yC7%V=Kc&|1&u3 zh4rW4f(#HrP=BfjrUf)V1!KcJRSXjVc?!;fd8z~^3-%P82lG@ZOd2#l1!F72MUg!P z7lihSgv(&+LGx2EwlZ84*;8;qE`+D5U|K-)Q!qBnQ`Im5kf-1rn5SxBvS3fac`#4a z!lXg-Q!utNTol<;a6xFFNVpEB9yC7%V=Kc&kv#<$<VJX^38n=!KLumMJk<;n0C@_| zfqAM0CJXiyoCot%D@+<RKLuke!$pxj1s8<&iG<r=>Ou2UFt##W6xma7K^}ysx?oyB z^HVT3%v0Sk0g$KQ9GItiV6tFO!Fe!G^}?h<^HVUkGF%kdQ*c3OpGde5rXDmu1!F72 zMUg!P7vx2FY7$HfXnqRDhIwi-OaSC5I0xpbDKJ^Er{Fx8r>4TBLGx2EwlZ84*;8;q zXrD-U8caQCehS7`hKnM53NFZp@YF1r7SQ|@j1BYDY?uJZQ*aK<Q*&UlU{Aq$Fi*{e zNrUF6U~FZ$D6*&Eg3vyZ@I07$(EJpPtqd1M_7q%@AK|G*FfE|@DHt2(sl_k>kf-1r zn5UM&WWk<-^I)D@3X=xSPr=yAa8YDW!3CjxBH?8)^`QAF7+V=GitH)4AS1$4t6*9{ z^HVT3%u}mj0w7PpIWSMHfyshB1?Ry$wH77~nxBHPmEoeuo`MTP`$WR)VCq5hQ!utN zTol<;a6u-7r#8W~faa%QY?!Au!vsK{f^%S=+5(dWdkW5jd1@<68Z<uzV=Kc&kv#<$ zg!YMqx53nd=BHq6Ww<D^r{IFj2v6;TX#veo!PqcQ?S=_}JO$^#JhcZV3-%P82lLck zm^5g93dUB3iz0gpE(q-t3Gaic2hC5x*vfEGWKY2bSrDE&1k(bVpMtSro;nN@0C@_| zfqCi(Ocv}ZI1lEjqcCaE{1l9>3>QWA6kHJ6ClWpeQxBS-g0Yq1qR5_t3$h|Sbqb~h zG(QDn!#s5wCIIpjoCEXJ8JH~CQ*a*4Q)gk)p!q2nTNy5j>?yb)v`-{_4yGP7KLuke z!$pxj1s7yPc<K^N3ut}{#)f(7GE4yEDL4n_sVgv9u&3ZWn5VA7q(SpjFt##W6xma7 zL1>>y_!>+-XnqRDR)&irdkQYdj_}kim=@6d6pRh?)NPmm$Ww3*%u{z@vS3fac`#4i zg-L_vr(kSlxG1ux;DXRTk?=j3deHn7jI9h8MfMb2kOSeVM=&j*`6(D1=BdXp0g$KQ z9GIt`z+}Omg7aXWdJ2;U%}>GD%5YI+Pr(JDeIns!F!iAMDHvNBE{g0axF9FOQ?FoJ zK=V^DHq2A6VFDme!8tHby@APsJq72%JoOeP4Vs^Vv6bPX$PR-GLi<F*?_lad^HVUk zGF<dOgTp>pe+n+h01*WBr#`{7faa%QY?!A$!vsK{f^%S=`T~;$dkW5jdFm@n8Z<uz zV=Kc&kv#<$g!YMqzroal=BHq6Ww<D^r{IEI2v7ZjX#veo!PqcQ{e}sEJO$^#JoN`A z3-%P82lLclm^5g93dUB3iz0gpE(q-t3IBts2hC5x*vfEGWKY2bxe=aX;zgRDg0W$q zVulHTJO$^#JjDW&1$zq4gL#S-CJmaOg0Yq1qR5_t3qt!u!fY`0p!q2nTNy5j>?yb) z55iMiFfE|@DHt2(DQ=hm$Ww3*%u_rtS+J+zJea3=VbY-aDHvNBE{g0axFEDoB+Lg> z51OBXv6bPX$ew}=@*+GX1k(bVpMtSro)U%$fIJ1~z&s@alLdPU&VzYM6ebOtpMtTK z;iAZ%f(t_XM8aY)^`QAF7+V=GitH)4ARoe0QZOx``6(D1<|%2I0LW8t4$M<BFj=st z;5?Y8WMR^v`6(D%87_+KDYziCPb4e{QxBS-g0Yq1qR5_t3-Tj8r3BLgnxBHPVV+Wk z34lBW=fFIr0+R)M3eJOhN);vznxBHPmEoeuo`MTP`$WQOF!iAMDHvNBE{g0axF93K zQ(7=Bp!q2n8|Eo(m;lIAa1P8<IxtzVr{Fx8r*vV`p!q2nTNy5j>?yb)v`-|g2U8E4 zpMtTK;iAZ%f(tSsJY@va0-B$Kv0<Jvh6#W?1?Rv#Wdf50dkW5jdCC+f4Vs^Vv6bPX z$ew}=Li<F*W-#@j`6(D%87_+KDYzgr!c$f-Eui@+7#rp(YnTAYQ*aK<Q#LSJu&3ZW zn5S%E(xCY%7+V=GitH)4Ahb^;YzI>hnxBHPmEoeuo`MUqAUx#+(*l~Gg0W$qa)t?j zJO$^#JmmtD1$zq4gL%poCJmaOg0Yq1qR5_t3qt!u!fr72p!q2nTNy5j>?yb)E5cJ= zFfE|@DHt2(DQ}nn$Ww3*%u_xvS+J+zJea3^VbY-aDHvNBE{g0axFEDoB<u%M51OBX zv6bPX$ew}=vLQSb1k(bVpMtSro(hHufIJ1~z&sTKlLdPU&VzX>6ebOtpMtTK;iAZ% zf(t_XM8aV(^`QAF7+V=GitH)4AUncSQ7|o_`6(D1=Ba3y0LW8t4$M<AFj=st;5?Y8 zVqwyt`6(D%87_+KDYziCPb3@%QxBS-g0Yq1qR5_t3vwVll?2lQnxBHPVV+8c34lBW z=fFIb0+R)M3eJOhDitOTnxBHPmEoeuo`MTP`$WQNF!iAMDHvNBE{g0axF9FOQ&})A zp!q2n8|JBOm;lIAa1P8<IWSqUr{Fx8r*dJ^p!q2nTNy5j>@c_>v`-|Q2U8E4pMtTK z;iCT;9QMQdQ*c2Bh#;sxRRq%lnxBHPVV)|634lBW=fFHw0+R)M3eJOhsuU&-nxBHP zmEoeuo`MTP`$WQJF!iAMDHvNBE{g0axF8q8Q&li6p!q2n8|JBMm;lIAa1P8<H85GQ zr{Fx8r)pu+p!q2nTNy5j>?yb)v`-{l2U8E4pMtTK;iAZ%f(vpZJk<o#0-B$Kv0<KS zh6#W?1?Rv#)dG_RdkW5jd8!pA4Vs^Vv6bPX$ew}=Li<F*Z7}tq`6(D%87_+KDYzgH z!c$!^Eui@+7#rrPZkPbbQ*aK<Q#~+Qu&3ZWn5TMS(xCY%7+V=GitH)4Ahb^;+y_$+ znxBHPmEoeuo`MVVB0Mz-rUf)V1!KcJH5n!V@)Vo{^VAfWEZ9?U9?VlyVbY-aDHvNB zE{g0axFEDoBs>kK9yC7%V=Kc&kv#<$<U@FB7EB9hehS8hd1^LH0OTn+2j;0cFj=st z;5?Y8=E9^w^HVUkGF%kdQ*c3OpGbHfOg(6R3dUB3iz0gpF36AY)FPM`(EJpP4fE7u zm;lIAa1P8<OJK5KPr-RGPc4N>gXX7TY-PA8vZvsJ&_0pyGMIYM{1l9>3>QWA6kL!I z;i*+HEui@+7#rrP)i42&r{Elzr`Et^!JdNiV4hkFlLpOC!Pv@hQDjfS1)+T+;dL<e zp!q2nTNy5j>?yb)6T(xQU|K-)Q!qBnQ=4G|AWy+LFi&lP$$~uv=fOO+6($XupMtTK z;iAZ%f(t_XM8ex(>Ou2UFt##W6xma7L1u)fcEPlO=BHq6n5TBb1VEmGb6}p@1Cs@N z3eJOhYA;M0G(QDnE5k*RJp~tp_KAe|!PJB1r(kSlxG1ux;DRg&PaT430nJar*f38W zh6#W?1?Rv#bp$30_7t24^VCt8G-!Sb##V-lB6|uh2<;OIAA_j}%}>GD%5YI+Pr(IQ z5uQ2)(*l~Gg0W$qIt>#5c?!;fdFl*I7VIfF59X<}Flo^I6pXD57e)3IToBqP5<Ul0 z51OBXv6bPX$ew}=vLQTm38n=!KLumMJari+0P+-^1M}1sm@L>+a30K4S7Fki`6(D% z87_+KDYziCPb7Q|rXDmu1!F72MUg!P7i33x>K053XnqRDhI#5XOaSC5I0xpbJ1|+W zr{Fx8r|!a}LGx2EwlZ84*;8;qXrD;<9!x!GehS7`hKnM53NFZj@YExi7SQ|@j1BYD zW0(NQQ*aK<Q%_*BU{Aq$Fi$;&NrUF6U~FZ$D6*&Eg3vyZ@H3ct(EJpPtqd1M_7q%@ z6XB^>FfE|@DHt2(sn;+8kf-1rn5W*rWWk<-^I)EO3zG)TPr=yAa8YE3!3CjxBH?#1 z^`QAF7+V=G`k%q!0IWX+7i544g8EaRU|K-)Q!qBnQ=ef1AWy+LFi(Af$$~uv=fOPn z6($XupMtTK;iAZ%f(t_XM8e-->Ou2UFt##W6xma7K`w-+e!;YW=BHq6n5TZj1VEmG zb6}qO1Cs@N3eJOh>Mu+hG(QDnE5k*RJp~tp_KAf5!PJB1r(kSlxG1ux;DX!;PciW! z%}>GDFi$bV1VEmGb6}ogfyshB1?Ry$#R`)K%}>GD%5YI+Pr(JDeIj8tn0nCs6pXD5 z7e)3IT#yIhDK3~6(EJpP4f7N?OaSC5I0xn_9+)iHQ*a*4Q@k)~(EJpPtqd1M_7q$Y z+9wj`gQ*A2Pr=yAa8YDW!3B8{o)Us-0nJar*f38C!vsK{f^%S=5`oErJq72%JS7T~ z2F*{w*vfEGWKY2bp?xA@F_?PL{1l9>3>QWA6kL!G;VCJY7SQ|@j1BXYG)w^GDL4n_ zDH)h7*i&#G%u}*3Y0&%>jI9h8MfMb25ZWgamV>DW%}>GD%5YI+Pr(KG5uQ?lX#veo z!PqcQDZ>Ono`Q2=o>GCyf;|Q2!91l3lLpOC!Pv@hQDjfS1)+T+VKta~(EJpPtqd1M z_7q%@5#cE<m=@6d6pRh?lr~HN<S94@<|!SREZ9?U9?Vm^Flo^I6pXD57e)3IToBqP z64ryM2hC5x*vfEGWKY2bnGl{bf@uNGPr=wQPZ`4mK%RngV4gC8$$~uv=fON>3X=xS zPr=yAa8YDW!3CjxB4IO_deHn7jI9h8MfMb2kQw1AE0`A0{1l80^OQAA0OTn+2j(do zm@L>+a30K4wlHbX{1l9>3>QWA6kHJ6Cla=UsRzwZ!Pv@hQDjfS1z8ZDa)N0A%}>GD zFi$zd1VEmGb6}owfyshB1?Ry$<qDGq%}>GD%5YI+Pr(JDeIj8un0nCs6pXD57e)3I zT#yyvDKD57(EJpP4fB*YOaSC5I0xn_ADArIQ*a*4Q@${1(EJpPtqd1M_7q$Y+9wkB zgQ*A2Pr=yAa8YDW!3Eh6o(h6#0nJar*f388!vsK{f^%S=3W3RjJq72%JQWI)2F*{w z*vfEGWKY2bp?xCZFqnGK{1l9>3>QWA6kL!U;i)K?7SQ|@j1BWtG)w^GDL4n_sTi0n z*i&#G%u}&2Y0&%>jI9h8MfMb25ZWgaj)SQO%}>GD%5YI+Pr(H_5S~hcX#veo!PqcQ zCBp<jo`Q2=o=Snqf;|Q2!90};lLpOC!Pv@hQDjfS1)+T+;WU_f(EJpPtqd1M_7q%@ z6Xq#q;VhUIrbSbg;hg^r4qXfa48qxP*@aV;;hg^r4l*Fw9GEPltOlH|3}^jka5w_% z^}q!gAc8E6%)$jQ?I5e+oc|0CQ$U6n!etjuRfcn5Ru{o!8D%x#Y-KnL*=o2T7sBc? zn0AoWaL#`QhshwT%i*#Mrz*oaFsmzIvW&7CaJDj>g={rkkQ-rj4NN=8YB=XVgTo|{ z)wOWhg;SN`9GKO0Fj+=f4LDmF&O){tF35whx(TKoWHp@gpTS`w$m(Xe?82$aa1PAs z7MLuftOlH|3}+!*4Hx7^Slt2B4ze1~`On}m0c3S2Tz279WjF_Bbr(#QQC0)aR)({X zt%eKoA*}9$X=hqARRhjZhO_=NI4HrADO`{rA}HJs*Sv75GMoc**#wv@qpSv;tqf-& zy9_SKk8s%(n0AoM;2dQ*3)y9GK>?`CrouHZoT?1xz+5&BCd(+R0cR`2S;#Jf3ko1y zHVdX5<T5x%8O}m>8C*~h>ay8z%?qb0!#OaQ&4I}>%4)#b%5WC4%iw~72$wB@X$QFs z&QXT5kX;5B6oR^JAzbsqsmgE;%w>yUvW&7CaJDj>h3qo8pb)}k%V63;E`xKF;VfjA z!3Bk(E?W-Qyl|>AoC9;&3YaXTtOlH|3}+#`3@#{)aM>D|c2MSnbN(|pbb>P9TDa`O zsmgE;%<6S8Sw>k6I9nOcLbe(%$cV6d6HGfOP~aS8I14#Y;DRF1K-mn}yl|>AoC9;& z7MLuftOlH|3}+#`3@#{waM=!+c96^99A!8Q*=2A+QK-vy!Zk0Psto7AT(%1)%P6Y> zXDh>5$S#8miXvRL52hXDGB`&W&O&w>Tu=<^vi)$)3#Tf>IWU(UfXOn-YQWjba2B%5 z;DTZZmmPs=2e}N+QHHaST?Q8vhq~-2T=T-I%5V<MWyfH$jItVVwlbWB>@v8ZIKpM8 zVA?@0gL9POEM%9#1tp*^I}O*oaH=w#19RCKm@K2L2Ar)7XCb=`E+~O;*#($(kjvm4 zWjG7jWpF`BsLL+GH7}g14ClaHb_piSD60WyE5ljHE`tk7B3yP2rXA!mI7b=ILUtKk zPzvg@>u}8rrz*oaFqhqc$ui1nz}d=h7P8CWf>H>V-GONbxeU%xhO>}e1{aiuy6i4o z^TMgha1P96_h7P&vKnx<GMt6%GPs~L!ex(O+CeUZbClsMWS7AOWuPv54A;DHsxq7d zbJ-J^ETgOjoUIIJA-fDND1&g>3z&A0%itVkI1AZja6wt9%U;4YFPy3j=fGU{3MR`a zs{v;#!&%5KgA2+cT=ov89aR0mIsX|PIzZLWd${bvsmgE;%<2y?Sw>k6I9nOcLbe(% z$b_)^3rssGP~aS8I14#Y;DU0{K=}&Syl|>AoC9;&H<&D=tOlH|3}+#`3@#{#aM>@I zc2J<eIsX|P`ayy68!o$Wsxq7dv-%HAmQhv%&Q^xAkgbLb$|J01;D^p(fUJgd{xdlA zfvjeP%PyR%4ClbCW`fBw%4)#b%5WC4)o?)tgw<>??I5e+oc|0Cy&$XE;j#;-D#JN2 zt2tn@jItVVwlbWBY&Bd^5n(kCOgqSGIOjiuLl4MmUbyVSsmgE;%xXTEETgOjoUIIJ zAzKX>R6<xS1k(<(8qWF8;Gh7qS{N?7aH=w#1G8ELCd(+R3}^pma5%&uzyRt*OTgqo zX2UuE863JnW=q0l7fw}%b6{pm!DJa_HQ;PzI1AZZa6x5+x8z{jK~}>#{}~+GK~~Gd zWfx9WhI3$6E5KwKWi{YzWjG7jYPcXX!fF+mc97L@&VL4nHjve-aM^`ZmEjzi)oL(V zMp+FwTN%zmwi+(Tg0NZ(rX6H8ob#W-p%rAcHe7b$RAo2^X0;AXmQhv%&Q^xAkgbLb zvLdWDfN2L=4d?u4aF_wI+7K?gaH=w#1GCx)Cd(+R0cR`2S;$ty1=$c*o58e$tcG*` zGdN5KS#1uNT{u-4&VgBN0h48v)qt~=;VfjU;ezZ4t8HM~K~}>#{}~*nfvmQL%PyR% z4ClbCwu8ws%4)#b%5WC4)o?)$gw;+k?I5e+oc|0CQ$bcc!(|svRfcn5R=dDt8D%x# zY-KnL*=o2TC(LSAVGp==L1j1_HrVJ1lLQSm!a2}VLD&l>3m$BQ^I(IG-Y{uKVGTH2 z8O}m>7+erKYA5Ui*K42wXDh>5{}~((!iG}df(#Hr&^m_zxOPEhI2-1vK$s-RQ*aK< zQ$a9Uu&3ZWn5Tka(u~3yaJDj>h3qM~Aap!XI0UZOKm*QJhO>}81sCK(cq#&}T~Ha$ zhIuLyCJFKsoCEVz6igQEDL4=2sc4uqqp${?tqf-&dkQWH9cdJff$KHUfU}k1EM!l? z1-TKPN`Pw@RED!*o=SvCf;<K1z&w=%lLdPU&VzX>879prtN~{$!&%6lf(t^&Fojd# zdJQz-Y-KnL*;8;q9)zbd;MxV1;cS?vGGUS+Pr*4bPi4Vm!JdNiV4li`Nizy-z}d=h z7P6<{g3!@X;T*VL0}VJ^8O}oX6kL!O;i&?+c0px08|JA(m?X$ka1P8<MKD>gr{Fx8 zr;1_HjKUglwlbWB>?yb)blg?A1g_UW1I|{4vyeRn7vw{DssgTEP#MmKd8!g73Gx)2 z1M^fBOcv}ZI1lEjYM3;mum+s13}+#G3N8p8vK6j@>ow4Tvz6g2WKY2b`4OIKfNK|2 zhO=RwYJ^FGJO$^#Jk<n~1$zq4gL$eMCe0|U0cR`2S;(G(3qnVGg<Ify4K(0vWjG7j zQ*c26gr_>-+69&2Y?!AyVUi$E!8tHbb-`r8o`UmWp6Z54GYV_K*~)MhvZvsJ(1Bv% z9=Kiu4LDmF&O-JSTu>0<sR?lHg353<%u^F#k|0mPIWSL6g2{qC1?Ry$H5n$&D69cz zE5ljHo`MTP$C!nu!1Wqvz}d=h7P6<{f<g#S&46ncRED!*o|*}h1bGV1fq7~cOcv}Z zI1lEj*)VBFVGTH28O}oX6kHHGJS{v2uGc^V&Q^xAkUa$#6h?Sz0bIMFGMo+b)Iyjf z$Ww3*%u|bCvS3fac`#2chDkFDYrxsca2B$s;DXSBZ{a0yy#^X^wlbWB>?yb)Bf?WF z;MxV1;cS?vR>CAfo`Q2=o>~Qy1$zq4gL!H-Oqx+x1I|{4vyeRn7le+P3$KCeHPC>w zmEkO8Pr(I65T4oq*Dk0GXTv<T5he-p6r2O|)FzlL*i&#G%u}0T(u~3yaJDj>h3qM~ zAauB0cne&wfd-td3}+#G3N9#$@YD{tc0px08|JB<FiDW7;2fBzcEM!9o`UmWp4ttQ zW)#+dvz6g2WKY2bp(FLed*FHvG~jGyI1AZRa6vJIrw+ii3o66eFi#zXNrF5D=fFI5 z2qp{m6r2b1)M1!3qp${?tqf-&dkQWH9po220@rJx0cR`2S;(G(3yLE=bpozkP#MmK zdFmug667g32j;0$Fj=st;5?Y8PQ#=bg*D)8WjG7jQ*c4({DANoxLyMdI9nOcLiQ9~ zPy*qp3vlg%%5XN!Qx{>9AWy+LFi%~A$$~uv=fOO6879prtN~{$!&%6lf(t^Y7KE?B z^%`iv*~)MhvZvsJk_b=TfNK|2hO=Rwx(Sm6c?!;fdFmES7VIfF59X=cFlk0%4LDmF z&O-JSTo5`dA$$j}*FXc#R)({XJp~t(LU`%{T)Ut$oDK8TLzpDUQ*aK<Q;%S>U{Aq$ zFi$;(Nizy-z}d=h7P6<{g3t*K;U{pt1{!d-GMt6%DY&3C!c#Bc+69&2Y?!BB!X!bS zf^%S=dIggOdkW5jdFnMxno(E-&Q^xAkUa$#gwBNszk%yD(15d*;VfiN!3AXyp85dS zE~pG=!#wp7CJFKsoCEXJCzveQQ*a*4Q=ehdjKUglwlbWB>?yb)bQ(qY3tX>(2Ar)7 zXCZqEE+~ue)DO6JL1j1_=Bb}BNsy=D9GItm!DPXng7aXW`VEt26xM*VmEkO8Pr(JD z6Ewnq;Cc--;A~|$3)xd}K_-N!7zCj67>t6-a5l_Sj4(-%r{Elzr<h=}U{Aq$Fi$bV zq#1=Z;A~|$3)xd}LFn9$FbiC-fd-td3}+#G3N9#z@DvAJyPz_h4f7NyOcLZNI0xn_ zE|@IXQ*a*4Q`|6VMqv#&TN%zm_7q$YI%_1%1J`Sy0cR`2S;(G(3(6xrB>>khs0?Sr zJS7N|1bGV1fq6;@CJXiyoCouiFie_JSOd;hhO>}81s8<QM+u9-^%`iv*~)MhvZvsJ z3J6b0z_kl1!`U!TNx~#So`Q2=o|1yef;|Q2!8|1mlV%jwfU}k1EM!l?1)(!t!ZL8Z z1{!d-GMt6%DY&2_!cz)x?Sjg1Hq29sFiDW7;2fBzlwh)8Pr-RGPbtHs8HF|AY-KnL z*;8;q=p34`3S6&&2Ar)7XCZqEE~td?lm=Y8pfa2d^OPn`667g32j(d)m@L>+a30K4 z+AwKGVGTH28O}oX6kHHGgC?v4*K42wXDh>5$ew}=DkD5)0M{<43}?eUWeAf5c?!;f zdCCYT3-%P82lJFMOqx+x1I|{4vyeRn7lh8u37f$68fd`T%5WC4r{IFj2v1qSwF@f4 z*)UI8!X!bSf^%S=vVzHiJq72%JY@}&W)#+dvz6g2WKY2bp|gI%HgLTL8gRBUoQ3Qu zxF8F{Qx0(Lg353<%u|jqNsy=D9GIt^V6tFO!Fe!GIm4tGg*D)8WjG7jQ*c4(e4?-m zT(5x!oUIIJA$tlg$cpfk2VA?LGMo+blqXCQ<S94@<|!|jEZ9?U9?VnTFlo^I6pXD5 z7e)3ITo5`_DeMDN&uE|lXDh>5$ew}=vLQSb0M{<43}?eU6$q0Ac?!;fc`67d3-%P8 z2lG@gOd2#l1!F72MUg!P7lh7%3WvbdGa6{X*~)MhvZvsJ><CXqz_kl1!`U!TMZzRO zo`Q2=o{ECWf;|Q2!8{cWlLpOC!Pv@hQDjfS1);O6!Z9%Qj0PHTwlbWB>?yb)2f|Yc zaP5N1a5l_Si7-i!r{Elzr;=c@U{Aq$Fi$1Jq(SpjFt##W6xma7LFl}#a0*O4qk#sT ztqf-&dkQYd3G)=Aa2i~@yfU2qpTR*4)VgJW0!D6SVFqZ!_dkOJ7lQzUvIvp@H%LGf zNq`3=AciEs3lb1V65s;~NFWLDg9Idz1Oz|=Qb+=VAOUG40U?lp43dB_NI(`zK!ibn zfzjax69a=o2m=Fy983zqVuX0d!Hbarsz@HL2+m?;Z~%D`Ns$6v5sc*!%)r0^<AB1= z!3SBu!4a9qkIDrpXJBApWN-il9FjGPaBJW!Mg|8^U?M3}f-8cv7#SQu0gR+b8LkM< zVq|at1v-)<6}Tcei;=+r6cI>@RN;!?EJg+gP~;#fQiCgkvltm1KoN$dNFA;S&SGS6 z07arh6g)aK;EG@@a7e>Apr8YXG+Y22v~V6Iq~TnUa!5#nk_N;YW-YiCFc#Pf7>B{3 z1LPWzRz?N~QP5%or~tDzTqleL)(PXF>I7vem{H6+aGfv~SSO5ws#6@<PF=W87z?Zu z#zEBy%BpZX_24>TEU-=(2UVv8vYq;HoiG+yCyaxtQxaLH0bD1H1=b1Upy~uCM_AYz z!gaz}V4W}ys!l0nJB{EvVJxst7zb4+IG@1mG=}ShvA{ZE98{gs$ab2*b;4L+oiGln zPH>Kb*=Y*b31fkE!Z@fpL3`lf@o5Iv31fkE!Z<LU;Ov1A1QkSZ9wd9fxu7%-$sXW> z2<Aj{xJ57)*diDQ#Ug|t$RaooViBB+ut*j;6fNKu!B}96U>ul55GR656POb%;W}X~ zuud2URi_-XomOz2Fcw%RjDxBZT=c^1w1(@1vA{ZE98{h1$adPmb;4L+oiGlnPH@o* zv(px?6UGATgmF-Hg4TM%Q;Qv3CyWKw3FDyZ1Xp)3JMG~*VJxst7zb6SBC<an;5uO} zuud2UrW2e(5rUwE1Lw(s%X2uFAChtyA?u~#o&#xN2TQ?NV2fZJ6pIjoAQlLNbwW}o zoC`J#TqlET3RqY;!F>c{fh~e@P%J_Sf=q?;AQr*72#Y`?if|t}!!3fbz!t$cFpD5r z0bFOooah4A31fkE!Z@fpRgk006|NJ;0_%ivP<5&z>vV(bgt5RnVH{MQYREd>;W}X~ zuud2URi`?#P7k<F7z?Zu#zEDofvnRLt`o)r>x6Mob!sB(^n&YzvA{ZE98{fJ$U42@ zI$<oZP8bJOr#7-qP&*QwLK$Ezuud2URi_TJPG7j4Fcw%RjDxCE7g?ttTqleL)(PXF z>eNHl=?~WlV}W(TIH)@Hk#z>Zb;4L+oiGlnP6K3}fpDEL7FZ{YgR0XIS!WPjCyWKw z3FDyZG(y%1YVJc~1;zsFgmF-H8YAlj^+X^#VJxst7zb6S39`;mxNBi7uud2URi`Pk z&M>%67z?Zu#zEC-hO9Fjt`o)r>x6Mob($mVjDYKevA{ZE98{ea$T}n8I$<oZP8bJO zrzNt^D7a1-3#=2yLDgx6tTP&}6UGATgmF-HS|jU>f$M~^z&c?ZRGl`+I%DBFVJxst z7zb6SEwauyxK0=gtP{pT)oF*UGajxJ#scevaZq*IBkN3n>x8kuI$<1Coes!46X7~x zEU-=(2UVvdvd$#9P8bWU6UIT+>4dB^8Lkt?0_%ivP<1*Z>r8>`gt5RnVH{MQF337l z;W}X~uud2URi`Vm&NR4A7z?Zu#zEEThO9Fkt`o)r>x6Mob-E+#%z*2JvA{ZE98{ei z$T~COI$<oZP8bJOrzf(`EVxb>3#=2yLDlJntTP*~6UGATgmF-HdL!%1f$M~^z&c?Z zRGmJ^I&<MVVJxst7zb6SFS5=&xK0=gtP{pT)#-<<Gas%K#scevaZq*oBkL@H>x8ku zI$<1CodL)?3*kCpEU-=(2UTYvvd$v7P8bWU6UIT+8HB8}7_Jk>0_%ivP;~|)>nwrm zgt5RnVH{MQA;>yQ;W}X~uud2URc9!&&N8@87z?Zu#zEB?hODz3t`o)r>x6Mob%rDB ztbpr;vA{ZE98{eV$T}<GI$<oZP8bJOXC$)DD!5J<3#=2yLDd<Btg{-f6UGATgmF-H zMkDL2f$M~^z&c?ZRGl%%I&0xNVJxst7zb5nEV9lzxK0=gtP{pT)ftDZvmUM!#scev zaZq)}BkOE{>x8kuI$<1Coe9V~8{s-(EU-=(2UTYxvd$*BP8bWU6UIT+nS`vf8Lkt? z0_%ivP<19F>uiDRgt5RnVH{MQDablo;W}X~uud2URc9))&NjGC7z?Zu#zEDYhODz4 zt`o)r>x6Mob*3Zh?11ZpvA{ZE98{ed$T~aWI$<oZP8bJOXC|`FF1St@3#=2yLDiXs ztg{=g6UGATgmF-HW+UtDf$M~^z&c?ZRGm4<I(y+dVJxst7zb5nF0#%(xK0=gtP{pT z)tQH^vmdS##scevaZq*UBkP<1*9l{Rb;3BPIt!3>PK4`(vA{ZE98{f!$T}y%b;4L+ zoiGln&LU);li@mHEU-=(2UTY=vd$@RoiG+yCyaxtvjkb^RJcwU3#=2yLDgA`taBP% zCyWKw3FDyZEJM~g9j+6`0_%ivP<56g>zo1C31fkE!Z@fpE0A^0gzJQ{z&c?ZRGpQ` zI%mOk!dPIPFb=BDDrB9r;W}X~uud2URcAG_&N*<MFcw%RjDxDP23hA^xK0=gtP{pT z)me+Ia~@nLj0M&S<DlxSL)JMTt`o)r>x6Mob=D*6TmaVzV}W(TIH)=skaaGE>x8ku zI$<1CosGyk7r}MHSYVwn4yw*3WSxuQI$<oZP8bJOXEU<SC2*ZE7FZ{YgQ~LyS?5x? zP8bWU6UIT+2|7CfKH9ttt`o)r>x6Mob%G8&fa_ci*9l{Rb;3BPIzgv2z;&*G>x8ku zI$<1CouG4D;5t{rb;4L+oiGlnPSAm4aGk5*I$<oZP8bJOC+IjzxX#sZoiG+yCyaxt z6Lb&;T<03NP8bWU6UIT+2|5`Bu5&G1CyWKw3FDyZ1RZAr*SQX^6UGATgmF-Hg3e%p z>s$}l31fkE!Z@fpK?ldcb#8#`gt5RnVH{MQpfecZIyb^~!dPIPFb=9t(7};#otxk~ zVJxst7zb4+=(J0?&dqS0Fcw%RjDxCkGIB240@n#+fpx+-s5(LCio)&O3fBo^fpx+- zs5(LCJ-~HtgX@H`z&c?ZRGpwhD&RV|!*#+~V4W}ys!q_!8gQLE;5uO}uud2URVU~; z5V+2raGfv~SSO4F(+QsTLkNN<$>2P0@U$PCi<l&vi5wNX;1<DHV2fZJm_?9DvRTMF zcf)nUSYVwn4yw-C$U67Hb;4L+oiGln&N;|B_ri6;SYVwn4yw+%$U67Ib;4L+oiGln z&Uwf>_rrC<SYVwn4yw-i$T|<eb;4L+oiGln&IQOi55jfASYVwn4yw+D$T|<fb;4L+ zoiGln&PB*N55slBSYVwn4yw+@$U2X}b;4L+oiGln&Lzk?kHU4rSYVwn4yw+j$U2X~ zb;4L+oiGln&Sl6tkHdAsSYVwn4yw-O$U0BJb;4L+oiGln&K1ZyPr`M=SYVwn4yw+T z$U0BKb;4L+oiGkeC%7O$2!aX%IFA`z5Wu;Jf?yT0MW^8w!B}96U>ul5kb+<}vd%Mb zoiG+yCyaxta}Bc2vv8d-7FZ{YgQ{~avd(jGoiG+yCyaxta~-nI^KhLo7FZ{YgQ{~q zvd#-|oiG+yCyWEr362VcASf!}JjlWYI2REW8;~u!2)78v0$T**pjd<u1X%><K`erE z5f*Jkw&)VvA{Yy75sZUk5ke4T5u69H2+l=Vv<caw%W#WeEU-l|4vIwxL6Ajo9>gLz z7h%z6WQ(rAErPMY7Qr|u79j*d7QuNCi{M;@MO%<9x(c@l#sXUe<DgiC5CmBS=Rquj za}gG8MYiY~+#(nYY!QruVi7_RWD%SPu?WsZShNk<qU&&rU@WjjFb;}E2tklVa2~`W zI2U2jc4Uigz%7EYz!t$cC>9|EK^DPz5R2ekghe}$ExHM}2*v_i1mmDsgb)N-1m{64 zf^!iT?L@Zd7Th8j3v3aLgJKav5M&XY2eAmwMOd^8*`nKUi(o9UMKBJEMF>HVMQ|R( zA~+Xe(Qagm?!YaAvA`C=I4Bk&1VI+Tc@T@>T!ck?kS)3kw+O}pTLj~vScDJ+Sp?@n zEP`_p7VSm0=pNi67z=C>jDunkLJ(vToCmQ8&P7<X580yoaEo9puthKqibV)PkVSAF z#3DEsVG;O#Z`dle2XKpEEU-l|4vIwxL6Ajo9>gLz7hw_j;yai{58)QUSYV4_92AQX zf*_0FJY{ej1kOcR1U`8VX3-<KMKBiFA{Yl|5u^<QI!hDYUwRDJ31fkE!Z<LU;JO(h z2y!Bv2MG%}7vaRi$g%qbZV`+Hwg|>Su?QguvIx$Dgaw?7un2tb1~e?Jp20184rM9B zg_woqK>Iux7#SUof_Ir%y@0A=V0Z~-DZ_=3R3C$=eg##-!0-mjQicn$OqsnCGz1PZ z{5VAUTc{!ihWAjGGF*sd_Rh(m(QA<M6A<Mepo$n6K0#T^a3N&nCn3r|LlrSFe1)=< z;X=sDPeGJ_gDPTR_yJ`p!-bHQpN1&^301_v@Egifh6^DpKLb(z2dapH;UAQx3>QLH zeiowqKU5I|10y43caJh$2wC|#h;k;VA_fK)C`%bGgsl8LL^&%|5d#A|l%)(8LRNkO zqMQS&h=G9%%2I|4AuGQKQO*rj#K6D{Whujjkd<G8DCdJJVqg$}vXtRM$jUE6lnX)? zF)#>2S;}xBWaU>N%0-}x7#PH$EM>S5vhu4C<>F993=EP`mNHxjS@|`Haw(`H1_l`@ zOBpVNto%Aexhzx>1A{!2r3@EBR(=DbTmh<xfk6q%Qicm5E58X*t_)Sgz@Q3cDZ_=3 zmEVFWSA!~IV9<cFl;J|i%5OuIYeE$<Fla+r%5WiM<#!;;b)bqE81$elWw;Qs^1Be_ z`cOp-42DpaGF%8*`8|koBd8(<1`{Yt87_pZ{60jvDO3>ygE^F?3>N|^2aSV*%9#fc z<rYvy3=CFKmNHxjS@}bVa%-p}1_oOwOBpVNto#u~xgAsy1A_yUr3@EBR{j{G+!3mX zfx#KdQicm5D}Mq}?gCZBz~BaDDZ_=3l|O|jcZVusVDN;pl;J|i%AY}$gSO&9*2nt5 zl*5IPl|P3l_k}8AVDN{sl;J|i%3nZ~2S61uFa$wa%5WiM<u4)1gQ1ET7($^eWw;Qs z@>dY$VNgX33=vS4GF%8*`D=*sNT?zPhG-~D87_pZ{0&5T3{(*VLmZT)3>QLH{uZJ< z9;%3eArZ<_h6^Dpe+N;X1XaYqkOE~X!-bHQzlSJKg(_lTNQbhN;X=sDKR}dcKov1C z<Uv`=a3PizyLN)64jCDRGog|UEW4)8pXvZw{0$f4U;(X_`OnDc@DXBWK2$LyqXTHX z2F`T=jr+iO$}k3l$c_zDcRS43Jj-G0lnwJX%-S$**UY&L3}8#(iX1=#oGP`oTEU(k zAzBJKIjPCj3dV-I9wB~_!JySl{~0zytyM07G8otuB(#;~4MCe^86|}aVZt0!W^SIb zW8#d7;PxY2m_fJ*rh-*c!bn&EEDaZ96fTBIb4{7KdFHGQb9c^z7y*}H5H5kKVVN>> zGej0H#2{P>lNH!CbJnhjn;dq{+%a?WhFv=+f_)2@b^vXxQ3-Jkb`6U62d(b^FI)!G z%5H2SFDGIv0M-E)HBbq0b`A0b?I!szTn<wU^XX);SKz`7!WA$TAZJ2k;X(|;l`vVT zT|!{H;G!sYRl(GP0%iWxt(!MboB_5CF2NvN4O7D_DPbfoVJIhJVq_#B2v!D{V-T)^ zDFZnQVhCJ_LAVxKb{1GKT!>M)4kpVfDPbfbEGr`?0#*VSXArK3DPftjW8z$}m*7GM zEdN0Ow0G*ia06W7l$o37f{lR-F$g!pWMMYR%Yv1_#TkT~U`p8Kj3kVW1?0h&!9@*N zpv`jzhX2CNFts30ZvvYH7h(`@fyr`!-8^sR4zN*hVFeZMU`No#k^jQ2Fs0m3OKrs^ z48f}5G8QU+L9QVoj-c%OU$_mXfz#MXR$kav!q5V&94@Y*;u`GZ2-+<4U$`Bnm}A$x zopT*#?$`-d3m1l@*hrrM&k&zbh=V|#4seAbv}?x9T{CAn%-=k77bwxgG{Y4*fHnuI zgt)qdI0nGddneq&S#ziEfLjO`)=;r9arFc3UjdaopCBdACYUCM?NF97T!>{cyfoVk zl|(Ad5JD_$3=AM6KSPY%0aMGcAIegO3$d(%8@UrIiDV>PNPy)pXkrIs=og5g2Vklh zPC{AAa3Pk}a6=D5C6Nq;3xNZi<-maj>(8HG0Gb8{8UGbx{3)0|hRaZvGF%AB_|s5H zB;(;iSdIS%G5!inAH!WJOBpVNWc*dAB$DxPA*{xKhZuhkrjOw{l%)(8LNfk7R1(Q} zxDZz3e?W|X0n^9u5z11A3n3Z*5-N#gJX{E?@joHPe}d^__zh(#!-bHH{|uExG9E63 z)%af!<Nv_)F|aa0JBM%~B;)_Wlp*SCxDZz3e?yFCgBr@fzz=09!-bHHXNO86xgIWr z)%ZUU;{{;)7$l)AWw;QM@q$oEB;(;iSdIS+F<uI$k3kvAQicm587~c$L^2*Ogw^<e z5aU%~`WUpKEM>S5Qc<7^l|(WgE+oJr1=?r@%B%k&hU&mnGnhhI%5WhhH|s(rkqm_k zVRbVD=%hOaD>Ilr274$=87_omyg5`7$#}RBR^u5V#yi0DF?d2*%5Whh;~k-rNXEm3 zuo}+<G2RQNk0BV!Qicm58Sf31L^2*Ogw=Rvi18sXeGIWsmNHxj$@oyHB$DxPA*{x; zK#Y%r>0?NTvXtRMNXExQC6SDW3t=^$6=Hk_Odmrbl%)(8LNY!RDv4w~TnMZ2Y!Ks% zVEP!Up)6&%5R&o5P)Q`?;X+uAXNMSH1JlRQ3S}w7g^-M|g-RkB4;R8}JO{-1Hkdw! zeke;BE`(%!J5&<Mc(@Q&<2fP5Pk`xTm=0wr!-bHFf{9Q`B;(;i0xbEUF-A~Pzy&dM z223@>LMTfaE`;RfnNUe2L*YVL-OLR!ei2L`!)ho?87_om{9>pilJRgMtj6;|j9&xO z$FLR3Qicm58NU`PiDW!n2&?hD5aYMO^fBy*vXtRMNXBo6N+KB#7s6^hAH?_rFntUs zp)6&%5R&l+p^`|(!-cRK&kr&F6igq(IVejRF2t%WWo-dEF9|dZB>+)>9;%9g;WCt^ z3>QLCF9=b81*(dH;RckY3>QLCF9cD46RL`V;VzV=3>QLCFAPzC52}iR;SrRj3>QLC zF9K2j7^;ea;W?D03>QLCFA7or0;-CE;SH3f3>QLCF9uQn7OIMY;R}?d3>QMmfA64@ zNYMos5@4wS9Xtiff8r2Bzrs{A{DiWU;X){mkbtQF1y#ks@DIvTh6|ynmxQSQ4^_p$ zz|0J7yTOG})Js9svp`ibFmOOw%5WhR_0kaaoKRH^47^a5GF%8ny$nP>A5;|sgAkOZ z3>QLCFAGsG3{}OzAP!|I!-Y`P%R$sjKvgj?$Us@ja3K`+@(}g1P*n^Jicpp^TnI(I z0z|zMR22h*8kD6B7h=^!%2bLF_3BVn3=BF@mNHxjsduOWl|)K32q9SSPzhqBE=(<h zA(W*I7eaA@GDN)*R22h*8I+|A7eZ050#R=cRmH$y4P`0Ag;3P1Le$$pRWUF)Kv~Lg zAr$p$5cQ5wRSXQSP?j=W2t~a*M7<kS6$678l%)(8LQ$^)QSS{^#lYYXWhujjP}FNe z)CWLSF))NcS;}xB6!lsV^`THz3=EM_mNHxjMZGpeeH2s`14A5?r3@EBQLh709}iW< zz>o%IDZ_=3%9#YHBvO)r3kk4H2Th-X${AgVq3JNy4B1eYGF%A75qc2yIZ#y$3<Xe@ zGF%8ny*@;JAygFuLn)M{3>QLCZvatW235ttPz7Zv!-Y`P8$#4qLsc;_)I(Xya3K`+ zMiBK4P*n^JEl`#+TnI(IF+_bUR22h5CzPcO7eY~Q0#V-uRmH&22W2V4g;3O+Le%#| zRWUG3hO(65LMZCZAnK<;RWUHkfU=a~LMZCZA?jyBRWUFufU=a~LP&{b7E}@`(ZGcS zSlU3xFn|(`1;o&WFx3o8p)6&%5Q-x#A?lYwRWUHEg0ht1LMZC3AnI2`RWUHEhq9F6 zLMZC3A?i0kRWUGZfwGk0LMZBOAnLb5RWUH^gtC<3LMZBOA?kNQRWUH^gR+$2LMZC( zAnNx+RWUFehO(65LMZC(A?lAnRWUG}fU=a~LMZATAnH#-RWUG}g|d|4LMZATA?nXT zRWUGJg0ht1LMZB;AnGqeRWUH!gtC<3LP!%aSD=zei3Tpj!2&wH3Y2D?A!go!DQ36_ zWhujjP~6}GQGXw*ih<z;l%)(8LTUs*fJ!2{0WO5K5$p;v{v}Kw!zU<987_om{41y= zlJRgMtj4=RjQ<SN$M6TrQicm58UF<;iDW!n2&?h#5aa*C^f9opKwEEcAtdAf!IU9J z-rz!5jrV{U&ki+|fk6PuQicm58P5TgL~=b`2&?g)5aR`5`WU33EM>S5lJP=NNhIUp zLRgLWf*3Ci)5o9!Whujjkc^jsN+KB#7s6`1H^g{Vm_7zQC`%bGgk-!LR1(Q}xDZz3 zeIUl`!}Kv&Kv~LgAtd8L^ETiK4Mfz!g|Hg$3o+gjYA6GP1C*r<7ecBJte}!eu7?W= zu(*OYH-hrEAH+~cm}&+uC`%bGgyd!?s3el1a3QR2_J<hn4b#UE0%a+~g^-N*fl4A7 z4;R8}d;rAwP?$c3I4DaQE`(%!7*rC;c(@Q&;{zea$HVk7WI$QUa3Lh)6QGhv#>0iM z8Xp8PJ`<*op$N)Sh6^DXp9PgfG9E63)%akD@x?HG3^h=eGF%AB_!6ijlJRgMtj32x zjIV|1V`zi2l;J{1#@9h5k&K56VKqJ!VthMHAHxJFOBpVNWPAry63KYD5LV;EAjVIG z>0_7$Whujjkc^)Ml|(WgE`-(iaES4<Vfq-BKv~LgAtdAHKqZlkhYMjfJ_2I=QkXu5 zHBgo^TnMQsSO%3uG9E4@z|#MbfdM>483{3TElf4THYiIOE`;Rfbx=tpL*YVL-5dom zemhJb!vQEu87_om{0^ujlJRgMtj0$}j6Vp|$8ZYDQicm58Gi^WiDW!n2&?fi5aUn7 z^f6q4vXtRMNXDOmN+KB#7s6_MEX4S$FntX7pe$v$5R&oNppr<&!-cRK9|tl1K1?6O z3n)t&E`((K1E?gD@o*um#>Yd9e+kpa@CnLNh6^DX{|YLJWIS95tMLgC<3GdnG5mqD zl;J{1#(#lIA{h@C!fJdX#Q483eGF`@uof9i2+8<=a54DWCAbh)<C7r9vqKGKU=V<^ zl;J{1#&bX=kz5ZK!fJdn#CSoNJ_ZRWOBpVNR1^q7C6SDW3kk5S2JQ3#wF*)ohDyRz zGblk>%5WhhH%mb!kqm_kVRdsV#CT<xJ_a2qOBpVNWV{Mg63KYD5LV;UAja#$^f8!0 zS;}xBB;)m<l1RqGg|Hf*4l&*wrjNk^%2I|4AsKH0l|(WgE`-(i42bcLFntVOP?j=W z2+4RSs3el{a3QS5XF`nkhUsGnfwGk0LP*B@KqZlkhYMjfJ_}-eC`=zi9F(OD7eX>V z3@V9aJX{E?@!1gL<6-(3GN3GFxDb-@2~bHS<KaSBjn9D?p9#~)Py}Tu!-bHH&w@%K z84nl2YJ4ul_+pqoh8ieK87_omd<j$%$#}RBR^#&^#@E91F*HG0%5Wj1f$2J^B$Dw6 zA=tolKE%jom|BJ|C`%bG#4>ZnEYJZqjEur9P)Q^s;X;g{Won?Zr2t}NH%u+VBq&Q6 zE`(%c4^$G#NVpK($U=yblVNHZW<goXa3Lfkr$8l<jD!opjVyu~IUA}Ly7&~%1us5@ z@swc<27Y02S!qKFBWYt>BMD*9#c<$tZE$%36;seP1OFKoLCsa317$F<tpYE01g+&` zWOOKoSicx1%diT{Qicm5`Dh7L63It!A-Io9AV#i+sb$y%Whujjkc?adl|(WUE(ABS z6k_CNm|BKiP?j=W2+7DTP)Q^s;X-gD%OFPXhN)#Z1Z641g^-Ng1C>NF5-tQcvK(UM zVW?VYRKmI7sD$y9VGLYR373aQ<teDS%159Kw5Y6rSbrKO%Ww(GQicm5`REK(63It! zA-In!Ax2(?sb#nYWhujjkc_+nl|(WUE(ABS3S#7Km|BKMP?j=W2+7DhP)Q^s;X-gD zt06`{hN^{zJDlqPTE7kBDZ?1J!W}LT5BFD4bCsV!8ED~N1F`-!OqSsjl%)(8Lh{iY zs3ek);6iX8)k2K?3{%VS3(8W43n3Z#1uBVTBwPq?WF5rF-!QcdOl;7`CtL{0$UiV; zh%qC$5ZuUmh>^@tgBTdNpe$v$5R#Fgk{)ds3N8dUvH@ZwH`E|#RKmI7sD$y9VGLYR z373aQr4ZCyWgaL4Eh-x!)(gXA8Kj^rWw;QMk3^u7NZ}3_g8QfmVx%-oErSx2r3@EB zGExRAiDV>P2ySFE#7JeBS_Ta$OBpVNRQ;+zC6SCo2!ZzAf$GW@h>@BwwG8@DmNHxj zX*6F8Dv4wyT!;e{so-vYE5u9#m|_NVC`%bGgw$a$gi0cr2^SJz0UhZKHna_5s0B<l zgDsS$3>QN3yCqZ-$xwt43m;@Sq8(zS9ZW5Q8<eFC7h+inU)*dDl|(WUE~LP+3hYp@ zOFJOOy2I2n_(569a3Q3K_kc<w84DMJM|>y5NPn1GhA=2g87_omWB^nW$w;^m+{iA7 zk>N143~^AFGF%AB$Oxz;l96yBxRKouBjaIe8PcFEWw;QMkqJ;qBqQNMa3gylMyA8m zGUP#7%5WhhBQv0qNJhei;70aBjLe6rWhjHPl;J{1MixLNk&J{3!Hw*L7+DTe%TNbp zDZ_=3jI4l4A{hx6f*aWnF|r<}mZ1&GQicm58QB1pL^2XC1UGU5#K?A-T82I-OBpVN zWMl_a63Ixo5ZuU#5F`6xY8j?MS;}xBBqJw4C6SDT3&D+?1Tk_tOfADaC`%bGgk<Cl zs3ek+a3Q#nlOaaVhpA;)24yM3g^-L~0F^{C5-tQcatg%A<uJ7j>!2)UxDb+&E1;4{ zM#6>QMoxtoxgMsLVH=dC3>QK&asyNn$w;^m+{kGVBe%oUGVFu0l;J{1M(%)0A{hx6 zf*Uy<V&s09T83j#mNHxj$;bmxNhBlTLU1EzK#V*NQ_FA;%2I|4AsKlBDv4wyTnKLD zOo)-^VQLw!L0QUhAtWO&KqZlkgbTrqoCPuRI!rCYJt#{VE`(&{4X7lNk#Hfnk+UI2 z-iN7Wcm`!D!-bHHd;pb1G7>HXH*yZd$mcM%4DX;UWw;QMkuRW<NJhei;6~1c82KKi zmf;(er3@EBGV%jd63Ixo5ZuUl5F@|C)H3{ovXtRMNJjpEN+KBv7lIo(A7bQxm|6xl zc4#XXE`($x15^^pNVpK($ORB1*<orK_@FFhxDb+&98gIlBjG}DBNsx9<cFzc5QDOm z;X+783P2^1jD!opja&pVQXHn1K@Q4Nh6^DXDFKy4G7>HXH*zt=NO_oA1~n*487_om zqykhD$w;^m+{h&mBh_JQ8T6nmWw;QMks44*BqQNMa3hyOjMRszWiW%Xl;J{1MjAjR zk&J{3!Hrx7G145Smcb6nQicm58EFBPL^2XC1UGUy#7KLXS_U^LOBpVNWTXRB63Ixo z5ZuTW5F_1TY8m{XEM>S5l93)zNhBlTLU1EjLX7l>sbvU*vXtRMNJa)gC6SDT3&D+C z1u-%lrj{WN%2I|4AsHC~l|(WUE(AAnHN?nxm|BK3C`%bGgk)p_R1(QZxDedPH4r1y zVQLxjpe$v$5R#D@P)Q^s;X-gD*FucUhpA;KgR+$2LP$myKqZlkgbTrqTn90-9Hy3` z4$4x73n3X<0hL5D5-tQcay`VzdYD><HYiIOE`(%c15^^pNVpK($PEx9+hJ-M`k*Xj zxDb+&9Z*RmBjG}DBR4{f?1!mkm<DAj!-bHHoB)+XG7>HXH*yoi$muY(4D+BYWw;QM zku#u@NJhei;6`qS7&#xNmSGu`r3@EBGI9Y_63Ixo5ZuTu5F?kv)H1AtvXtRMNJg%J zN+KBv7lIqP6=LLim|BKyP?j=W2+7C|P)Q^s;X-gDw?T~D4pYmp56V)83n3Y~11gDR zBwPq?<aUUW`(bJsjzL+<a3Lfk4?rc6jD!opjobk-@;FQ_!#OBR87_om<O!%Gl96yB zxRE;{MxKYMWw-`qDZ_=3jJyDqL^2XC1UGUQ#K`L~wG8*5EM>S5l94x{l1N6vh2Tc+ zh8TGtrk3Ful%)(8LNf9JR1(QZxDedPJrE<G!_+dogR+$2LP$owfJ!162^WGJxff#O zdze~=Z%~#pTnNd?4^T-YBjG}DBlkg!{0>vg@DIvTh6^DX`2#A6WF%Y&ZsdN5k^f<8 z8Q3^r?Ms*tl93EhNhBlTLU1DwK#XLEsb%1UvXtRMNJer%C6SDT3&D*%2r-f$rj|hr z%2I|4AsHzEl|(WUE(AC75X4Avm|6xoC`%bGgk+=yR1(QZxDedP!w@6oVQLxFpe$v$ z5R#D!P)Q^s;X-gDk3fu6hpA=IgR+$2LP$nxKqZlkgbTrqJPI*VAEuVU49Zf53n3Y4 z0F^{C5-tQc@)*QObC_BNJ19#TE`(&H1ymBrNVpK($m0+r?O|#e+@LIFxDb+&4p2!X zBjG}DBTqn#bcd;B@Po3H;X+78dO#(SjD!opjXVi4(jTUlAq>h=h6^DX832_;G7>HX zH}Vw3$Z(iihBzoo87_omWCT<a$w;^m+{n`qBjaIe8PcFEWw;QMkqJ;qBqQNMa3jw^ zj7*2AWypiFl;J{1MrJ@Ik&J{3!Hql%F)|;fmZ1#FQicm58Cd|8L^2XC1UK>=#K>}( zT826(OBpVNWMl<Y63Ixo5ZuV~5F_hhY8l#~EM>S5l93HiNhBlTLU1E5K#XjMsb%Pc zvXtRMNJe%*C6SDT3&D-N2r;rBrj}tEl%)(8LNam!R1(QZxDedPOAsTc!_+d&gR+$2 zLP$o=fJ!162^WGJc^P8le3)8>Wl)wfTnNd?1yD&OBjG}DBd<V=Tn<yqunx*nh6^DX zxdJMQWF%Y&Zsb*nk?Ub<8MZ-L%5WhhBR4=Lk&J{3!Hv8IF>*UhEyF%2OBpVNWaJL0 zB$APEA-Iv(Ax7?psbx3@Whujjkc>P4l|(WUE(AC72E@qYFtrTlpe$v$5R#E6ppr;N z!iC^Q-h>!=9;TMz8kD6B7eX@f0#p*oNVpK($XgI2ufx<b+=H@|;X+78-hfIX83`AH z8+jXI<b9Z0hG$TgGF%AB$OlkKBqQNMa3k+PjC>AL%kU1$Qicm58TkS#iDV>P2yWzE zh>`DMY8k#kS;}xBBqKjSC6SDT3&D-N2Ql(HOfADdC`%bGgk<Cos3ek+a3Q#n_aR39 zhpA;?<Ak*@VM0hoGC(DfjD!opjeGzxk{za&fe*@3h6^DX$pMu_G7>HXH}WCGNPd`F z1~Dj087_omqySVB$w;^m+{i}|BgJ8A8RVcWWw;QMkrGfzBqQNMa3dc>jFg9|Wl)2% zl;J{1Mk+uhk&J{3!Hs+ZF;X3-mO&57Qicm58L0u4L^2XC1UK?2#7KRZS_U&HOBpVN zWTXL963Ixo5ZuUT5F^cDY8mXHEM>S5l93irNhBlTLU1FWLyWYCsbz43vXtRMNJct9 zC6SDT3&D+i0Ws1Yrk24E%2I|4AsOibl|(WUE(AC7CB#U7m|BJ~C`%bGgk)p@R1(QZ zxDedPR}dq^VQLxTpe$v$5R#D*P)Q^s;X-gDUqg(HhpA;qgR+$2LP$m?KqZlkgbTrq zd;>8u9j2Bc56V)83n3Yq0hL5D5-tQc@-4*3e3)8>GAK(KE`(%c0aOynNVpK($afGU z%VBC6>YyxTxDb+&6;MeeBjG}DBi}=etcR&(XoIqp;X+78Hb5njjD!opjr;&HvK^+D zp%2PZh6^DX*#VVAG7>HXH}WII$bOhwhG|fiGF%AB$O%wMBqQNMa3eoKjGPWr%P<eh zQicm5894(giDV>P2yWzOh>`PQY8jS6S;}xBBqJ9<C6SDT3&D;20x@zqOfADYC`%bG zgk<Cjs3ek+a3Q#nUm-@WhpA=Q24yM3g^-Ng0F^{C5-tQc@*Bj+?J%_r`=BgkxDb+& zJD`$CM#6>QMt+AFxgVyM;TV*q3>QK&@&Hs4$w;^m+{hmgBag$>GMt05l;J{1MxKC5 zA{hx6f*bi0V&r+4T83*-mNHxj$;b;(NhBlTLU1F0L5#c(Q_FA<%2I|4AsKlCDv4wy zTnKLDZ-|liVQLwkL0QUhAtWOoKqZlkgbTrq`~xxaIZQ3XJ19#TE`(&{3#cTLk#Hfn zk$)jZzK5x0_y%Pu!-bHH`~a0iG7>HXH}W6E$nP+<4F8}kWw;QMkw2i4NJhei;70z3 z82KNjmVu26*1m)ZAsNX4l|(WUE(AA{fk}XYfx(I$rj~&Z%2I|4AsNX5l|(WUE(AA{ z5n?1iOf7>Ll%)(8LNZbSDv4wyTnKI?6U0bym|6xoC`%bGgk+=yR1(QZxDeb(W{8pU zFtrS7P?j=W2+2qVs3ek+a3Q#nED$5rVQLxlpe$v$5R#D^P)Q^s;X-gDSs_O1!_+dE zL0QUhAtWOWppr;N!iC^QvO$bAhpA<-gR+$2LP$nhKqZlkgbTrqWQQ1O4^zwF24yM3 zg^-MNfJ!162^WGJ$pJCa9j2DS56V)83n3Zl0hL5D5-tQck`rR2KTIt{7?h<97eX>J z04j-OBwPq?Bp1ZUaF|+#I4DaQE`(%c1XL2qNVpK(NN$Lc@i4UvX;79jTnNd?1gIpE zk#HfnkvtG1(_v~E@}MkbxDb+&8Bj?iBjG}DBY7c4=EKx7ltEd_a3Lfk3!sunM#6>Q zM)E<7EQhIOsDrYU;X+78RzM|@jD!opjpT<ISr1do&<15G!-bHHY=BB483`AH8z}%W zvK^+Dp%2PZh6^DX*#VVAG7>HXH&PH{WIs$T!!#&M87_om<OHZBl96yBxRF8-Bd5dE zGR%Xrl;J{1M$UjrA{hx6f*UCeF>*dkEyFS>OBpVNWaI*<B$APEA-Itu5F?kv)H1At zvXtRMNJg%JN+KBv7lIop3Ndm$OfADUC`%bGgk<Cfs3ek+a3Q#nVh|&@!_+eDgR+$2 zLP$pLfJ!162^WGJDGo7mKTIvdF(^wJE`(&{0jMOBk#HfnkrEIikHge5oP)BI;X+78 zo`6as83`AH8z~7f@;po}!!;;N87_om<OQfCl96yBxRFv2Bd^2MGTei*l;J{1M&5u* zA{hx6f*UCfG4ei4EyFV?OBpVNWaI;=B$APEA-It;5F?+%)H1w-vXtRMNJhSZN+KBv z7lIop3o-INOfADVC`%bGgk<Cgs3ek+a3Q#nau6fG!_+eTgR+$2LP$pbfJ!162^WGJ zDGxF7KTItH8#k<d2@^sxk^w4-WF%Y&ZlnUlNOqW720kcD87_omBnMOy$w;^m+(<=; zk^C^V3}R50GF%ABNCBuMl96yBxRFW_BgJ8A8RVcWWw;QMkrGfzBqQNMa3hr=M#{s~ zGN?gW%5WhhBNd>MNJhei;6|!Ij8uoIWzd7Nl;J{1MruGMk&J{3!Hraf7^x3a%U}j& zDZ_=3j5L5sA{hx6f*Yv@G145Smcb6nQicm58EFBPL^2XC1UFJ0Vx&DxErT1Br3@EB zGSUGmiDV>P2yUbX#7K9TS_VHTOBpVNWTXdF63Ixo5Zp*jh>`v<wG3fUmNHxj$;bex zB$APEA-IuR5F^83Y8m37EM>S5l93TmNhBlTLU1FsAx6f-)H0+&S;}xBBqI}`l1N6v zh2Tc&K#WX>sb$E6vXtRMNJeHrC6SDT3&D-lg&3I+Q_D~WWhujjkc=#VN+KBv7lIq9 z2Qji7rk0@&%2I|4AsJZ#l|(WUE(AAHA7W%ZOf5qjl%)(8LNc-eDv4wyTnKKY0mR65 zm|BKDC`%bGgk)p~R1(QZxDeb(Lx_?6FtrTRpe$v$5R#D-ppr;N!iC^Q8bOSl4pYl8 z56V)83n3Xf11gDRBwPq?q%p+E`7pH%%b+Y}xDb+&3!sunM#6>QMw&p3Tn<yqunx*n zh6^DXxdJMQWF%Y&Zlo#1$n`L_4BMbAWw;QMksF|rNJhei;6|E3jNA@W%dij1Qicm5 z8My;0iDV>P2yUb~#K`?HwG79gEM>S5l930Xl1N6vh2Tb7K#V*NQ_FA;%2I|4AsKlB zDv4wyTnKKYCB(?{FtrTVpe$v$5R#D>ppr;N!iC^QT0xAw4pYl;56V)83n3YK11gDR zBwPq?q&39I`!KZ(&!8-2xDb+&51^7rM#6>QM%qA(d=68~@D9pSh6^DX`2s45WF%Y& zZlo>5$oDX{4BwzEWw;QMksqLvNJhei;6~a(jQkE$%kU4%Qicm58TkV$iDV>P2yUc3 z#K`|JwG3=Lu=XWP2+2qWs3ek+a3Q#n4iF>RVQLxppe$v$5R#D`P)Q^s;X-gD9U(^Y z!_+c}L0QUhAtWOOppr;N!iC^QIzfyShpA<dgR+$2LP$nRKqZlkgbTrqbcPry4^zva z24yM3g^-L?fJ!162^WGJ=>joQ9j2B+56V)83n3Y)0hL5D5-tQc(iLK)K1?lx8I+|A z7eX@904j-OBwPq?q#MLYbC_BNJ19#TE`(&H1ymBrNVpK(NOy>l_As>!ZcvsoTnNcX z2dE^Hk#Hfnksc5u-C=4O{GcplxDb+&9#BanBjG}DBRwHT`oq*Rgh5%#a3Lfk1E7*f zM#6>QMtVVv42P*@h=a0};X+78MnENzjD!opjr4{X84pv-kOpNb!-bHHOn^!v83`AH z8|ecvG99LtArHz@h6^DXnE{nVG7>HXH_{hkWIjwSLm8B%3>QK&vH&WHWF%Y&ZloW? z$a0ukhB_!q87_omWCc_b$w;^m+(>_jk@YaO3~f-BGF%AB$Ofn+l96yBxRC)6BimtW z8Tz0sWw;QMksVM;BqQNMa3cdDM)t$hGE9TAl;J{1MoxfAA{hx6f*TnGF>*RgEyFx0 zOBpVNWaJE}B$APEA-Ivj5F_Wq)G{oCvXtRMNJcJzN+KBv7lIoZ0x@zqOfADYC`%bG zgk<Cjs3ek+a3Q#np%5e2!_+ctgR+$2LP$n#fJ!162^WGJ83r+OJ4`LZJ}65WE`(&{ z4yYuOk#Hfnk>L;{_rugO9D}ly;X+789)L<B83`AH8yNvH@;FQ_!#OBR87_om<O!%G zl96yBxRH?%BhSOsGF*eQl;J{1MqYqQA{hx6f*TnHG4eW0EyF!1OBpVNWaJH~B$APE zA-Ivz5F_uy)G|DSvXtRMNJc(@N+KBv7lIoZ12OVBOfADZC`%bGgk<Cks3ek+a3Q#n zu@EEQ!_+c-gR+$2LP$n_fJ!162^WGJ83!@)J4`LZKPXEXE`(&{52z%Pk#Hfnk?{~C z|HITWu<^p$moOnDBN?EQNJhei;6^4ujAVzYW#EIdl;J{1Msh$Uk&J{3!HrCW7|9P) z%OD12DZ_=3j1+)MA{hx6f*Y9xF;X0+mO&26Qicm587TpkL^2XC1UE7nVx&AwErS}A zr3@EBGExC5iDV>P2ySEw#7K3RS_VBROBpVNWTXaE63Ixo5ZuUAh>`j*wG3uZmNHxj z$w&jJB$APEA-Iug5F^cDY8mXHEM>S5l93irNhBlTLU1F~Ax7H6)H1k1S;}xBBqJT5 zl1N6vh2TbJK#X*Usb%nkvXtRMNJe@<C6SDT3&D-dgc#`$Q_BzrWhujjkc<p~N+KBv z7lIp^1u-%lrj{WN%2I|4AsHC~l|(WUE(A9+8)9TUOf5qil%)(8LNYP|Dv4wyTnKJt z4#dcGm|BKBC`%bGgk)p}R1(QZxDedPT!@kRFtrS2P?j=W2+7C-s3ek+a3Q#nc@QJZ zVQLxbpe$v$5R#D<P)Q^s;X-gD^C3po!_+dgL0QUhAtWOkppr;N!iC^Q7C?+_hpA=g zgR+$2LP$n-KqZlkgbTrqEQA=@4^zu94a!o63n3Xf0V;`PBwPq?WD&&3=`ghn^Pntc zxDb+&GoX@4M#6>QMixVioDWmWunfvlh6^DXxd1AOWF%Y&Ze$6>$mKA#4C|mQWw;QM zkt?8*NJhei;6|20j9d>>%dic~Qicm58My%}iDV>P2ySE<#K`S1wG8{9EM>S5l94;0 zl1N6vh2Tb(LyX)HQ_FA+%2I|4AsKl9Dv4wyTnKJt1;ohXFtrTlpe$v$5R#E6ppr;N z!iC^QRzi$C4^zu<4a!o63n3YK0V;`PBwPq?WEI57>oBzp_n<6gxDb+&H=vS8M#6>Q zMpi?Nybn{$@C?dQh6^DX`2Z@3WF%Y&Ze$I_$mcM%4DX;UWw;QMkuRW<NJhei;6~O$ zjC>DM%kT}#Qicm58TkP!iDV>P2ySE@#K`Y3wG98DEM>S5l94~4l1N6vh2TckLyY_n zQ_H}{2Wwx#gpiD6fJ!162^WGJ*#I$;9j2Cn56V)83n3ZF0hL5D5-tQcvJqk=KTIuy z7?h<97eX>p04j-OBwPq?WD~?lahO^LIVejRE`(&H1XL2qNVpK($YzL<@-VdwYEYIk zTnNcX1*jyFk#Hfnku4A-)nRHG^q?$dxDb+&8c<0jBjG}DBU>Rx>ciABm_b>}a3Lfk z4WN=pM#6>QMz%qWG>55Wu!FLc;X+78T0kX{jD!opjckV)X%AD&;09$W!-bHHbbv}C z83`AH8`%Ld(jBIj!4Jw(h6^DX=>e5QG7>HXH?k9Aq(4k8Ll~5$3>QK&G5{)xWF%Y& zZe$n4$Z(iihBzoo87_omWCT<a$w;^m+{kW-k?}CK3~5l7GF%AB$ONb)l96yBxRE^& zBhz7O8FHX3Ww;R1sizrGNhBi?LM%*>Q%`##M&`oQG892s%5WhhBlDn=NJb)rU`F;q zj4Xz!WvGC%l;J{1MwUP&k&HwL!Hn#O7+DEZ%TNbpDZ_=3jI4r6A{mJgf*CmhVq`r` zEkg^Gr3@EBGO__GiDV=~2xjC&h>@)@wG3TQmNHxj$;dXSB$AN`A()YqAVzk>)G|zf zvXtRMNJjQRC6SCo2*He;3^8&dOfADSC`%bGgk<C-s3ek+2qBn}Qy@l8hpA<l17#`0 zg^-M#0hL5D5+MXLaw^2gxiGa1i=ZrJxDb+&^PrMQMk0h@MoxnmxfrIFVFi?>3>QK& zatTxt$w-6{%*g2wBUi%IGOUBLl;J{1My`TNA{mJgf*CmjV&r<5T81r9mNHxj$;b^* zNhBi?LNFs|LX6xBQ_HXm%2I|4AsM+1Dv4wyLI`H$EQpc2VQLu;Kv~LgAtWRBKqZlk zL<qr*oDDJZAWSX8F(^wJE`(&{A*dvhkq9A}k#itM9*3!AI0I!V!-bHHJOPzNG7=#K zGjcA($g?oD440rRWw;QMk>{Y2NJb)rU`Eb^7<n0{mf;4Jr3@EBGV%&k63Iw}5X{K= z5F>BG)H2+IvXtRMNJid*N+KDF5P})G0Al2Qm|BJ>P?j=W2+7C?P)Q^s5kfE{7eb7D z3RBDQ3d&N33n3Z#3@V9aBti&g<RXZXuVHE#K0sN@a3Lfk-#{gij6?{*j9d&c@*_+w z!#5~P87_om<R_>kl931@n2}2$Mt+B>W%vVSDZ_=3jQjzWL^2W~1T%6e#K^xewG2%B z&>jU`2+7EQFlC5y{SiViBbPypWQH2Vz`y}zDZ_=3jAVgIBDoSF1T%6u#7It<S_VES zOBpVNWF!|<63Iw}5X{IG5F`0vY8gbJEM>S5l92*XNhBi?La>wIS3-;wg{ftbg0ht1 zLP$o6K_!ulL<qrLxe8*WG)ygn0+gi;7eX>p1}ceUBti&g<Z6hKiZHbdYEYIkTnNcX zC8#8lkq9A}k!v7Es>9SW=s;P@a3LfkHK3A6Mk0h@My`bzsS8ufU<73;!-bHH)PqVQ z8Ho^r8MzK(q%lk_g9VhO3>QK&(gZ4rWF$feX5@N^k(MyE40ceKGF%ABNGqr$l931@ zn2{SGM%u&FGPpol%5WhhBORcUNJb)rU`B3)80iXA%isfLDZ_=3dK7L@NhBlTLhv5N zCWw)~FtrRJP?j=W2+2r4s3ek+a3Q#nn;}Mq!qhUvKv~LgAtWQippr;N!iC^QZh;sX z3scLG0%a+~g^-MlgGwS92^WGJxfNn$Doiay4wR(~7eX>J4JwIbBwPq?<Ti+rxiGa1 zB~X?!TnNd?Jg6j+k#Hfnk=r3gmcrCB)IeFva3Lfk%b=1-M#6>QM(%(ZSqoFk&;n&C z!-bHHtb<A-83`AH8@Ur=WGhT9Ll2at3>QK&vJEPUWF%Y&ZsabAk-adr3{#*iWw;QM zk$q4}BqQNMa3gm^jGPKn%P<GZQicm5895CqiDV>P2yWyah>>$)Y8jS5S;}xBBqQfR zC6SDT3&D-t3o&vjOfAD2C`%bGgk<D0s3ek+a3Q#n`yfWHg{fuO0%a+~g^-L~2bDxJ z5-tQcazDh#tuVC=d!Q_3xDb+&+n|z2M#6>QMjn6|xfiCE;Ruwa3>QK&avxL@$w;^m z+{l9vBagz=GMs_3l;J{1MjnGoA{hx6f*W}VV&qwvT81l7mNHxj$;fk1NhBlTLU1Dw zLyWu%Q_FA%%2I|4AsKlMDv4wyTnKLD5r~m@VQLwkKv~LgAtWR3K_!ulgbTrqJPI-L zDNHTH8z@T|E`(&{GpHnzk#Hfnk;foLzJ;k}_yT1q!-bHHd<T_8G7>HXH}W{d$geQ9 z41b_3Ww;QMk>8+_NJhei;6|Q+82J~bmVre8*06>NAsP7(E{3?R6($5X@+8DaR;WP? z3_MVlGF%ABNH(Y>k}Kgta3fDajO2x>We|a~l;J{1M)E-=k&J{3!Hql(F;Wz!mO%!} zQicm587T&pL^2XC1UK>w#7J3~S_Ty;OBpVNWTYHa63Ixo5ZuVK5F=G#Y8iB(EM>S5 zl96gqNhBlTLU1F`L5$Resbw&MvXtRMNJi>GC6SDT3&D*%4>8gdrk245%2I|4AsJ}~ zl|(WUE(AC70>nsLm|6xGC`%bGgk+>0R1(QZxDedPix4ASVQLwCpe$v$5R#E@P)Q^s z;X-gDFF}m-g{fr-fwGk0LP$pXK_!ulgbTrqybLii6sDFT2Fg-~3n3X929-oI5-tQc z@(RSrSeROd6evp>E`(%c98?m?NVpK($g2<|Q(<Zu(x5D5xDd0TpcVA`&1+!Q42;|k zTnqvX4%{Gu2So6K2tE+O4<ZCWgdm6z0ujO>LWDtpf#D~kTn5-IK4(|IEWb=gZ%;1= QKi4#n7zjHE<;XJw04=KM$^ZZW literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb new file mode 100644 index 0000000000000000000000000000000000000000..7d960f0977c8810ab08941f81e0232f3ddd49a1f GIT binary patch literal 7630 zcmWg2k!R*+U|7Jwz`)?}q)MKdqmNB41H@xwP*7lSc)#z=E{Al64O|R-&aQr0ewmKm zo?Z@qu4!CZuKt<HuHFvLS$Y-f;qCKRH#$TyFfjaw0*7!028QPDReXzE`YaZ;blEm7 z=5258=51WGkauxkLre3D#T`5yix#)Hbn)~r=I!Wd=;M*F;O*$>;c0K~UIh~CUf8md zw|j9zKkwq+#+E*1rFPzh9X-9>ytFi1k%57M2jqVS2Sx^l4J=HI4AxA{&5IXucqKb} z`8#H1tgumS&-aQr1rcUTaLr2d%#w5FF8qHyK@}8YYvrt&SUf#FUHMk6UbxV~!__6h z&%rAz<MFch42KshK44~qsB(C}Z><~?G6zW<!h{;c-Mo0AZDY%#j-C~L%a*BJ;7Qdx z4N<_1OFs#gfZYKx&YB4=n%Nh(^sQLb(xv=yk87(E>j8*b1{Mc@1_lOMXjp-Q2ZR|I zP=r~0k`q$E1iAz$aKItNz~Hcufq?-Q=tzkQ#M}u<UknTmObh~`IB{SE(aa7(%mNJm z9hS0!NLB^`hW`u<%nkv}0t^m|Sp^szm>C2Z9CmRDFhEFFhk7K(GB7!8=MrFW*uf>h zz=$ft=&%!{$x06DF9t?EI1^+&0|NtwfI|ZV0|UA$u;0K0*hH*q&=Ln4mjUcYQFMd< z|7T!eu#!i(56*<S4_yFkH@YC$X<!23K6Ew6X%3mq01kIibmb72!6K4@Q4h|9xeQ$Z z>@svgP%;8xNF0H}7F`8AAHo?74xlt3imnjkB1Twg#_(SW9%zaxFeW6AGf_Dcf%6D0 z%mf<@$qbwho(v2Os!)%>aw&)g6}Aizk3sUZ!v#>HW^>46U|>*(X=rWiZEv(~VTaHn z4(-gK+|t4<z`(@B$Y8(#R;>Y3y|`mx$0FMnb_k6_wI)<GZ%2E7Ps75+EBgCdY+E2a zLkLgAVKz2<w4geLyj=YpvpxNid>u2gGL!sW9bCaeyTL*`AVO2IyHy)%h5%S?hL>lu zXO^F<w?hI%U<X`a1Bx4%VSd$t>KAQpX>V8s@j`RQq7G06W!noDwE~M8!bN3KTmmu) zoYWmILc;qpsFb+^BCdjnYarq}h`0fgcc?^)KL&?OAPI;@DOe;iFfclR4Y6ZnU;yV9 zgbdgw2F}Ko&fa$0=EVyUEQq5Z@(c_NQm{w_nFe+Z+%$v?L^Z+;1Pk3XSR{i?1A7Z( z8Ye;&ViMS~=z;>t30WSV*@*fOLjW8zj1I{R3=HU+9cr-%@<VK6aL@+@5H=N11q@)5 z!2}0Ph=D;0mhM2F0Y?wWGhnwPWFX2B0fJzm2MsI*f=mO)a|j~?11CZhViMSRbU}=e zzz_ht9TGI?n!!PXE{GX4=qe!2VZaeIu<QWx3@E)ifII_sJ3<Cx0wO>VEVQ737s3Xx zl2-uHdUSAg^GJ3C2|%lRhyVivxZDC);|}M!1Q;~*p*fVp$0Nbd!@(m1%9ybOVx0r1 z^m4e(z`&qk08^Ufnc<lXQVL;UQECWP$_FVoAZ3h4280VOa%SuR2R{Rn4MtFH!brsh zq>O7^2o{4D77#H(LkuSxLk$oF7b*+kg-YW>hzO#X5i~@${UpeLCQ!{{NChUmfb+-z z3quP{xG<{ULB^PeK#k#4u!T1BAS_4~!k`0_clZh_u^1dW85kH~VvxvZU~n*IWMI(4 z5CzrT=z`#;AeaC*zQCyoT@9!P1GP6{G=sxhklk!DVNkci?FHF@E(op8VAWcS!$wfC zicKXn?Z6X}M~1_DkVP_}ZVROS53vdCN_0VJMF^`9TO2mQ>_Jz_0ZDis8E}Tf2e3U6 z*!+Vo2&p_#t5O)xVKdB5bX}0N3#~z6Ng2*{_z1Q?5}W<#g5cU0ONERo<gf+i5_J9G zoB*v%Avpn>JJ7@&K7ri?>OW$HJ-Q&IKF6rwkpvvJ!rX(d7m~FwGaIsq!)LHtqOpY! zx*)h&0QCzz2SXYQ7@`i_V6H+p1)N=>K?={V&|Hiu?C=HTK1jA;02R-m%*h1qAvvrD zS@8}m4<aGm8(5jkzy$7{!MLDSHV8xeW-wuJ{sa>Y3`ni#SZHv7dtl%^0n-4<CJYRq z4jXhp0Ex-K?r;<2sQC;G46p*6ff1b7z^1^(pvEBT5CjWSc3Pk-W#;7P1Yw5=P{$8s z0j$_(U<79}umx~2f)+41fXsl^2MmnhjKT@$L-m6VMHj@Vt}z6_ML4AXM%N6kztIIT z>u+=wQ1_tL-(WAm>Lvz8aJ~Y&7%m3Yh6r5*3mVSoN<m=^!iaE&)m;pX;LO4a=R*w# z+lVfR5hNG_NMVGo85~CFf|y~1t^(>FEMWwzK^Yjq`3dY|xENF$B4iLONEk7&IxJ#f zV6cE@3eeaYsFFeAgN?vd$uKaQ!jv*PG@}{>)+h#(2J=WX-r<4>NXHIl0R~+Rb3lz` zbU{|AE#N>vmt@NDXUgz*IK&7VfC2m363y30e6T_AnhwqY=SBtwMpKwlW(yb#tb>7p z0hGW%7?Q|9VS=s#ixve=W=;i8he(i5(UpT!47#8&)H<+F(It_53XT+Xc`Ob<Du8s+ zm1A`PND;^lgdD)Y01j#!w4g@fgG^x{t$t--G=&)eNp>(E*enJH1~GI2urtvGNwO3< zF`^p;4k2{G6sTjcT8$J%&=9gm3n3&v*dSusLJW+iFm2opa~K#HU_7u13=9lH&5O`L zGZrCm@dZvf4hTnqjQ|^pE-2Kz5EU$H#v%kZR2N+@IONa;Co+O9gNziQOCp6F*oltV zoQN)n#gkZsAWlTr3w9#9U?dZg6VWBno#=$kiRgkL_bgnrXdxngz)^!H1aTs|Ua%9< z1v5eA9H{&R73k=a=uULT=0tQsm=h6hggX&Q2;xL^y<jJz3mOU{g(A8nx)WWnIT2k@ zs2LoB3m3stB*=*%*C7i*oQSR$>_l|IpU6%`mqd4>D>f&h3xe{-qJ>D#SqRQ9XhIMt zqU!}a5nb@05K<_jOQJi`4Vx3u1tCsEq{Ky#91RXyxM(xPiRgO4PDB^%gw|K!vJhPo z-HGnloQN(6N{e8pphPrS1S#$zPDIxWb|Si9AgHYY%P#1W=uY&&=0tQsP$+_32XWOR zXegoyL7a%L7wklIK}k`hh(?!0cOqz19i<Bjst?cw!P#XYa$<yJ7gQmL6Vdg8oro^@ a5ZQ_7l1NTuU~u>b>fJ#+ghD!iW^w`3kKCmI literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb new file mode 100644 index 0000000000000000000000000000000000000000..874b5dc70d5e115200c25a5f926d639a4f88f3ec GIT binary patch literal 40399 zcmWg2k!R*+U|7Jwz`)?}q)MKd;~%4328hSVprF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+`V6vOHW<vevwMwnbl8Th1Yhfq~&a6gUJkFfcTCui|T8EGS}W%-i1F&D*$W zA@Ab8hL+|Pi#vEa7A<aX>Eh{M%-hk^(8nWT!Q0W%!_(f}y$U4Oy|85^Z};Mce%{5s zjV*o3O6|N0J9>J%d1+;?9RmXc6UfgD4vY*88(5hb8LXL@n-?$S@Je>{@^{S2SYe~u zp6?ZL3L?yw;F^`@nI-4UUHJcaf+{GK*2-Bkv3PoVy7H}By>Ov}hpS71pMzIc#^Yu0 z84fR2e89xS2vO$ne&1R-3?@`FfA`{+MGdx%EuFpXw#|zdPM@;4@6^E@FJ@f22^foH z8Po<LFHe6L*9`wmgcCE;CMCc8vtt(2fl!5<?F}7^Y`YgX^jLn&`8lKE>3w8JGYC5P zGcYiiLIVyIRv^s4fFjJ|lbnzOCeS57A;mIt=FF*332Uf999jOJey%RAUT_8jgTq1w z1_oFZL6aSuU)GGNTXr!pI505?FfcmgF)%QsK+WJ>(6OkiwXwIok<&oJNJ>;tR$S2G z0iyr|0|O%?BZDOa12<TG8dN=Ni*4t^9##=sNkbWjc4h$v28R}A0R~XeS}=fBrXy4~ zEndhfVk=~9h+QQp=YUcYbBk>Qvxu#L!)$EkWkStkZn14<7O@q^t||+rs@JxeSr$zd zGlL1lZP`#&YzYpY{s~@e8ysfN-!Kcsb#S#gP_?YRwu=^Zu*%vR8A)JQnG03P+}hsA zEGjODqKc6L8ZMwv2l<vID<e6BW!H|)JFu%Of|=IPypUNy7&Yve7;GRuDTb+PZ(PVM zE{H`HBZB~gLm#IAgF`=vm;fRsf`~~VVls%B0wSh@h-n~VI*6D7B4%<5Ffgz>1ThOR z{C8N&3L^bEK;#K9$;u$W@E@cgfLVaSVKJ)!gM%-J0E5FZ5Wx(xw-;o91Bm2ss7Ffs z3``E4APc%UK?xB>hS8xLq{+$*>N5sLJvb9w+%Pa;2skt_FfgF20+%dc0&F5yHE0D7 z8kYg=b5V4I|Nm!TV6ZYrxDU>Rxer|cY&W_f*lAz_;XZUV=w%x^p8*^zqUhQnF0??n z5YB|T5M2Q5LUci}<G=*Mh3IOql#N(~7#u+9MigB$#GRH1cfy%4ccKe`-H9#;b|RQS zxD#CsqG(327#u+9SQK3;*j3=<3M*k57#(`Ri4s<#GBE1FnJ}lK3xJ)9E(j{{K^T(! zLCF?f1-$x%GZ-8|8BG*jA;_7Gu!aZ2|5A7;Dwe~TkgAyxR5ycbH86oxC4q`-uo##? zl0afop&A974y`G{Y$W5Md{B+#5Xr#6AW;EzJdcaNt5<@Dzo&z5hBpew;Ss1_GJyG# z%g-@6!8O~_&C?mdoXo)Bum@DafXW_+-JAjp+*MG6S^PXQyjkYW*fASY!8z>b6kw3% zu7*mpFJ95x(bKY+-Pl4_LPo?Gs^|b%Q4LfP_ri|$#wCrm?Trf;a~n#C3z`VpiVGUT z6d&XiV36XjgDU3B@bvRY^>E0_Oz`w|&EnfJbKZ=tGaPp9+%R+Q)Ll^X4}r8exG*p< zG(xp;c_yW1Ie2C{W@R8T85kItxg91Vsbll>^K#9~08vx3G8_(r5-O-&$$+AYeet4( z#S0fN1k;9#7edrAGBEr{RmbM#=$V|A0irg;Ok=`O#on>FWzovT3&FG{%rs_9b&Glz zE$UdjkR3!zz|^r|sAEe?$jZpd08u+&wz9%iH9<p!&BwzLq{_!*B3u<4R#m=P8Eift z6X(LrV#iR$-QT--VQXVUU(e!&Ahuy^qkx<Y%!M2n>Upw~9kVigJRJRfvogS(9X=it z=fiE_#L&SF@^c5sn#BvjY>EEf#qf~l0;y;I|KH&VD3u-s5ywEpaS(9=M4SW>r$EGM z5OD@XoCOi*I0YC~VX1_fNrwr9L8TBAI8q@52Lq_>1`>7PV`N}JXkckxyPz3NfFyBf z5l7L&%%si)!gw_BqG`}$VrJ3<HFFqn*uamXfu(uvI*_B*HRG{E5?#xBke2oMv`B!o zfO8c{705lj9sNrd+jcB&SiErIiY5flaN!D2GGk^2=Ng!5F8`DSe;@zMj7(=Jb7w}T zv%^)?JO)$E-qEqBYvGC}5G}QEMH56FB9Fn<Em^pt38Zex!WBYrb>LhEN)gvUk$fFQ z+yKQiOcyAYK^PK3ASZy$cfg2gm<Dhpg9$uZATbTo0*Yl2#-jle(+Ca7F^$zxkeG&P zK#ysxS|Blvqy;6Wv1&oYbPKe!fE1d^3CYQxNK8=q$pnsLm^yY)DX?f!2Ro<)ShT3a z;XZ2Y!ql-jCp#u5d$KtvPfSksgs1|?Ehx?&fTHUmD7s+EK~V+5ke~#a3D$xcT`&#c zm;w`cv_PT@rUevLAdE)?B)Sk9kfRH$qae`*(|{gbShYZ+3rPz~bYay3jxLQ>XjHL+ z^CN@=7hjAHdqDvj%D}+T235lVZco8^ATKj8FrYLHP&g11K!YkUJ&agQfY=Hl8646W z85kHHUVtp0gKRm}I=1Fi$7GjgC<SSVINWAnV1Rpt4P0USLn*Ll7#JW*I-ovbarDaY zVsZ4^;f2)mLsi1s(7dp@nYE$Wu(=thB2Yudi^b0apE>P~AamLq(abpja&jlsJ#65r z%^OVZ@W!wXri>L-m34tg!!Be+CqZU)LCxX-dp{%78O+#$C@dlV>xL;y1~qPylRY^$ zdnTpsg6Ah_NWqk`g9>X<*6iqCVvNX|5VLxqW^rZtdw6;#dxOg8EdLpvp2@R8)<Om^ z9A<-D2UE(H<?rF=k-?VbKf})>1Jd$hfGFujG7Z{L<I3`%k(Idt)Mi6b+6Pt2xwxfa zWyh+9_JtspC76XAFyM3nQ_Q}2VQ+iWq6QEx-n6IzLt#JER1VLqRA<L5M=ua#GKhgR z(gE=~ic&Af1V6A+5CgZ;WG^qr<m5~bj$|*di691s(g{!pfRbl2D0wDhxDl?z&m$ul zl=3i@fLf-YdKMi28P1s*V8#yT%nS?*CqXUbY*^gT+}*gip&i5$2D31%hAUpw+}r?G z!nvrqSpdw!shG8+ueZ4cL<+ayRK${;?CHvqoIKMNw-QIM3|CN);8Eh|k>Lso65L8S zvRs|Byj|TgII>(PgBdt2<jTrS$Z`cI1Fo#h4Oy;`gn$@mgCqo4ps+4(X<E66b#aT( z%0)QM1O;w$A1L@hEMYki3q$c_Xq<3l`DZ40WVt$n88fn6oncu3oT{flm9Z~gxX5;K zUkm%<g+?G6-8}PIP=y>B-rf!#{;3%pJ7&*zm@$9r4hBf)6xtO8_0^zdtOGxn00S2b zOedJjz~CUj1sW;>^_XEYQ1uMp@wV3XMhDR76{xGuz`y`1yg|v3fq{V&i4E2Qt_IKr zxjQ<WJ8V1qS{Ak}LS{2SY7KPdD0VumVG&?pU}0vP3-v7n1EjV>;)87lWi3$2pW)^3 z9@NNyn2oNA88qV8(7ezAGzP~u56w&@KG;lnRk5(S+2I4iRCIM{cC*b#GZ%>uHWyaA zWOzAzM3{=MiWxNS*xtC%0X$U5z+k=%YAiV0XSk+ham?H`an}yWxHD*?084}`fFm5H z(*eX~U~o``M>tFd>@H9P4m7}u7U3(P_JSfDi4E2Qj&O8A<VZ$lLn9nrIkpIAbO2Q> zD-kw}A+ZrQqYENs8gS-u;NuctaCjiVz+i!{l$le36NDWiK_jg3%mNIIY^xCVfjx%A z2ipk=c~}*k0jdkXA|eo7HMCoU)WZQW9YB2$CI`@v$!e%|VlW2S5?BgH7X&vVG9Yb< z3~z_epoSFKXXpw+z5-!npRIvf1v4Cp54IG)&(Kw~BlWUCy)6e&Zwl<CwNQJ+U<|P7 zFk8_DQQH@=riQ~0nAaR?85kJQHNj1s$_R?Hbx<2&b|CS=D)IXaT{WnG3F~KqXa`Vd z5oC_}W~e<JS^gdl-kHu>9J}VvaG1SwGHBKZNA9x)=RTND2N0Km!NCrm`(QF43qTkp zCqRqatx$VGxetjAQVuBz(FKun95Nf4`_Pr6*a<CeA-QiG!e%ifHrQsu0^kgUt_`i^ zgXBzfMa-Q1oFMEF0n3>V3Ze`Q7*=Ap0ontA)&oS_zz3RBA>JB11r4Tm&<h#|kY$W) z+Y#Xl_6iao9L9KZ2Q)6xb)bx$B8{UufJRLj!5MT1)KW1R18fxo0|SNt*iGoFutp%d zB2eK2!iWOMfkB998?Z$n5jOCGCSKWgLcIqo4UqU?kKzqeF?7}7AV3$yV}wHkXtowq zXrXHY4VHl%;4lv~z|O$HV15?rQVx%V<RpizjAV`(8#Zrp*tKIb?&ez}xIBUBbO3P~ z7#xz|<q1p%WD^LZ<ZWnqavo|gs60VpgOo$c6Ldl3G6b0oO@rvlQS3x1Pc9&A7DHl# zZN?A)2R*tf$WQ`=gp4GBDnP7CL1XsNp?lY?49HOMc~HFqPKoFSV>JRYjSQMgb^y=Y zg6y(D*9j`9Kp3g8f~3TY2;YKDN8*EhjI{(|V6;G22QnOl!4^RB@Fl3xVlW2SKv<GT z7sTofbVZ=-3c`pi%gA;aY7NX_BtF<eTs}Zo2Qn9g!DfSWnu9tzpe_Xa;y&<154*7( zc#;Qq%C82ee3(855SM|$p$4AvVKN}&K^P?!LR0=-gnu}Z*dXPQl#ecmoZ6Au(3Fp^ z9K}wQlz$IlvltQ^Y%_)cIJKawLJY5fMp+zwf>JUlJ%U?E=!(JSf?^HSLW0zt=t{6! z0?Akq5-oe7>&KbBAXVFagonX4A@RYE!{QwVMlp0%SheD<;~W;i3Se}zSitr&FgPp* zwHm=y;RC26#b6Am5=de|7X%GNA%>(NEQjB)c(6cM4$87149>O=knY7psD+@Y0rj?# z_+YEChYGqXaHyaQV$q7IjL;QhRz@$OF5?DeNa!prHz-p=XKHb0%$eYf3DfTY;xaHe z%z|f3m<-s1I69cGp|*pnBqTOi3)r{lg2<T>nGMaD=*n?t%r^*|#gN!wn=u5yPC-`% zY7HO;H9eqAhhMOS0nVW4n!x6RQUM}^qAS5_8G$s2ZU!j*fiNNsLR+415#9#dgTx0r z4UeawL5!{geIg!pUfuyT1rMpa-a)MvgE7Dsg2DonBhUp2co<zdsFnd?a7_cvbnl@S z!mL2zgRREvS21+e;NU_R#A5^^6QXOv%!EIoF6DFaOm@p~fDKmjO_{lQ+75@AyS7fA zxNG7p+*xreI4i<TaR6}{7#z02vm#6e>}?!b@i){Bpsa|*25SNP9bFJP`ysQT=>%Ol z?yUF+VY3(#8*DRS0kGT9wSfj>5u@J_mIE_<L<n6uDCK}KBK1J(PIPtH?E;PYf``mO zgXaxkmIDhZc7bY6NI!`v3$c~>@D>5O3E)s<U|?_n7dW5>Dl^+(M1X^Rgv19c2hDtd zhsog#hrfuyF086S^CV!^Acn&~P5}nCe`vNK@gcULjD~}T#T|IL1VA%|pl%epYId+5 z1_toxAOj<8nKXkK5*w@p>?3qR0_g!=IVdfFFd{uLvN0m;1Y3c`2ips_0zMM}nG<l} z0ei>-T`j0+24QgV%)rRTjAk|xpP<?3YC-M>VX)gFB`+(~crh3QY$&Mbk1hcA8M+|y z6bodo#eox^ywMedtOjAQ_27aSlCsg&VYLZw%0@Q<<_Sc~W@2N5`V^GlLA44JAFLdl zL_yPka0WDGi=nIJL>B-%7+nx<44eTrkI^BSfq?;CF@_xuOR=aT#U89`S=?M)-M|C` zgTotU1_tmPA$X<<Q756h4W&+U&}U>|05@OIm4O2h6u6-B0BkOkg8-=EjV-XzCPy4* zG7B)Uv7^Nh5+7_hcxnt(7{D3OQb7z|CD`-mf^c1M23R}7<LDYtJPvY!xfs-iJT9Ke z4zL+so+&doJ8YgcYvSh3J7?goh(CiXVwedIAT9%g!xwl(43hy_1i~nlBDAv#TEzkC zB7-VoBsNGnq<?}gh+O$1v!N9+x^fgdQ97%jB_~ju#gNzto6!Xk6&`|xRP~`N2bBvT zj3^rz*`%PM4N6p?M1;f#+Y6dnhtIKt84mvubrHI1@NS0|+Xe^FVtlZEb5*DXJfP8g z@Ingb%nTmT2tIfYZSqb$lcr4Eph;7h2@W7G1A_xIH*C@rCIhw!N4rWLYCkACk=S4@ z;3SMLh#ZB;Y-n_%E5{w38VH-kkk|;D(FGy>e+Y>-3V^N@*C+rZn<m0mu=kMoV4ESc zGO#rapv4RhOyFA50W=+qu9~HJ(V}KB0WNJI^_dpb3NaW1Y%nYZp$p=zRL~W}O#(HS z7}>O;Ho?qB;)7M<^o$s~N^n@93*yl3kN_%DL9HNk4e-(`f&;P=)!YE;Le9qCMg6vo zeM=T{3d$PE+X~8=7~(Fcq`(Oqrq=<)WngfSh9_*84A_%661EZ4W>CULVuQ7SeTy!L zoS2c>(1eYy99zO>V>3qB3bq7^54IVSdLbk@4MR;wR|j272BjR{fm*DlXl5hv!DfRh zG|(cRjARD}NRkDWSlCp7*0p4~I<RvIFtC}SnTo`Rm<nC@lacJeh%gnKDp$}PjH?3& z$W(JQQ<3;!Q(?QXAe*rqK)aEkA%U(Ew2}w1o~OCRffZ!71)AANe6ZQjU0dM2TMnQt zPEeE4)xj14dAd5Vfy}i;GZ%>uHkSjwfDOiQ_=YI!(N!a_V_OVb$MzkhmVv?C7HSP| zM{{puN3-qX75$4>^zupw%L+;e+ZtQQ8(YZY&Kf4*tN}B_0mNlsa4_W-VBiHOIG7CB z#h~^ZxK4quO#~$*tm<&(bx;yQHxrV4AtX2vV>b~NO7L(42Nx&0Y1lQx1{u&>uApKE z-2|9l!HrvJK@4)Y9W<n2^4N-6Z0g{RGdKg<N(1e$L^BgD(}6vMZZ9ZbfR@fdvk+D_ zsH?wV3&9+?Ks_03ZUn6<gsm+E(GGt=1AEw1f?SBS012z@xO;~pY@n^a&}J7{FA^Ud zupsl13KVE&!=?(UaAI>rgd^BgBtFDc=-RprNPz-16`LxgkY#g1GZl#sHWi~VVPLdC zR|D$#f-t!E%jS$`A`%~LB3dEBz-WQ40%R5lL(FqQGY^RmHV=ET0a-MLt`?rL;0$mM zV{=8b1Bnl|14oaUfzbk8CCJ?%3~{>~nz=}Pu(^m<Hi89iZ!<7jpsNL$3c?VR-O)@& z;zLYESuTn+V8*~`fvy&0DhPv329L*hB1{)UVuMWvCq8sRNYMr%Aq5<G01K46(3OJs zR>AgIEnK|N0lcjTG@;Ar5YNEC;DxXoY!wn8Y(I{2msQIfs*w@g@PzTedKefOz->-2 z;m`qIA?5>B4r>Y`@xgj9a;XKn3Q*Svgu&e(EkCGv5bI$)uu)*^7lPWo3l}wmt!HBM zhbo6zkHiP-!L%M-1;}a;23yY-fMy;NA7UPQ@nC_j0%R5lgU!<lgqjEO4vYsj3hbRl z3qj5Jg)r{~L6yV2gTx2x!SoKg3Q!6JVQ?yB3q~^!i4QRk!#n6IKwbf1h<8Gu=0Usz z<AIF=duJiYI}737356<$c?XFP)`RICbQK`4fH1^6VQA(d@ge46cn4ht$Se>Bo2L~H zHILC@2?GNIj0ZLfw5t)c_ZpnepyR92Q01T@LL@d=y%@RxIM<;ILb5i5M9bjlN<sS* zK^qVuyA>V4JM=)=8!`lsu9{NYz?%k<HxNSE4xkNy4q$sh!|{-^B?b`=V0)4H;IP0^ zwy<c$LN!8?4~z%a15Q5hPA#NtiGwPKB_AX{SPy3ML018aY7mA*cLLNri1jcY*eI~| zXk|+xR5{FgBtBRVruFD5Kvsh=*m|}kH1m-75c4onFS-g)Mg(C<W=w{f2k{P!2Q~`q z9kj9~1*#n89V9+j52km}Re-DpVX*aVsc7aQ@ge46cn4ht$Se>Bo2LcZ4h3rOK{6wZ z2Q~^@X3RoZFNVYhtH%%kCsTA)kZcGc(J~{tQqUG<=uYM2tPBV6?pbiA056b4S52{P z@V&>NEyxbweYs%U5LqxA;d`)cNPKVz;K+ikS~*aSkVFIHf%QNV4XA!y1g&2g*>a)E zVTlHb57vX3XwX%FA{c}rk(>`T4`Mxx2Q~_9JxUg2WGjR!hgpxr2kQa#aFDwH42%}& zDnM3)FvR*IsCf|UVLY%=VCzw`3?o|!R5{FgBtBRVruFD5Kvsh=#QIXGc@XPiJg`w< z>rtvtMz(UOa+vi<e6Su&>(Nz!3Lg*#7eHDSQ1c);48{W+g)N8GK$U~4J0vz(Jvh;! z3qrCIghb0w=t{wx`C*&-S1w-Y0N#rZO5~6#rWRp0*eWDG*nXVpyAG-m62vebSPwXe z(W;nwsB%~kBk{p{Fw!^JHR!7FbfUEyp*BDq1ml4X2RjHY#WzEh!yJUf2kXI%b95D; z<Oaf!B-a8p4`Mxx2Q~_9Jz9!ygDQtvkHiP-!L%M-1;}a;hFISYH4kDvj0ZLfY&}|v z?}RFcS&zgA>%p`hT?Ht$gD@n;cR|gAq<9z)Y!tQ>-v?C=O7Tc+uzGOBqYFaPI)p?^ z@#soH2TDMWig5JJ%5VUmvw%qN{Ro@E79sJ$w&P6i6QCL)0Sx1T^?(ByExk{KDu)Fy z5+AGwBfVRos{jQq2txvRGSobX^)MdTD6sWtse39^Im~(_K3ETC{GzJ>B`pw!B(G^u z^B~s4cwnQz)}y8F8Bpag>yh|iJ($*`s{mOI!Vv3cLd}C%595K20$Y!kx@SX`!>mW* zgY{rqkFEj~@E{Bc{5eqbAgLS10~>`cbuWM_2c>Q#HdwtFx&Sy8q6<P2I)p?^?&wNE z=bRv)c>-fQfX@~IC45Ln23;Se_JWT~K{-1G#&G~2Xacqw(T-Y(2otc)NPKX(;LLZ6 zpc)}j595LLfTJEQ-z|nJhebUSAFKy6-=V7jr8*FXq`ak2^B~s4cwnQz)}!US<xu4? z>yh|iJ(wvET?NQ$5QbR40%{(_dKeFE6xe#Se76d!9A-TdAFKz{dUO>at3eoI{c5Or z5bI$)uu)*^(em9|sB)O~NPMs!OzY8Afbtv&L-O4^sCkfl2jhW_!j|tgL6w8@9TFR? zUW}jsI9Z~rf@DDmiIx%3m4fOW&^Q95Pl42#0Pp)iSB=#+Z1Wf3fl1J$2)YSiZ-GJ@ zG*#>XT3H8K>4dHht4%nv8+g+icsvr_6qaUqN(Y_f=&%ZO93aS2$d0|uNI{Rp2D=gL z0CYi6K180h0D02_T@ffDf-pESf){f!vu#1x1U49n54H{43;?<skeMJ1HkWNHnu$n! zu!)#60O%?}W`QuoylrUaA@RZH;hO=#P>VRnrlAis$p|`=2C@oaJJcF67z1oLC_#XR zvC#!_P5^<U+yY%WC=Y@#I3I#To{?=Q)IyjQNPMu>*g_s%4JedA7#va{gFu_D_aY1y zLt=vsB|`w5EYQt?WMK%2mXXnw;v9njXJmBMSZyPiz0gg;nY|!+8eKV7OYxQ-=q7*z z7Zd?#r3bn?tTw@?P2mj4{3$3CgV*h$n}TT@BilYitb;8<;)9iAOHSx&KuHONp~(q6 z8FUa~t{4&<Y$k>P*k*K9kW>O8(b5XKQrz8ZNW`J5$7&z;sa{ZETA=F(c@~7h9tP)2 zaLLcib_n5XupLNzu+OoDCb}As!5|Daob520iAa2~iI~MAx(bk4APh0@2%33Fe6V@= zibZs_h-14zr*}Dk&gg<p9UMp4B8J2U+dzf@I4sf4fusxwiIzUll@dxH=<2cBhc{!R zn*jDHmW++A4y#QB%Pn+MSeoHYK-6Xdq|<Q%5gTB4i4hb4I}2SEB&Z=IT7aV~#n}o2 z4<Mtfrr0*b!J4SU)u4_Kqy!+!N`m2zZVK-D0%S8I+et*ggZ+ra2S)_%6$0ogK^Yi? z!I>DE$<8257eiu$O$J+sE(nP_2#FSf=t}X-x3ir^*bBA>i4V3Lu_^(<f~`+LR}1nJ z2qXLJ0>XSTBsSP=3<0nU&{aXa2qDotimnuAN(DDF&{bo#jbO4tHw9;QWn{aE@H^Np zBtF>x;07dka}k0C-qHlxIgZc^RtpMO5Qc^=gZVY60zv4KIPlScwu@JH^a(<j#Yq?o z7#rFeTT95{UK-cS16mFZGs^+QWnggV;}KvG06Q5b19k%g0|O))AS5I*KrLf*Rggf$ zI9dm*iMV$KVlx?gy^c*KYl|)DJbf_f06xtfhbqwd{NPjiAqT&MR9%OLEzH;GyY8{6 zf%q9?5j5D(=;p!OcyI=!v4_oEtQ+&On~Ht?A6B&}tLm|;z_Cgnt6KQEYE3H_IdH?4 z-=X^&Tb+x|$KcF>b=wsa+bu-QfL(&b2S*lk@h_ADuK|WGgF{ydNy88ll9EA7kM5wE zkHiO?4^a;x!MeD#?n1Rd)|<h2VAbHYr0`RxVMkX$y7%{>+CU>KNNlh^F@geM&!elt zO!D9cFS;^NF#^Kiq6E_51(%QL>af}YN#>YY8)Oq!4OkCha<~avc?lZpfYj(jS&g0x zK~`Fz>jd?%K^W1?2Dj!J+3q948|+RbJ~+&wNd`(GryO*ZSgTV82CYX>8z2b*#seG9 zz`%f27bGb>hH3;Q1td0Drx>~b*zxFskXXSid_X}29(zL9fVI{Frvh}9Snb1>mBDs_ zM%B<w0Q&=_`OL`n1mR_{1xS3ba%eO_DdgBdSBbSdz`&sO9BKn3Hefuk;h@++KA0Ug zhYN{~7f_9$*g#@~b%NcBE{MHO0tJT!x>8WK17SqgV`O`Yun%lJ5+7_QGz_2=a!_EZ z#8TE&F)%Q^f?6R4V}K0?d61w0*h%QB2qrgl4Y-pVx=O6};Z1JnCcylL*hC5`W6;%M zwFzD!!x@m885En~VHk8%Fl}RGdkqb4P?`r-a7cWxa%faSDdZT%Pzf3NhPG?L1K<o= z@1Vv*QZ|eSHW^gmU}}M+>-SJ?plC;8gY}7_3xER$U65e3qiet&?dU48+J`sV(M<sR z0~F%$(Km><KOlJ<i49gRhAseh2D%{j78oc+SfDEf<!ul~<Zno)9bFwKx&T&-;rlq? z4DfCZMsPwzHv#M+kpJQPco`w%(C7+5?gL>YH$pnN9}yu1b`BCBY&bN%LMh~Ai=h&- z@DsWW6ukZux)b&@!VWPcHrRZyrRah<8-ozfp(_V@41^J$gQS8lNcJMJ5%!`BVm4{P z5st15WETh{*~j)3VHem-NPMt;&;W!|$l-{g5_2qsfsySyn)yh40_LNu#9DVkrb2!~ zEfIq;z(#}87pO!-7sS!>L{|n1DG){qE%5mAFQ{dpq6vu&wo(jT034?1g3x=`kos}p z=t5TpvK)jF4uIrObahzmz||uv5QH9MjBW~QCu=ikdJmG*ek1$~wh4(3_A@kTKq=%D zg02#4s}h>m{vvD<Lt=w%09%VLh$GFTD+2`}2qOZKk?kMCF0j!^e6W2`uRtkeA7QA( zn&v@QD1sK)!V(}7pMd%3DzT?|HWnuM`6FNtAn^%UfT0pg;m4rG2{j*5X~TG6qd}E6 zrXEPS#Rb&{${9#(us$(#0kFf-1qoK#=o(m>;VU2z<Imu(0lG@8_TjCx(M<sR0~Du- zN}G)v;bpJ|NPMtzXc~f2$VmxPB}RLgfkBH8YCa?;U_7wVpqRi+evp{phiU`G1QHvp zPmG`d*eB?!2u2OM2Hfo_bd^}`!y7f|CV)ML5;c%!G`c#hHo;rZa0Xg48r>B5SwM({ z0InGXkU|}a4R)Lux&YWI=z@4!Z|F)v;|3s%G<E<^_vq@d+JmDCMAr){I6)XuctXY} zz`hkk@+}e@;#+h9uq)67anyt8%0MmvVI)_8Cv(u%VYP!`J&0}!&U#P?;a{*#NPMuL zp?MriA!l`Tl?0Z~F)*@;pxK4QCtw$bN*@o<GFVWj47M7Ufssud&3q(20rSyS;$42o zz@Q}!wFy#y!FXUxKm{14>mUV~3{)Ga07GJf^@*VifTIIlkYIU*t^s#>g{~5-eR#_& zbQ8e-Kq;>n*<=x323vr{2P=mrEGUJXzA#i`RtpRaS_)9}Au$2tfsF>;xdgs12>B{J zl;xa|SW$!;0g4qQHrNcXo6!aFj5eVw1?6xMM&xovHYJ38VB?YaU^}5<0i}?G1XCqO z?F#8SC_}9fgE7DcgFJ{X0CoYoAi-3It^s!{LsyB_KD?<6-2|9d5Q9sMY${Oyf)XF7 zbA-ePD~E<9ltK<tbd}g!^IGaq3n0l1#sizpz`%gFxPT-w4X6R2I6z{9O#p`px*(oJ zhOQKpz(5!|k!d3A0~?RT2ipk^3MhpfBA6<1Br+|i6=E<3*kF(c(FMRRKo=yK$j~+5 zPGsmRvD$|>k)fLa^9phz(}wyNmdKF!VCB%Tgi^>silGv-ufd=Nx`Ptbzk)0ahVj5A zLzV?IvgxC_0ErJ)2eleXA-e!mB}Sw&KyQF!V333C*u4OnAi4-5E`f;4AmR#$0M8uV z0<ms`h&v$SE{M1XA|8Q=#~|Vfh<FMjo`HzxAOdt_68PF2@a-MoBlp3lkAqJ-2A{DC zKHm*|Dj4_#CGc4>;8}4-@MZ80`#=ZMfJsL1&Bw6Ibs3nzm(#<zpsR~P7<vOeOc;D! zJ(yr%K)RCH8XB?=;5*1cMF>m-IAB4Qx?h%uYf2XMf_esVhiC={2G|Y243I1QK>FZ9 zP>pOZ{;r_CpAZUs51%w>LI4!i42<9#eZk2UE(X=d-rlfi#o~rO80`SMB@R67h_13h ziVds>tU?-gIWPmG1Lz`Pu-R}iusQ|?n3*sdtP^ZDx=Jpn4zLPo*qy=*jNltEz-Gh6 zpekWz!f3EgPSB(j*vC*+V6EtaFfA||td)^Cy)1sNo*rPr;Q@yL1JSx1J(F4d96ggA zR)Eei7ejXvh5%NFb1vvu)YaP9+un#^K`ycnfSoypZm@$BKR75H7BLDiK#o}lr5<!; zpuGj&U;>g-K&P#PoeCxtz<y<50S6SAPymzCu=|`D7{NzHgB=YQ1KW!u5paSoxW|Yn zbU|1I!Dz6hjKt{$#}SwSJDzA=Xc2|(Br$XWu%FQd5z&KSAw?7@2GF&@FWH73;||GH z;8=r4F1nr<%#bjKL@p?0;f*c_(B*irTaqEgutPY~wb=~Zkoz{kXS9GfkAt_n625vF zY%uI@S_a4+ydZ<&Ld@XXv%r@!LGGLaxde7QDg)#eSda#|5R(IFsXlmVssrePHQ2SN z3{2pq7~m=g9P)4pNJub1?zIJ<>V?FFRyhtYK~)3DJlMsf3@qSl=fJnbfiHD)_yke{ ziXgZWsL7m-EuFpXw#|zdB3O{i{TRSbgk1{Czy!JD>kG^vxCD^~!7dJEU~~Z8QU>-W zTnuUk;+AIAJDWi~aQH(G8%5WK=V~*sA7HnPGBAOUN^$@l$_BO{E&;V461M0!34<e& z0TLXbtqI@^&IGv^41D!PIs*d(Tmoto#HHw>uq+Rw!CBt{bTBWvO0fIT1@YfD0Y4!Z zT^rm<ph^(C!|<C7N?WjdQyCZ`*9m|;4Htvk07_!$qA<_GXoRQHRf0W@E=a^-{)oeY z(KW*zhVVMN5@O5;1pw@VR|Y1?MH}$5*WeOR%Mcj}!9vbbuv=Xjn8CB5;FHP02gq{5 zWuPX3!vkGVAUPq+!!z5#!__6h&%rAz1496M8XhQFp=$;=Q_uzBW0&w0gsuYW9_X>n zpiy-WXoi5@6wAQm06KpXd|V{h*>DM{UPM?RSddV$KvxRtje#(vM+ORe*k!W}jNpS= zIpKV$;b0rl1u?<}LjWnD&^3bt3SAI>)G0im&{aU)gA!2S^-Jgq!N+)k39uu;<rJv; z02eM?;6=3HRm$MgFTqDuf=})QAG8TM>KuGZAb71J=tOsL2*3@1Is*~X2o@yFA*C7Y z5?BUC$mljGPs7EaW<bgdbWvDdhS7*Tjjj?Lkm!PNv-4o5dZVj=r%6c01-0yODgmVs z*hRGrjF4M4K?M<93~C)B5D+X#a9E%#Wk$aBPs~9Ov?O#90|Uciv<n1~_+U%0T#L!T zz-S6n#wZ43fmMTg`{)ATh(s3zwP8UwfJ5(Sg-m3iE5fc5?E<?CBA^cV4$xhO3qS;D zegLaRjO%6{K<XJ796-SUI<X6!QlS&aNPMuzK$nLv1YaS(u*(6|WB>&MOcA3Pj0IK; z3Iucku#3<Iu>}Hlg=l^TIUEvX=tg4Ij_Gr>Kx1HVPy!_gdk_H%j)Q2a0*MdyBF-h^ z3=B-BFvW}(Fcw%3=!9eBOJT*(WibT6o<UcI9%$fI1?Y-UQajRuT6A?dZ9qDX6SAxY zT_>nG2VqE&4!VE}JZpsH`9p{>1p6PwP0(a_9H9p66eK=a57tpXP#D7$GFre`VD%Vb zj4mriPynktum(E1QJ~-eVWc1dWkw7uvD<)_#KF;uX#+p{)uo6P%jk-6T7z_eD<p2w zb%Hzr!blzgSz`)Q$7pc^5pUpdM~~ZNP)4c+5wk(Wb`Sx|S3GEoGLZOSbHK~WK)1WV z8Q{hgD8^w*Aw$kE9#|JB)>~{FK$CjlGx^2PWx+v#E{NDYgkV8xXmHr0D+dJ#2qOZ; z6s82?BwmEyz^-C$v26xnhe@CjI!H0ghfo7{6A~Y+2hY(*paK`BoRJg80_y@*0HEp- zT@W0Im=}>Sg0BQYSB%v?I84B*7JM5Bn83Ue1hE1Tn(+nD0s@H-_7ZciZ8HcvOa=!Y zqbW=QB&=aPuv!KN1|05WU~u>fOM3EXHX`xCreG{J0tGls5hEvz1y&0SaCAW&^U#px zk?4xyCV{S+0Jj-IO(zy^0R{&d5Mc%)`gsH(DaQ;tA`7z`i4Qgi<?4A*et{`qw1Ba| z>Ogsg(~Ogu(~Q$0idld`3|$r+x9EbPgB&o54Rl4I+yTN!IRvJJ(ZU?zey}^xOAJuy zV1ZBrb_Eh2q6hWLdL~nt0!9lM3#<;qkLa>kO$U|n7}XxSPLTgV7|D+?C5#r92={~C zf#yd>sT6pz$p{{1gEYkvqF`Ovhod1^<)AAyg6M#g+)`;sR`i30c0k9NgZ+z;fhb2b znGq~V;~65)z`!5{>OVl5g^Z9LYjD#LG7!}WGY~9v(=w3U2D&@N0c08{LKI>W*s<t> z7(G1<0Z0x4551sk2KQLd1>yY>c-IeI1;jb9tBs(2WSF2-CX#1BB|OA42vLYauxHQ( zG2D(JfaDo;&0x=<3u1Z(T?NEBxIB}E<QY(j>i{~8m=hri(GB(tx*&$zF$9o2gRU9u z8FWER&!DS-I0u(!vXMLkx{?Cy8L-<CG7u9GNfp6DPqaBmrh%@ZK$wP*A>OoHB-5s$ zWKV<)@uuY=nFhW?8kC{HK1Ik7Z(0$OX){1>1D*a4HVq*|ylKTqrp-h#4Ix9cX%L0T Kq=Qh7JTm~!TLw`8 literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb new file mode 100644 index 0000000000000000000000000000000000000000..dece42f74ed16e79ef7ea3272d1cc21570949cb1 GIT binary patch literal 1892 zcmWg2k!R*+U|7Jwz`)?}q)MKd;~%4328hSVprF9u@P6N!T@L9C8@L$woL&90{4yQA zJ-r<KT+=u*{9Lm#T~o3=gX9ls983<B{|^NY!3+!x&E2c`+7}CoSQ_)TH+S<kE?UUD zxUZq5dBx%mo{mL}+grML`WN$d^fdJGNLcW8boB7FH+QcBiFGe*S;^bIxS^kSac^Tw zpR!Ur@4}9r-fmu6nJdb`z`z9ZF@pmm1H%SJ25Tnf=EVy+ypkQg{2jA0R@kVv=X*t* za(KUQtsDb`n1eq90|QJGNF@j}FrWyt_#`K!fC&Z$hXf?`@L&Toc23>03l#873<4m_ z1wd>U1_lN(sFQd*`j;%W?O5Efc;UhoO$eUh!WB&n3=GW74ik}7bNQzv`1|;0W@I`; znL9HwogF4Jfy`xK_|Jf%ntjQ_6-^6QG=XTLg)5pM>KHNAb#yH10;%ihSR@5k#{^Qx z{QtkhBqjj{hshvf3X=eXD$M1~Ogc;;3<_5!u+b2Lg8||g1_lQ{Mg|6i2A1Zv3!1?M z9xdW1T9}#CnLrqi23|A`dQ8krdf>>$;V6C-4J^%T*MS_ht{IOVlIU92gS4#2r$qv! z#Q_x4;?S7p@=Qw2a!5`{PWD7%g5sD79BVLjY|hD!$;qB<&dC##lRX_~qsA>v6?<!A zL*JrB9qg@*0&<HMbwJdC;}#TWb3oBG7ZhDE4WOt3VTd<Drh>INU_=*8130F@1RgDr z=z?hhMHL9+(Ey1qga+j3!s;kUbip*BM;BHtkmy3vf)ZU=wSc3Gfzg4LL4e^u1CPU0 zQ20#)5z|4$3=lCBM9cya^FYLW5U~J6ECdmYK*VAu0R~0~W>8+A0E#yU5Xt0Fk5oJ` zFgf&rl=g#^TEQ|C1EU_C2?{+11_lfPhX$mQ0IG-qR5F1u#87N1;H4a#!2tHAD7uCJ z|1&T!{)N^J4F4sd>6U@fp`3w%K?=qN6=Mt=7#Tq|$67gXkqIUk96)6p*j<cZrT|O} zxF7^oBwz-lM08jK3WU|*Kw1k53UDC7bTBZ1%UT#0<UtUI7QrxKaOn#sP#i7|b*Td* qq$FZ;n1mc~kWwlfS%?{}j00<cr5^@JJ^^Wf3o$vsGnfOYegyzfCS0@t literal 0 HcmV?d00001 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..f4d6768 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,17 @@ +0.7 +2020.2 +May 22 2024 +18:54:44 +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v,1708598507,verilog,,,,glbl,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/TWICtl.vhd,1746792867,vhdl,,,,twictl;twiutils,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v,1746792867,verilog,,,,audioProc,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audio_init.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/debounce.v,,audio_init,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd,1746798166,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,,,controlunit,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/debounce.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v,,debounce,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/fir.vhd,1746792867,vhdl,,,,fir,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,1746792867,vhdl,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/i2s_ctl.vhd,1746792867,vhdl,,,,i2s_ctl,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v,1746792867,verilog,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v,,\operativeUnit\,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd,1746792867,vhdl,,,,tb_firunit,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audio_init.v,,clk_wiz_0,,,,,,,, +/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,1746792867,verilog,,/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0.v,,clk_wiz_0_clk_wiz,,,,,,,, diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak new file mode 100644 index 0000000..65c1bae --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.ini.bak @@ -0,0 +1,490 @@ +std=$RDI_DATADIR/xsim/vhdl/std +ieee=$RDI_DATADIR/xsim/vhdl/ieee +ieee_proposed=$RDI_DATADIR/xsim/vhdl/ieee_proposed +vl=$RDI_DATADIR/xsim/vhdl/vl +synopsys=$RDI_DATADIR/xsim/vhdl/synopsys +uvm=$RDI_DATADIR/xsim/system_verilog/uvm +secureip=$RDI_DATADIR/xsim/verilog/secureip +unisim=$RDI_DATADIR/xsim/vhdl/unisim +unimacro=$RDI_DATADIR/xsim/vhdl/unimacro +unifast=$RDI_DATADIR/xsim/vhdl/unifast +unisims_ver=$RDI_DATADIR/xsim/verilog/unisims_ver +unimacro_ver=$RDI_DATADIR/xsim/verilog/unimacro_ver +unifast_ver=$RDI_DATADIR/xsim/verilog/unifast_ver +simprims_ver=$RDI_DATADIR/xsim/verilog/simprims_ver +axi_epu_v1_0_4=$RDI_DATADIR/xsim/ip/axi_epu_v1_0_4 +xlconcat_v2_1_6=$RDI_DATADIR/xsim/ip/xlconcat_v2_1_6 +emb_fifo_gen_v1_0_5=$RDI_DATADIR/xsim/ip/emb_fifo_gen_v1_0_5 +mipi_csi2_tx_ctrl_v1_0_6=$RDI_DATADIR/xsim/ip/mipi_csi2_tx_ctrl_v1_0_6 +c_mux_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bit_v12_0_9 +smartconnect_v1_0=$RDI_DATADIR/xsim/ip/smartconnect_v1_0 +v_smpte_uhdsdi_tx_v1_0_4=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_tx_v1_0_4 +cam_v3_0_0=$RDI_DATADIR/xsim/ip/cam_v3_0_0 +microblaze_v11_0_13=$RDI_DATADIR/xsim/ip/microblaze_v11_0_13 +axi_fifo_mm_s_v4_3_3=$RDI_DATADIR/xsim/ip/axi_fifo_mm_s_v4_3_3 +v_hdmi_rx1_v1_0_9=$RDI_DATADIR/xsim/ip/v_hdmi_rx1_v1_0_9 +video_frame_crc_v1_0_6=$RDI_DATADIR/xsim/ip/video_frame_crc_v1_0_6 +hdcp22_cipher_dp_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp22_cipher_dp_v1_0_2 +generic_baseblocks_v2_1_2=$RDI_DATADIR/xsim/ip/generic_baseblocks_v2_1_2 +axis_clock_converter_v1_1_32=$RDI_DATADIR/xsim/ip/axis_clock_converter_v1_1_32 +psx_vip_v1_0_4=$RDI_DATADIR/xsim/ip/psx_vip_v1_0_4 +g975_efec_i4_v1_0_22=$RDI_DATADIR/xsim/ip/g975_efec_i4_v1_0_22 +axi_lite_ipif_v3_0_4=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0_4 +axis_dbg_stub_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_stub_v1_0_1 +ethernet_1_10_25g_v2_7_15=$RDI_DATADIR/xsim/ip/ethernet_1_10_25g_v2_7_15 +an_lt_v1_0_12=$RDI_DATADIR/xsim/ip/an_lt_v1_0_12 +hdmi_gt_controller_v1_0_13=$RDI_DATADIR/xsim/ip/hdmi_gt_controller_v1_0_13 +axi_cdma_v4_1_31=$RDI_DATADIR/xsim/ip/axi_cdma_v4_1_31 +xilinx_vip=$RDI_DATADIR/xsim/ip/xilinx_vip +videoaxi4s_bridge_v1_0_7=$RDI_DATADIR/xsim/ip/videoaxi4s_bridge_v1_0_7 +mdm_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/mdm_riscv_v1_0_2 +axi_uartlite_v2_0_35=$RDI_DATADIR/xsim/ip/axi_uartlite_v2_0_35 +axi_perf_mon_v5_0_33=$RDI_DATADIR/xsim/ip/axi_perf_mon_v5_0_33 +axi_master_burst_v2_0_9=$RDI_DATADIR/xsim/ip/axi_master_burst_v2_0_9 +aie_ps_v1_0=$RDI_DATADIR/xsim/ip/aie_ps_v1_0 +ibert_lib_v1_0_11=$RDI_DATADIR/xsim/ip/ibert_lib_v1_0_11 +axi_datamover_v5_1_33=$RDI_DATADIR/xsim/ip/axi_datamover_v5_1_33 +noc_nps4_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps4_v1_0_0 +xbip_dsp48_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_addsub_v3_0_9 +v_axi4s_vid_out_v4_0_18=$RDI_DATADIR/xsim/ip/v_axi4s_vid_out_v4_0_18 +axi_chip2chip_v5_0_22=$RDI_DATADIR/xsim/ip/axi_chip2chip_v5_0_22 +gtwizard_ultrascale_v1_7_18=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_7_18 +axi_infrastructure_v1_1_0=$RDI_DATADIR/xsim/ip/axi_infrastructure_v1_1_0 +switch_core_top_v1_0_16=$RDI_DATADIR/xsim/ip/switch_core_top_v1_0_16 +vitis_net_p4_v2_1_0=$RDI_DATADIR/xsim/ip/vitis_net_p4_v2_1_0 +axi_sideband_util_v1_0_15=$RDI_DATADIR/xsim/ip/axi_sideband_util_v1_0_15 +axis_infrastructure_v1_1_1=$RDI_DATADIR/xsim/ip/axis_infrastructure_v1_1_1 +dist_mem_gen_v8_0_15=$RDI_DATADIR/xsim/ip/dist_mem_gen_v8_0_15 +shell_utils_addr_remap_v1_0_10=$RDI_DATADIR/xsim/ip/shell_utils_addr_remap_v1_0_10 +xbip_addsub_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_addsub_v3_0_9 +dds_compiler_v6_0_25=$RDI_DATADIR/xsim/ip/dds_compiler_v6_0_25 +bscan_axi_v1_0_2=$RDI_DATADIR/xsim/ip/bscan_axi_v1_0_2 +fifo_generator_v13_2_10=$RDI_DATADIR/xsim/ip/fifo_generator_v13_2_10 +dprx_fec_8b10b_v1_0_3=$RDI_DATADIR/xsim/ip/dprx_fec_8b10b_v1_0_3 +pcie_axi4lite_tap_v1_0_2=$RDI_DATADIR/xsim/ip/pcie_axi4lite_tap_v1_0_2 +av_pat_gen_v2_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v2_0_2 +polar_v1_1_4=$RDI_DATADIR/xsim/ip/polar_v1_1_4 +v_tpg_v8_2_5=$RDI_DATADIR/xsim/ip/v_tpg_v8_2_5 +tcc_encoder_3gpplte_v4_0_19=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpplte_v4_0_19 +axis_accelerator_adapter_v2_1_18=$RDI_DATADIR/xsim/ip/axis_accelerator_adapter_v2_1_18 +mem_pl_v1_0_2=$RDI_DATADIR/xsim/ip/mem_pl_v1_0_2 +perf_axi_tg_v1_0_13=$RDI_DATADIR/xsim/ip/perf_axi_tg_v1_0_13 +trace_hub_v1_1_0=$RDI_DATADIR/xsim/ip/trace_hub_v1_1_0 +axi_pcie_v2_9_11=$RDI_DATADIR/xsim/ip/axi_pcie_v2_9_11 +axi_emc_v3_0_31=$RDI_DATADIR/xsim/ip/axi_emc_v3_0_31 +tsn_endpoint_ethernet_mac_block_v1_0_16=$RDI_DATADIR/xsim/ip/tsn_endpoint_ethernet_mac_block_v1_0_16 +soft_ecc_proxy_v1_1_1=$RDI_DATADIR/xsim/ip/soft_ecc_proxy_v1_1_1 +axi_apb_bridge_v3_0_20=$RDI_DATADIR/xsim/ip/axi_apb_bridge_v3_0_20 +axis_broadcaster_v1_1_30=$RDI_DATADIR/xsim/ip/axis_broadcaster_v1_1_30 +axis_dwidth_converter_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_sc_v1_1 +vid_edid_v1_0_2=$RDI_DATADIR/xsim/ip/vid_edid_v1_0_2 +noc_nidb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nidb_v1_0_0 +v_vid_in_axi4s_v5_0_4=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v5_0_4 +v_dual_splitter_v1_0_11=$RDI_DATADIR/xsim/ip/v_dual_splitter_v1_0_11 +axis_ila_txns_cntr_v1_0_0=$RDI_DATADIR/xsim/ip/axis_ila_txns_cntr_v1_0_0 +pl_fileio_v1_0_0=$RDI_DATADIR/xsim/ip/pl_fileio_v1_0_0 +msm_cpp=$RDI_DATADIR/xsim/ip/msm_cpp +debug_tcp_server_v1=$RDI_DATADIR/xsim/ip/debug_tcp_server_v1 +v_frmbuf_wr_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_5_2 +v_smpte_uhdsdi_rx_v1_0_3=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_rx_v1_0_3 +mpegtsmux_v1_1_9=$RDI_DATADIR/xsim/ip/mpegtsmux_v1_1_9 +noc_nps_v1_0_1=$RDI_DATADIR/xsim/ip/noc_nps_v1_0_1 +v_multi_scaler_v1_2_6=$RDI_DATADIR/xsim/ip/v_multi_scaler_v1_2_6 +x5io_wizard_v1_0_4=$RDI_DATADIR/xsim/ip/x5io_wizard_v1_0_4 +axi_remapper_rx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_rx_v1_0_2 +emu_perf_common_v1_0=$RDI_DATADIR/xsim/ip/emu_perf_common_v1_0 +sem_ultra_v3_1_26=$RDI_DATADIR/xsim/ip/sem_ultra_v3_1_26 +axi_utils_v2_0_9=$RDI_DATADIR/xsim/ip/axi_utils_v2_0_9 +v_tc_v6_2_8=$RDI_DATADIR/xsim/ip/v_tc_v6_2_8 +ieee802d3_clause74_fec_v1_0_18=$RDI_DATADIR/xsim/ip/ieee802d3_clause74_fec_v1_0_18 +jesd204c_v4_2_14=$RDI_DATADIR/xsim/ip/jesd204c_v4_2_14 +xtlm=$RDI_DATADIR/xsim/ip/xtlm +hdmi_acr_ctrl_v1_0_3=$RDI_DATADIR/xsim/ip/hdmi_acr_ctrl_v1_0_3 +icap_arb_v1_0_2=$RDI_DATADIR/xsim/ip/icap_arb_v1_0_2 +nvme_tc_v3_0_6=$RDI_DATADIR/xsim/ip/nvme_tc_v3_0_6 +axi4svideo_bridge_v1_0_18=$RDI_DATADIR/xsim/ip/axi4svideo_bridge_v1_0_18 +jtag_axi=$RDI_DATADIR/xsim/ip/jtag_axi +axi_intc_v4_1_19=$RDI_DATADIR/xsim/ip/axi_intc_v4_1_19 +v_demosaic_v1_1_11=$RDI_DATADIR/xsim/ip/v_demosaic_v1_1_11 +pcie_jtag_v1_0_1=$RDI_DATADIR/xsim/ip/pcie_jtag_v1_0_1 +xlslice_v1_0_4=$RDI_DATADIR/xsim/ip/xlslice_v1_0_4 +axi_vfifo_ctrl_v2_0_34=$RDI_DATADIR/xsim/ip/axi_vfifo_ctrl_v2_0_34 +gmii_to_rgmii_v4_1_14=$RDI_DATADIR/xsim/ip/gmii_to_rgmii_v4_1_14 +util_reduced_logic_v2_0_6=$RDI_DATADIR/xsim/ip/util_reduced_logic_v2_0_6 +nvmeha_v1_0_12=$RDI_DATADIR/xsim/ip/nvmeha_v1_0_12 +axi_c2c_v1_0_9=$RDI_DATADIR/xsim/ip/axi_c2c_v1_0_9 +ft_prach_v1_2_2=$RDI_DATADIR/xsim/ip/ft_prach_v1_2_2 +v_smpte_uhdsdi_v1_0_11=$RDI_DATADIR/xsim/ip/v_smpte_uhdsdi_v1_0_11 +axi_pmon_v1_0_1=$RDI_DATADIR/xsim/ip/axi_pmon_v1_0_1 +gtwizard_ultrascale_v1_6_16=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_6_16 +gigantic_mux=$RDI_DATADIR/xsim/ip/gigantic_mux +displayport_v7_0_24=$RDI_DATADIR/xsim/ip/displayport_v7_0_24 +msm_adapters=$RDI_DATADIR/xsim/ip/msm_adapters +v_frmbuf_wr_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_wr_v2_2_10 +fast_adapter_v1_0_8=$RDI_DATADIR/xsim/ip/fast_adapter_v1_0_8 +rst_vip_v1_0_6=$RDI_DATADIR/xsim/ip/rst_vip_v1_0_6 +v_hdmi_phy1_v1_0_12=$RDI_DATADIR/xsim/ip/v_hdmi_phy1_v1_0_12 +pcie_qdma_mailbox_v1_0_5=$RDI_DATADIR/xsim/ip/pcie_qdma_mailbox_v1_0_5 +pr_decoupler_v1_0_11=$RDI_DATADIR/xsim/ip/pr_decoupler_v1_0_11 +v_hdmi_tx1_v1_0_8=$RDI_DATADIR/xsim/ip/v_hdmi_tx1_v1_0_8 +processing_system7_v5_5_6=$RDI_DATADIR/xsim/ip/processing_system7_v5_5_6 +axis_cap_ctrl_v1_0_1=$RDI_DATADIR/xsim/ip/axis_cap_ctrl_v1_0_1 +common_rpc_v1=$RDI_DATADIR/xsim/ip/common_rpc_v1 +xtlm_ipc_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ipc_v1_0 +v_tpg_v8_1_10=$RDI_DATADIR/xsim/ip/v_tpg_v8_1_10 +v_hcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hcresampler_v1_1_11 +axi_interface_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/axi_interface_monitor_v1_1_0 +axi_intc_v4_1=$RDI_DATADIR/xsim/ip/axi_intc_v4_1 +mammoth_transcode_v1_0_2=$RDI_DATADIR/xsim/ip/mammoth_transcode_v1_0_2 +axi_timebase_wdt_v3_0_23=$RDI_DATADIR/xsim/ip/axi_timebase_wdt_v3_0_23 +floating_point_v7_0_23=$RDI_DATADIR/xsim/ip/floating_point_v7_0_23 +displayport_v9_0_9=$RDI_DATADIR/xsim/ip/displayport_v9_0_9 +noc_hbm_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_v1_0_0 +versal_cips_ps_vip_v1_0_9=$RDI_DATADIR/xsim/ip/versal_cips_ps_vip_v1_0_9 +amm_axi_bridge_v1_0_17=$RDI_DATADIR/xsim/ip/amm_axi_bridge_v1_0_17 +v_vid_gt_bridge_v2_0_5=$RDI_DATADIR/xsim/ip/v_vid_gt_bridge_v2_0_5 +v_gamma_lut_v1_1_11=$RDI_DATADIR/xsim/ip/v_gamma_lut_v1_1_11 +rld3_pl_v1_0_14=$RDI_DATADIR/xsim/ip/rld3_pl_v1_0_14 +l_ethernet_v3_3_10=$RDI_DATADIR/xsim/ip/l_ethernet_v3_3_10 +ernic_v4_0_3=$RDI_DATADIR/xsim/ip/ernic_v4_0_3 +fec_5g_common_v1_1_4=$RDI_DATADIR/xsim/ip/fec_5g_common_v1_1_4 +sim_qdma_sc_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_sc_v1_0 +dfx_bitstream_monitor_v1_0_5=$RDI_DATADIR/xsim/ip/dfx_bitstream_monitor_v1_0_5 +axi_mmu_v2_1_29=$RDI_DATADIR/xsim/ip/axi_mmu_v2_1_29 +v_sdi_rx_vid_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_sdi_rx_vid_bridge_v2_0_2 +v_csc_v1_1_11=$RDI_DATADIR/xsim/ip/v_csc_v1_1_11 +usxgmii_v1_2_17=$RDI_DATADIR/xsim/ip/usxgmii_v1_2_17 +trace_s2mm_v2_0_1=$RDI_DATADIR/xsim/ip/trace_s2mm_v2_0_1 +ieee802d3_rs_fec_v2_0_22=$RDI_DATADIR/xsim/ip/ieee802d3_rs_fec_v2_0_22 +v_hdmi_tx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_tx_v3_0_4 +ldpc_5gnr_v1_0_3=$RDI_DATADIR/xsim/ip/ldpc_5gnr_v1_0_3 +rama_v1_1_17_lib=$RDI_DATADIR/xsim/ip/rama_v1_1_17_lib +rs_decoder_v9_0_22=$RDI_DATADIR/xsim/ip/rs_decoder_v9_0_22 +ieee802d3_400g_rs_fec_v3_0_2=$RDI_DATADIR/xsim/ip/ieee802d3_400g_rs_fec_v3_0_2 +xscl=$RDI_DATADIR/xsim/ip/xscl +iomodule_v3_1_10=$RDI_DATADIR/xsim/ip/iomodule_v3_1_10 +axis_mem_v1_0_2=$RDI_DATADIR/xsim/ip/axis_mem_v1_0_2 +axi_tft_v2_0_28=$RDI_DATADIR/xsim/ip/axi_tft_v2_0_28 +fc32_rs_fec_v1_0_27=$RDI_DATADIR/xsim/ip/fc32_rs_fec_v1_0_27 +axi_remapper_tx_v1_0_2=$RDI_DATADIR/xsim/ip/axi_remapper_tx_v1_0_2 +dfx_axi_shutdown_manager_v1_0_3=$RDI_DATADIR/xsim/ip/dfx_axi_shutdown_manager_v1_0_3 +util_vector_logic_v2_0_4=$RDI_DATADIR/xsim/ip/util_vector_logic_v2_0_4 +axis_ila_intf_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_intf_v1_0_2 +axi_amm_bridge_v1_0_21=$RDI_DATADIR/xsim/ip/axi_amm_bridge_v1_0_21 +xpm_cdc_gen_v1_0_4=$RDI_DATADIR/xsim/ip/xpm_cdc_gen_v1_0_4 +c_accum_v12_0_18=$RDI_DATADIR/xsim/ip/c_accum_v12_0_18 +cmac_v2_6_15=$RDI_DATADIR/xsim/ip/cmac_v2_6_15 +axi_firewall_v1_2_6=$RDI_DATADIR/xsim/ip/axi_firewall_v1_2_6 +dft_v4_2_8=$RDI_DATADIR/xsim/ip/dft_v4_2_8 +ernic_v3_1_5=$RDI_DATADIR/xsim/ip/ernic_v3_1_5 +xsdbs_v1_0_4=$RDI_DATADIR/xsim/ip/xsdbs_v1_0_4 +stm_v1_0=$RDI_DATADIR/xsim/ip/stm_v1_0 +axi_timer_v2_0_33=$RDI_DATADIR/xsim/ip/axi_timer_v2_0_33 +lmb_v10_v3_0_14=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0_14 +v_deinterlacer_v5_1_4=$RDI_DATADIR/xsim/ip/v_deinterlacer_v5_1_4 +axis_data_fifo_v1_1_32=$RDI_DATADIR/xsim/ip/axis_data_fifo_v1_1_32 +hw_trace=$RDI_DATADIR/xsim/ip/hw_trace +sim_xdma_sc_v1=$RDI_DATADIR/xsim/ip/sim_xdma_sc_v1 +axi_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi_vip_v1_1_17 +mipi_dphy_v4_3_11=$RDI_DATADIR/xsim/ip/mipi_dphy_v4_3_11 +ieee802d3_50g_rs_fec_v2_0_17=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v2_0_17 +xdfe_equalizer_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_equalizer_v1_0_9 +flexo_100g_rs_fec_v1_0_28=$RDI_DATADIR/xsim/ip/flexo_100g_rs_fec_v1_0_28 +v_uhdsdi_audio_v2_0_8=$RDI_DATADIR/xsim/ip/v_uhdsdi_audio_v2_0_8 +v_tc_v6_1_14=$RDI_DATADIR/xsim/ip/v_tc_v6_1_14 +ddr4_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/ddr4_pl_phy_v1_0_2 +sem_v4_1_15=$RDI_DATADIR/xsim/ip/sem_v4_1_15 +c_shift_ram_v12_0_17=$RDI_DATADIR/xsim/ip/c_shift_ram_v12_0_17 +lib_pkg_v1_0_4=$RDI_DATADIR/xsim/ip/lib_pkg_v1_0_4 +bs_switch_v1_0_4=$RDI_DATADIR/xsim/ip/bs_switch_v1_0_4 +shell_utils_msp432_bsl_crc_gen_v1_0_2=$RDI_DATADIR/xsim/ip/shell_utils_msp432_bsl_crc_gen_v1_0_2 +axis_dbg_sync_v1_0_1=$RDI_DATADIR/xsim/ip/axis_dbg_sync_v1_0_1 +high_speed_selectio_wiz_v3_6_9=$RDI_DATADIR/xsim/ip/high_speed_selectio_wiz_v3_6_9 +emc_common_v3_0_7=$RDI_DATADIR/xsim/ip/emc_common_v3_0_7 +fir_compiler_v7_2_22=$RDI_DATADIR/xsim/ip/fir_compiler_v7_2_22 +microblaze_mcs_v2_3_7=$RDI_DATADIR/xsim/ip/microblaze_mcs_v2_3_7 +oran_radio_if_v3_2_0=$RDI_DATADIR/xsim/ip/oran_radio_if_v3_2_0 +i2s_transmitter_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_transmitter_v1_0_8 +floating_point_v7_1_18=$RDI_DATADIR/xsim/ip/floating_point_v7_1_18 +sim_trig_v1_0_12=$RDI_DATADIR/xsim/ip/sim_trig_v1_0_12 +hbm2e_pl_v1_0_1=$RDI_DATADIR/xsim/ip/hbm2e_pl_v1_0_1 +axis_protocol_checker_v2_0_15=$RDI_DATADIR/xsim/ip/axis_protocol_checker_v2_0_15 +vitis_deadlock_detector_v1_0_2=$RDI_DATADIR/xsim/ip/vitis_deadlock_detector_v1_0_2 +axi_msg_v1_0_11=$RDI_DATADIR/xsim/ip/axi_msg_v1_0_11 +c_compare_v12_0_9=$RDI_DATADIR/xsim/ip/c_compare_v12_0_9 +c_mux_bus_v12_0_9=$RDI_DATADIR/xsim/ip/c_mux_bus_v12_0_9 +xsdbm_v3_0_2=$RDI_DATADIR/xsim/ip/xsdbm_v3_0_2 +rld3_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/rld3_pl_phy_v1_0_2 +mpram_v1_0_4=$RDI_DATADIR/xsim/ip/mpram_v1_0_4 +oddr_v1_0_4=$RDI_DATADIR/xsim/ip/oddr_v1_0_4 +bs_mux_v1_0_1=$RDI_DATADIR/xsim/ip/bs_mux_v1_0_1 +ptp_1588_timer_syncer_v2_0_6=$RDI_DATADIR/xsim/ip/ptp_1588_timer_syncer_v2_0_6 +dfx_controller_v1_0_7=$RDI_DATADIR/xsim/ip/dfx_controller_v1_0_7 +noc_ncrb_v1_0_0=$RDI_DATADIR/xsim/ip/noc_ncrb_v1_0_0 +rs_toolbox_v9_0_13=$RDI_DATADIR/xsim/ip/rs_toolbox_v9_0_13 +pc_cfr_v8_0_2=$RDI_DATADIR/xsim/ip/pc_cfr_v8_0_2 +multi_channel_25g_rs_fec_v1_0_26=$RDI_DATADIR/xsim/ip/multi_channel_25g_rs_fec_v1_0_26 +lmb_bram_if_cntlr_v4_0_24=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0_24 +hdcp_keymngmt_blk_v1_0_2=$RDI_DATADIR/xsim/ip/hdcp_keymngmt_blk_v1_0_2 +axi_lite_ipif_v3_0=$RDI_DATADIR/xsim/ip/axi_lite_ipif_v3_0 +compact_gt_v1_0_17=$RDI_DATADIR/xsim/ip/compact_gt_v1_0_17 +v_vcresampler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vcresampler_v1_1_11 +mipi_dsi2_rx_ctrl_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_dsi2_rx_ctrl_v1_0_0 +axi_quad_spi_v3_2_30=$RDI_DATADIR/xsim/ip/axi_quad_spi_v3_2_30 +div_gen_v5_1_22=$RDI_DATADIR/xsim/ip/div_gen_v5_1_22 +v_frmbuf_rd_v2_2_10=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_2_10 +can_v5_1_3=$RDI_DATADIR/xsim/ip/can_v5_1_3 +axi_pcie3_v3_0_30=$RDI_DATADIR/xsim/ip/axi_pcie3_v3_0_30 +emb_mem_gen_v1_0_9=$RDI_DATADIR/xsim/ip/emb_mem_gen_v1_0_9 +noc2_xbr2x4_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr2x4_v1_0_0 +xbip_counter_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_counter_v3_0_9 +axi_jtag_v1_0_2=$RDI_DATADIR/xsim/ip/axi_jtag_v1_0_2 +tmr_manager_v1_0_12=$RDI_DATADIR/xsim/ip/tmr_manager_v1_0_12 +noc_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nmu_sim_v1_0_0 +mipi_csi2_rx_ctrl_v1_0_10=$RDI_DATADIR/xsim/ip/mipi_csi2_rx_ctrl_v1_0_10 +axi_bram_ctrl_v4_0_15=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_0_15 +axi_gpio_v2_0_33=$RDI_DATADIR/xsim/ip/axi_gpio_v2_0_33 +rs_encoder_v9_0_21=$RDI_DATADIR/xsim/ip/rs_encoder_v9_0_21 +rwd_tlmmodel_v1=$RDI_DATADIR/xsim/ip/rwd_tlmmodel_v1 +axi_bram_ctrl_v4_1_10=$RDI_DATADIR/xsim/ip/axi_bram_ctrl_v4_1_10 +uram_rd_back_v1_0_4=$RDI_DATADIR/xsim/ip/uram_rd_back_v1_0_4 +lib_fifo_v1_0_19=$RDI_DATADIR/xsim/ip/lib_fifo_v1_0_19 +canfd_v3_0_10=$RDI_DATADIR/xsim/ip/canfd_v3_0_10 +hdcp22_rng_v1_0_3=$RDI_DATADIR/xsim/ip/hdcp22_rng_v1_0_3 +xfft_v9_1_12=$RDI_DATADIR/xsim/ip/xfft_v9_1_12 +axi_memory_init_v1_0_12=$RDI_DATADIR/xsim/ip/axi_memory_init_v1_0_12 +common_cpp_v1_0=$RDI_DATADIR/xsim/ip/common_cpp_v1_0 +ai_pl_trig=$RDI_DATADIR/xsim/ip/ai_pl_trig +c_reg_fd_v12_0_9=$RDI_DATADIR/xsim/ip/c_reg_fd_v12_0_9 +axi_mm2s_mapper_v1_1_30=$RDI_DATADIR/xsim/ip/axi_mm2s_mapper_v1_1_30 +ldpc_v2_0_15=$RDI_DATADIR/xsim/ip/ldpc_v2_0_15 +sim_cpu_v1_0=$RDI_DATADIR/xsim/ip/sim_cpu_v1_0 +axi_data_fifo_v2_1_30=$RDI_DATADIR/xsim/ip/axi_data_fifo_v2_1_30 +g709_fec_v2_4_10=$RDI_DATADIR/xsim/ip/g709_fec_v2_4_10 +axi_mcdma_v1_1_12=$RDI_DATADIR/xsim/ip/axi_mcdma_v1_1_12 +axi_lmb_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/axi_lmb_bridge_v1_0_3 +lte_fft_v2_1_10=$RDI_DATADIR/xsim/ip/lte_fft_v2_1_10 +axi_interconnect_v1_7_23=$RDI_DATADIR/xsim/ip/axi_interconnect_v1_7_23 +axi_dwidth_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_dwidth_converter_v2_1_31 +axi_usb2_device_v5_0_32=$RDI_DATADIR/xsim/ip/axi_usb2_device_v5_0_32 +axi_hwicap_v3_0_35=$RDI_DATADIR/xsim/ip/axi_hwicap_v3_0_35 +ldpc_5gnr_lite_v1_0_2=$RDI_DATADIR/xsim/ip/ldpc_5gnr_lite_v1_0_2 +fifo_generator_v13_1_5=$RDI_DATADIR/xsim/ip/fifo_generator_v13_1_5 +axi_protocol_checker_v2_0_17=$RDI_DATADIR/xsim/ip/axi_protocol_checker_v2_0_17 +c_counter_binary_v12_0_19=$RDI_DATADIR/xsim/ip/c_counter_binary_v12_0_19 +axis_ila_pp_v1_0_2=$RDI_DATADIR/xsim/ip/axis_ila_pp_v1_0_2 +mem_tg_v1_0_13=$RDI_DATADIR/xsim/ip/mem_tg_v1_0_13 +xbip_utils_v3_0_13=$RDI_DATADIR/xsim/ip/xbip_utils_v3_0_13 +aie_xtlm_v1_0_0=$RDI_DATADIR/xsim/ip/aie_xtlm_v1_0_0 +xtlm_ap_ctrl_v1_0=$RDI_DATADIR/xsim/ip/xtlm_ap_ctrl_v1_0 +v_vid_in_axi4s_v4_0_11=$RDI_DATADIR/xsim/ip/v_vid_in_axi4s_v4_0_11 +cmac_usplus_v3_1_17=$RDI_DATADIR/xsim/ip/cmac_usplus_v3_1_17 +xdfe_common_v1_0_3=$RDI_DATADIR/xsim/ip/xdfe_common_v1_0_3 +viterbi_v9_1_17=$RDI_DATADIR/xsim/ip/viterbi_v9_1_17 +xdfe_nr_prach_v2_0_3=$RDI_DATADIR/xsim/ip/xdfe_nr_prach_v2_0_3 +v_smpte_sdi_v3_0_11=$RDI_DATADIR/xsim/ip/v_smpte_sdi_v3_0_11 +mdm_v3_2=$RDI_DATADIR/xsim/ip/mdm_v3_2 +clk_vip_v1_0_4=$RDI_DATADIR/xsim/ip/clk_vip_v1_0_4 +mdm_v3_2_26=$RDI_DATADIR/xsim/ip/mdm_v3_2_26 +xtlm_trace_model_v1_0=$RDI_DATADIR/xsim/ip/xtlm_trace_model_v1_0 +xbip_dsp48_multadd_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_multadd_v3_0_9 +noc2_nsu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nsu_v1_0_1 +timer_sync_1588_v1_2_5=$RDI_DATADIR/xsim/ip/timer_sync_1588_v1_2_5 +axis_ila_ct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_ct_v1_0_1 +dcmac_v2_4_0=$RDI_DATADIR/xsim/ip/dcmac_v2_4_0 +v_dp_axi4s_vid_out_v1_0_8=$RDI_DATADIR/xsim/ip/v_dp_axi4s_vid_out_v1_0_8 +xbip_dsp48_acc_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_acc_v3_0_9 +noc2_xbr4x2_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_xbr4x2_v1_0_0 +sd_fec_v1_1_14=$RDI_DATADIR/xsim/ip/sd_fec_v1_1_14 +xbip_accum_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_accum_v3_0_9 +displayport_v8_1_9=$RDI_DATADIR/xsim/ip/displayport_v8_1_9 +ilknf_v1_3_4=$RDI_DATADIR/xsim/ip/ilknf_v1_3_4 +v_letterbox_v1_1_11=$RDI_DATADIR/xsim/ip/v_letterbox_v1_1_11 +iomodule_v3_0=$RDI_DATADIR/xsim/ip/iomodule_v3_0 +dbg_intf=$RDI_DATADIR/xsim/ip/dbg_intf +cpm5_v1_0_16=$RDI_DATADIR/xsim/ip/cpm5_v1_0_16 +ieee802d3_25g_rs_fec_v1_0_30=$RDI_DATADIR/xsim/ip/ieee802d3_25g_rs_fec_v1_0_30 +jesd204_v7_2_20=$RDI_DATADIR/xsim/ip/jesd204_v7_2_20 +clk_gen_sim_v1_0_4=$RDI_DATADIR/xsim/ip/clk_gen_sim_v1_0_4 +g709_rs_decoder_v2_2_14=$RDI_DATADIR/xsim/ip/g709_rs_decoder_v2_2_14 +cpri_v8_11_20=$RDI_DATADIR/xsim/ip/cpri_v8_11_20 +ieee802d3_200g_rs_fec_v2_0_11=$RDI_DATADIR/xsim/ip/ieee802d3_200g_rs_fec_v2_0_11 +v_warp_init_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_init_v1_1_4 +v_hscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_hscaler_v1_1_11 +spdif_v2_0_29=$RDI_DATADIR/xsim/ip/spdif_v2_0_29 +func_emu_util_v1_0=$RDI_DATADIR/xsim/ip/func_emu_util_v1_0 +axi_uart16550_v2_0_33=$RDI_DATADIR/xsim/ip/axi_uart16550_v2_0_33 +axi_clock_converter_v2_1_30=$RDI_DATADIR/xsim/ip/axi_clock_converter_v2_1_30 +dprx_v1_0_4=$RDI_DATADIR/xsim/ip/dprx_v1_0_4 +mrmac_v2_3_0=$RDI_DATADIR/xsim/ip/mrmac_v2_3_0 +cic_compiler_v4_0_19=$RDI_DATADIR/xsim/ip/cic_compiler_v4_0_19 +c_gate_bit_v12_0_9=$RDI_DATADIR/xsim/ip/c_gate_bit_v12_0_9 +noc_sc_v1_0_0_legacy=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0_legacy +ten_gig_eth_pcs_pma_v6_0_27=$RDI_DATADIR/xsim/ip/ten_gig_eth_pcs_pma_v6_0_27 +xdfe_cc_filter_v1_1_4=$RDI_DATADIR/xsim/ip/xdfe_cc_filter_v1_1_4 +axi_crossbar_v2_1_32=$RDI_DATADIR/xsim/ip/axi_crossbar_v2_1_32 +axis_itct_v1_0_1=$RDI_DATADIR/xsim/ip/axis_itct_v1_0_1 +xbip_pipe_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_pipe_v3_0_9 +tsn_temac_v1_0_11=$RDI_DATADIR/xsim/ip/tsn_temac_v1_0_11 +lib_srl_fifo_v1_0_4=$RDI_DATADIR/xsim/ip/lib_srl_fifo_v1_0_4 +ecc_v2_0_16=$RDI_DATADIR/xsim/ip/ecc_v2_0_16 +mutex_v2_1_13=$RDI_DATADIR/xsim/ip/mutex_v2_1_13 +lmb_bram_if_cntlr_v4_0=$RDI_DATADIR/xsim/ip/lmb_bram_if_cntlr_v4_0 +ahblite_axi_bridge_v3_0_26=$RDI_DATADIR/xsim/ip/ahblite_axi_bridge_v3_0_26 +tmr_inject_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_inject_v1_0_6 +qdriv_pl_phy_v1_0_2=$RDI_DATADIR/xsim/ip/qdriv_pl_phy_v1_0_2 +tcc_decoder_3gppmm_v2_0_27=$RDI_DATADIR/xsim/ip/tcc_decoder_3gppmm_v2_0_27 +ai_noc=$RDI_DATADIR/xsim/ip/ai_noc +util_idelay_ctrl_v1_0_4=$RDI_DATADIR/xsim/ip/util_idelay_ctrl_v1_0_4 +noc2_nps_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_nps_v1_0_0 +etrnic_v1_1_8=$RDI_DATADIR/xsim/ip/etrnic_v1_1_8 +axi_ahblite_bridge_v3_0_28=$RDI_DATADIR/xsim/ip/axi_ahblite_bridge_v3_0_28 +ieee802d3_50g_rs_fec_v1_0_24=$RDI_DATADIR/xsim/ip/ieee802d3_50g_rs_fec_v1_0_24 +noc_hbm_nmu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_hbm_nmu_sim_v1_0_0 +noc_npp_rptr_v1_0_0=$RDI_DATADIR/xsim/ip/noc_npp_rptr_v1_0_0 +dfx_decoupler_v1_0_9=$RDI_DATADIR/xsim/ip/dfx_decoupler_v1_0_9 +dsp_macro_v1_0_6=$RDI_DATADIR/xsim/ip/dsp_macro_v1_0_6 +cpm5n_v1_0_8=$RDI_DATADIR/xsim/ip/cpm5n_v1_0_8 +axi_dma_v7_1_32=$RDI_DATADIR/xsim/ip/axi_dma_v7_1_32 +sim_ipc_multi_intf_v1_0=$RDI_DATADIR/xsim/ip/sim_ipc_multi_intf_v1_0 +hdcp_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp_v1_0_5 +axi_epc_v2_0_34=$RDI_DATADIR/xsim/ip/axi_epc_v2_0_34 +tmr_comparator_v1_0_7=$RDI_DATADIR/xsim/ip/tmr_comparator_v1_0_7 +v_warp_filter_v1_1_4=$RDI_DATADIR/xsim/ip/v_warp_filter_v1_1_4 +ai_pl=$RDI_DATADIR/xsim/ip/ai_pl +xdfe_nlf_v1_1_1=$RDI_DATADIR/xsim/ip/xdfe_nlf_v1_1_1 +v_scenechange_v1_1_6=$RDI_DATADIR/xsim/ip/v_scenechange_v1_1_6 +vfb_v1_0_25=$RDI_DATADIR/xsim/ip/vfb_v1_0_25 +axis_interconnect_v1_1_23=$RDI_DATADIR/xsim/ip/axis_interconnect_v1_1_23 +xlconstant_v1_1_9=$RDI_DATADIR/xsim/ip/xlconstant_v1_1_9 +adc_dac_if_phy_v1_0_0=$RDI_DATADIR/xsim/ip/adc_dac_if_phy_v1_0_0 +mult_gen_v12_0_21=$RDI_DATADIR/xsim/ip/mult_gen_v12_0_21 +i2s_receiver_v1_0_8=$RDI_DATADIR/xsim/ip/i2s_receiver_v1_0_8 +qdriv_pl_v1_0_13=$RDI_DATADIR/xsim/ip/qdriv_pl_v1_0_13 +xbip_dsp48_wrapper_v3_0_6=$RDI_DATADIR/xsim/ip/xbip_dsp48_wrapper_v3_0_6 +lut_buffer_v2_0_1=$RDI_DATADIR/xsim/ip/lut_buffer_v2_0_1 +vid_phy_controller_v2_2_18=$RDI_DATADIR/xsim/ip/vid_phy_controller_v2_2_18 +advanced_io_wizard_phy_v1_0_3=$RDI_DATADIR/xsim/ip/advanced_io_wizard_phy_v1_0_3 +uhdsdi_gt_v2_1_4=$RDI_DATADIR/xsim/ip/uhdsdi_gt_v2_1_4 +axi_dbg_hub=$RDI_DATADIR/xsim/ip/axi_dbg_hub +anlt_subcore_ip_v1_0_2=$RDI_DATADIR/xsim/ip/anlt_subcore_ip_v1_0_2 +xxv_ethernet_v4_1_10=$RDI_DATADIR/xsim/ip/xxv_ethernet_v4_1_10 +cdcam_v1_1_0=$RDI_DATADIR/xsim/ip/cdcam_v1_1_0 +pci32_v5_0_13=$RDI_DATADIR/xsim/ip/pci32_v5_0_13 +v_mix_v5_2_9=$RDI_DATADIR/xsim/ip/v_mix_v5_2_9 +pci64_v5_0_12=$RDI_DATADIR/xsim/ip/pci64_v5_0_12 +in_system_ibert_v1_0_22=$RDI_DATADIR/xsim/ip/in_system_ibert_v1_0_22 +proc_sys_reset_v5_0_15=$RDI_DATADIR/xsim/ip/proc_sys_reset_v5_0_15 +axis_dwidth_converter_v1_1_30=$RDI_DATADIR/xsim/ip/axis_dwidth_converter_v1_1_30 +sim_ddr_v1_0=$RDI_DATADIR/xsim/ip/sim_ddr_v1_0 +sim_qdma_cpp_v1_0=$RDI_DATADIR/xsim/ip/sim_qdma_cpp_v1_0 +axi_traffic_gen_v3_0_17=$RDI_DATADIR/xsim/ip/axi_traffic_gen_v3_0_17 +v_hdmi_rx_v3_0_4=$RDI_DATADIR/xsim/ip/v_hdmi_rx_v3_0_4 +xdfe_resampler_v1_0_9=$RDI_DATADIR/xsim/ip/xdfe_resampler_v1_0_9 +zynq_ultra_ps_e_v3_3_12=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_v3_3_12 +axi_i3c_v1_0_0=$RDI_DATADIR/xsim/ip/axi_i3c_v1_0_0 +g709_rs_encoder_v2_2_12=$RDI_DATADIR/xsim/ip/g709_rs_encoder_v2_2_12 +xpm=$RDI_DATADIR/xsim/ip/xpm +dptx_v1_0_4=$RDI_DATADIR/xsim/ip/dptx_v1_0_4 +v_axi4s_remap_v1_1_10=$RDI_DATADIR/xsim/ip/v_axi4s_remap_v1_1_10 +tri_mode_ethernet_mac_v9_0_32=$RDI_DATADIR/xsim/ip/tri_mode_ethernet_mac_v9_0_32 +xbip_bram18k_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_bram18k_v3_0_9 +shell_utils_build_info_v2_0_0=$RDI_DATADIR/xsim/ip/shell_utils_build_info_v2_0_0 +cordic_v6_0_22=$RDI_DATADIR/xsim/ip/cordic_v6_0_22 +sim_xdma_cpp_v1=$RDI_DATADIR/xsim/ip/sim_xdma_cpp_v1 +axi_hbicap_v1_0_7=$RDI_DATADIR/xsim/ip/axi_hbicap_v1_0_7 +zynq_ultra_ps_e_vip_v1_0_17=$RDI_DATADIR/xsim/ip/zynq_ultra_ps_e_vip_v1_0_17 +gtwizard_ultrascale_v1_5_4=$RDI_DATADIR/xsim/ip/gtwizard_ultrascale_v1_5_4 +remote_port_c_v4=$RDI_DATADIR/xsim/ip/remote_port_c_v4 +axi_vdma_v6_3_19=$RDI_DATADIR/xsim/ip/axi_vdma_v6_3_19 +x5io_wizard_phy_v1_0_1=$RDI_DATADIR/xsim/ip/x5io_wizard_phy_v1_0_1 +tcc_encoder_3gpp_v5_0_22=$RDI_DATADIR/xsim/ip/tcc_encoder_3gpp_v5_0_22 +axis_combiner_v1_1_29=$RDI_DATADIR/xsim/ip/axis_combiner_v1_1_29 +microblaze_v9_5_5=$RDI_DATADIR/xsim/ip/microblaze_v9_5_5 +advanced_io_wizard_v1_0_13=$RDI_DATADIR/xsim/ip/advanced_io_wizard_v1_0_13 +lib_cdc_v1_0_3=$RDI_DATADIR/xsim/ip/lib_cdc_v1_0_3 +axis_ila_adv_trig_v1_0_1=$RDI_DATADIR/xsim/ip/axis_ila_adv_trig_v1_0_1 +qdma_v5_0_9=$RDI_DATADIR/xsim/ip/qdma_v5_0_9 +ddr4_pl_v1_0_14=$RDI_DATADIR/xsim/ip/ddr4_pl_v1_0_14 +noc_mc_ddr5_phy_v1_0_1=$RDI_DATADIR/xsim/ip/noc_mc_ddr5_phy_v1_0_1 +xtlm_simple_interconnect_v1_0=$RDI_DATADIR/xsim/ip/xtlm_simple_interconnect_v1_0 +axi_sg_v4_1_18=$RDI_DATADIR/xsim/ip/axi_sg_v4_1_18 +xdfe_cc_mixer_v2_0_4=$RDI_DATADIR/xsim/ip/xdfe_cc_mixer_v2_0_4 +processing_system7_vip_v1_0_19=$RDI_DATADIR/xsim/ip/processing_system7_vip_v1_0_19 +mipi_dsi_tx_ctrl_v1_0_9=$RDI_DATADIR/xsim/ip/mipi_dsi_tx_ctrl_v1_0_9 +microblaze_riscv_v1_0_2=$RDI_DATADIR/xsim/ip/microblaze_riscv_v1_0_2 +cpm4_v1_0_16=$RDI_DATADIR/xsim/ip/cpm4_v1_0_16 +xbip_multadd_v3_0_20=$RDI_DATADIR/xsim/ip/xbip_multadd_v3_0_20 +axis_data_fifo_v2_0_13=$RDI_DATADIR/xsim/ip/axis_data_fifo_v2_0_13 +ltlib_v1_0_2=$RDI_DATADIR/xsim/ip/ltlib_v1_0_2 +axis_switch_sc_v1_1=$RDI_DATADIR/xsim/ip/axis_switch_sc_v1_1 +sim_clk_gen_v1_0_4=$RDI_DATADIR/xsim/ip/sim_clk_gen_v1_0_4 +xdfe_fft_v1_0_7=$RDI_DATADIR/xsim/ip/xdfe_fft_v1_0_7 +hsdp_trace_v2_0_2=$RDI_DATADIR/xsim/ip/hsdp_trace_v2_0_2 +blk_mem_gen_v8_4_8=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_4_8 +cam_blk_lib_v1_1_0=$RDI_DATADIR/xsim/ip/cam_blk_lib_v1_1_0 +tmr_voter_v1_0_6=$RDI_DATADIR/xsim/ip/tmr_voter_v1_0_6 +util_ff_v1_0_3=$RDI_DATADIR/xsim/ip/util_ff_v1_0_3 +interrupt_control_v3_1_5=$RDI_DATADIR/xsim/ip/interrupt_control_v3_1_5 +xdfe_ofdm_v2_1_0=$RDI_DATADIR/xsim/ip/xdfe_ofdm_v2_1_0 +audio_clock_recovery_unit_v1_0_4=$RDI_DATADIR/xsim/ip/audio_clock_recovery_unit_v1_0_4 +ten_gig_eth_mac_v15_1_12=$RDI_DATADIR/xsim/ip/ten_gig_eth_mac_v15_1_12 +axi_protocol_converter_v2_1_31=$RDI_DATADIR/xsim/ip/axi_protocol_converter_v2_1_31 +xbip_dsp48_mult_v3_0_9=$RDI_DATADIR/xsim/ip/xbip_dsp48_mult_v3_0_9 +tmr_sem_v1_0_26=$RDI_DATADIR/xsim/ip/tmr_sem_v1_0_26 +v_frmbuf_rd_v2_4_3=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_4_3 +cmpy_v6_0_24=$RDI_DATADIR/xsim/ip/cmpy_v6_0_24 +ta_dma_v1_0_15=$RDI_DATADIR/xsim/ip/ta_dma_v1_0_15 +v_tpg_v8_0_14=$RDI_DATADIR/xsim/ip/v_tpg_v8_0_14 +axi_tlm_ext_v1_0=$RDI_DATADIR/xsim/ip/axi_tlm_ext_v1_0 +axi_iic_v2_1_7=$RDI_DATADIR/xsim/ip/axi_iic_v2_1_7 +c_addsub_v12_0_18=$RDI_DATADIR/xsim/ip/c_addsub_v12_0_18 +audio_tpg_v1_0_2=$RDI_DATADIR/xsim/ip/audio_tpg_v1_0_2 +axi_tg_sc_v1_0=$RDI_DATADIR/xsim/ip/axi_tg_sc_v1_0 +v_vid_sdi_tx_bridge_v2_0_2=$RDI_DATADIR/xsim/ip/v_vid_sdi_tx_bridge_v2_0_2 +noc_nsu_sim_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nsu_sim_v1_0_0 +axi_register_slice_v2_1_31=$RDI_DATADIR/xsim/ip/axi_register_slice_v2_1_31 +axis_switch_v1_1_31=$RDI_DATADIR/xsim/ip/axis_switch_v1_1_31 +axi_stream_monitor_v1_1_1=$RDI_DATADIR/xsim/ip/axi_stream_monitor_v1_1_1 +v_uhdsdi_vidgen_v1_0_3=$RDI_DATADIR/xsim/ip/v_uhdsdi_vidgen_v1_0_3 +bscan_jtag=$RDI_DATADIR/xsim/ip/bscan_jtag +audio_formatter_v1_0_13=$RDI_DATADIR/xsim/ip/audio_formatter_v1_0_13 +axis_vio_v1_0_11=$RDI_DATADIR/xsim/ip/axis_vio_v1_0_11 +interlaken_v2_4_17=$RDI_DATADIR/xsim/ip/interlaken_v2_4_17 +axis_register_slice_v1_1_31=$RDI_DATADIR/xsim/ip/axis_register_slice_v1_1_31 +remote_port_sc_v4=$RDI_DATADIR/xsim/ip/remote_port_sc_v4 +hdcp22_cipher_v1_0_5=$RDI_DATADIR/xsim/ip/hdcp22_cipher_v1_0_5 +picxo_fracxo_v2_0_2=$RDI_DATADIR/xsim/ip/picxo_fracxo_v2_0_2 +xdma_v4_1_29=$RDI_DATADIR/xsim/ip/xdma_v4_1_29 +accelerator_monitor_v1_1_0=$RDI_DATADIR/xsim/ip/accelerator_monitor_v1_1_0 +noc_nps6_v1_0_0=$RDI_DATADIR/xsim/ip/noc_nps6_v1_0_0 +roe_framer_v3_0_8=$RDI_DATADIR/xsim/ip/roe_framer_v3_0_8 +g975_efec_i7_v2_0_23=$RDI_DATADIR/xsim/ip/g975_efec_i7_v2_0_23 +quadsgmii_v3_5_18=$RDI_DATADIR/xsim/ip/quadsgmii_v3_5_18 +axi_ethernet_buffer_v2_0_25=$RDI_DATADIR/xsim/ip/axi_ethernet_buffer_v2_0_25 +fit_timer_v2_0_12=$RDI_DATADIR/xsim/ip/fit_timer_v2_0_12 +bsip_v1_1_1=$RDI_DATADIR/xsim/ip/bsip_v1_1_1 +lmb_v10_v3_0=$RDI_DATADIR/xsim/ip/lmb_v10_v3_0 +mailbox_v2_1_17=$RDI_DATADIR/xsim/ip/mailbox_v2_1_17 +v_vscaler_v1_1_11=$RDI_DATADIR/xsim/ip/v_vscaler_v1_1_11 +fifo_generator_v13_0_7=$RDI_DATADIR/xsim/ip/fifo_generator_v13_0_7 +av_pat_gen_v1_0_2=$RDI_DATADIR/xsim/ip/av_pat_gen_v1_0_2 +v_frmbuf_rd_v2_5_2=$RDI_DATADIR/xsim/ip/v_frmbuf_rd_v2_5_2 +mipi_rx_phy_v1_0_0=$RDI_DATADIR/xsim/ip/mipi_rx_phy_v1_0_0 +gig_ethernet_pcs_pma_v16_2_18=$RDI_DATADIR/xsim/ip/gig_ethernet_pcs_pma_v16_2_18 +noc2_nmu_v1_0_1=$RDI_DATADIR/xsim/ip/noc2_nmu_v1_0_1 +ats_switch_v1_0_10=$RDI_DATADIR/xsim/ip/ats_switch_v1_0_10 +axi_ethernetlite_v3_0_30=$RDI_DATADIR/xsim/ip/axi_ethernetlite_v3_0_30 +axis_mu_v1_0_1=$RDI_DATADIR/xsim/ip/axis_mu_v1_0_1 +vby1hs_v1_0_5=$RDI_DATADIR/xsim/ip/vby1hs_v1_0_5 +noc_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc_sc_v1_0_0 +convolution_v9_0_20=$RDI_DATADIR/xsim/ip/convolution_v9_0_20 +axi4stream_vip_v1_1_17=$RDI_DATADIR/xsim/ip/axi4stream_vip_v1_1_17 +axis_subset_converter_v1_1_31=$RDI_DATADIR/xsim/ip/axis_subset_converter_v1_1_31 +srio_gen2_v4_1_19=$RDI_DATADIR/xsim/ip/srio_gen2_v4_1_19 +lib_bmg_v1_0_17=$RDI_DATADIR/xsim/ip/lib_bmg_v1_0_17 +system_cache_v5_0_11=$RDI_DATADIR/xsim/ip/system_cache_v5_0_11 +dp_videoaxi4s_bridge_v1_0_3=$RDI_DATADIR/xsim/ip/dp_videoaxi4s_bridge_v1_0_3 +sid_v8_0_21=$RDI_DATADIR/xsim/ip/sid_v8_0_21 +blk_mem_gen_v8_3_7=$RDI_DATADIR/xsim/ip/blk_mem_gen_v8_3_7 +noc2_sc_v1_0_0=$RDI_DATADIR/xsim/ip/noc2_sc_v1_0_0 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb new file mode 100644 index 0000000..b155e40 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb @@ -0,0 +1,4 @@ + + + +End Record \ No newline at end of file diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log new file mode 100644 index 0000000..e69de29 diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb new file mode 100644 index 0000000..b155e40 --- /dev/null +++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb @@ -0,0 +1,4 @@ + + + +End Record \ No newline at end of file diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr new file mode 100644 index 0000000..94253f1 --- /dev/null +++ b/proj/AudioProc.xpr @@ -0,0 +1,312 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- Product Version: Vivado v2024.1 (64-bit) --> +<!-- --> +<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. --> +<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. --> + +<Project Product="Vivado" Version="7" Minor="67" Path="/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.xpr"> + <DefaultLaunch Dir="$PRUNDIR"/> + <Configuration> + <Option Name="Id" Val="6a4df1729ae9441aa6cd704f48182cc7"/> + <Option Name="Part" Val="xc7a200tsbg484-1"/> + <Option Name="CompiledLibDir" Val="$PCACHEDIR/compile_simlib"/> + <Option Name="CompiledLibDirXSim" Val=""/> + <Option Name="CompiledLibDirModelSim" Val="$PCACHEDIR/compile_simlib/modelsim"/> + <Option Name="CompiledLibDirQuesta" Val="$PCACHEDIR/compile_simlib/questa"/> + <Option Name="CompiledLibDirXcelium" Val="$PCACHEDIR/compile_simlib/xcelium"/> + <Option Name="CompiledLibDirVCS" Val="$PCACHEDIR/compile_simlib/vcs"/> + <Option Name="CompiledLibDirRiviera" Val="$PCACHEDIR/compile_simlib/riviera"/> + <Option Name="CompiledLibDirActivehdl" Val="$PCACHEDIR/compile_simlib/activehdl"/> + <Option Name="SimulatorInstallDirModelSim" Val=""/> + <Option Name="SimulatorInstallDirQuesta" Val=""/> + <Option Name="SimulatorInstallDirXcelium" Val=""/> + <Option Name="SimulatorInstallDirVCS" Val=""/> + <Option Name="SimulatorInstallDirRiviera" Val=""/> + <Option Name="SimulatorInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorGccInstallDirModelSim" Val=""/> + <Option Name="SimulatorGccInstallDirQuesta" Val=""/> + <Option Name="SimulatorGccInstallDirXcelium" Val=""/> + <Option Name="SimulatorGccInstallDirVCS" Val=""/> + <Option Name="SimulatorGccInstallDirRiviera" Val=""/> + <Option Name="SimulatorGccInstallDirActiveHdl" Val=""/> + <Option Name="SimulatorVersionXsim" Val="2024.1"/> + <Option Name="SimulatorVersionModelSim" Val="2023.2"/> + <Option Name="SimulatorVersionQuesta" Val="2023.2"/> + <Option Name="SimulatorVersionXcelium" Val="23.03.002"/> + <Option Name="SimulatorVersionVCS" Val="U-2023.03-1"/> + <Option Name="SimulatorVersionRiviera" Val="2023.04"/> + <Option Name="SimulatorVersionActiveHdl" Val="14.1"/> + <Option Name="SimulatorGccVersionXsim" Val="9.3.0"/> + <Option Name="SimulatorGccVersionModelSim" Val="7.4.0"/> + <Option Name="SimulatorGccVersionQuesta" Val="7.4.0"/> + <Option Name="SimulatorGccVersionXcelium" Val="9.3.0"/> + <Option Name="SimulatorGccVersionVCS" Val="9.2.0"/> + <Option Name="SimulatorGccVersionRiviera" Val="9.3.0"/> + <Option Name="SimulatorGccVersionActiveHdl" Val="9.3.0"/> + <Option Name="TargetLanguage" Val="VHDL"/> + <Option Name="BoardPart" Val=""/> + <Option Name="ActiveSimSet" Val="sim_1"/> + <Option Name="DefaultLib" Val="xil_defaultlib"/> + <Option Name="ProjectType" Val="Default"/> + <Option Name="IPRepoPath" Val="$PPRDIR/../repo"/> + <Option Name="IPOutputRepo" Val="$PCACHEDIR/ip"/> + <Option Name="IPDefaultOutputPath" Val="$PGENDIR/sources_1"/> + <Option Name="IPCachePermission" Val="read"/> + <Option Name="IPCachePermission" Val="write"/> + <Option Name="EnableCoreContainer" Val="FALSE"/> + <Option Name="EnableResourceEstimation" Val="FALSE"/> + <Option Name="SimCompileState" Val="TRUE"/> + <Option Name="CreateRefXciForCoreContainers" Val="FALSE"/> + <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/> + <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/> + <Option Name="EnableBDX" Val="FALSE"/> + <Option Name="WTXSimLaunchSim" Val="19"/> + <Option Name="WTModelSimLaunchSim" Val="0"/> + <Option Name="WTQuestaLaunchSim" Val="0"/> + <Option Name="WTIesLaunchSim" Val="0"/> + <Option Name="WTVcsLaunchSim" Val="0"/> + <Option Name="WTRivieraLaunchSim" Val="0"/> + <Option Name="WTActivehdlLaunchSim" Val="0"/> + <Option Name="WTXSimExportSim" Val="0"/> + <Option Name="WTModelSimExportSim" Val="0"/> + <Option Name="WTQuestaExportSim" Val="0"/> + <Option Name="WTIesExportSim" Val="0"/> + <Option Name="WTVcsExportSim" Val="0"/> + <Option Name="WTRivieraExportSim" Val="0"/> + <Option Name="WTActivehdlExportSim" Val="0"/> + <Option Name="GenerateIPUpgradeLog" Val="TRUE"/> + <Option Name="XSimRadix" Val="hex"/> + <Option Name="XSimTimeUnit" Val="ns"/> + <Option Name="XSimArrayDisplayLimit" Val="1024"/> + <Option Name="XSimTraceLimit" Val="65536"/> + <Option Name="SimTypes" Val="rtl"/> + <Option Name="SimTypes" Val="bfm"/> + <Option Name="SimTypes" Val="tlm"/> + <Option Name="SimTypes" Val="tlm_dpi"/> + <Option Name="MEMEnableMemoryMapGeneration" Val="TRUE"/> + <Option Name="DcpsUptoDate" Val="TRUE"/> + <Option Name="ClassicSocBoot" Val="FALSE"/> + <Option Name="LocalIPRepoLeafDirName" Val="ip_repo"/> + </Configuration> + <FileSets Version="1" Minor="32"> + <FileSet Name="sources_1" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1"> + <Filter Type="Srcs"/> + <File Path="$PPRDIR/../src/hdl/operativeUnit.v"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/controlUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/tb_firUnit.vhd"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audio_init.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/debounce.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/TWICtl.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/fir.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/audioProc.v"> + <FileInfo> + <Attr Name="AutoDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd"> + <FileInfo> + <Attr Name="UserDisabled" Val="1"/> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="simulation"/> + </FileInfo> + </File> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="tb_firUnit"/> + </Config> + </FileSet> + <FileSet Name="constrs_1" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1"> + <Filter Type="Constrs"/> + <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc"> + <FileInfo> + <Attr Name="UsedIn" Val="synthesis"/> + <Attr Name="UsedIn" Val="implementation"/> + </FileInfo> + </File> + <Config> + <Option Name="ConstrsType" Val="XDC"/> + </Config> + </FileSet> + <FileSet Name="sim_1" Type="SimulationSrcs" RelSrcDir="$PSRCDIR/sim_1" RelGenDir="$PGENDIR/sim_1"> + <Config> + <Option Name="DesignMode" Val="RTL"/> + <Option Name="TopModule" Val="tb_firUnit"/> + <Option Name="TopLib" Val="xil_defaultlib"/> + <Option Name="TransportPathDelay" Val="0"/> + <Option Name="TransportIntDelay" Val="0"/> + <Option Name="SelectedSimModel" Val="rtl"/> + <Option Name="PamDesignTestbench" Val=""/> + <Option Name="PamDutBypassFile" Val="xil_dut_bypass"/> + <Option Name="PamSignalDriverFile" Val="xil_bypass_driver"/> + <Option Name="PamPseudoTop" Val="pseudo_tb"/> + <Option Name="SrcSet" Val="sources_1"/> + </Config> + </FileSet> + <FileSet Name="utils_1" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1"> + <Filter Type="Utils"/> + <Config> + <Option Name="TopAutoSet" Val="TRUE"/> + </Config> + </FileSet> + </FileSets> + <Simulators> + <Simulator Name="XSim"> + <Option Name="Description" Val="Vivado Simulator"/> + <Option Name="CompiledLib" Val="0"/> + </Simulator> + <Simulator Name="ModelSim"> + <Option Name="Description" Val="ModelSim Simulator"/> + </Simulator> + <Simulator Name="Questa"> + <Option Name="Description" Val="Questa Advanced Simulator"/> + </Simulator> + <Simulator Name="Xcelium"> + <Option Name="Description" Val="Xcelium Parallel Simulator"/> + </Simulator> + <Simulator Name="VCS"> + <Option Name="Description" Val="Verilog Compiler Simulator (VCS)"/> + </Simulator> + <Simulator Name="Riviera"> + <Option Name="Description" Val="Riviera-PRO Simulator"/> + </Simulator> + </Simulators> + <Runs Version="1" Minor="22"> + <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"> + <Desc>Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred with a larger threshold</Desc> + </StratHandle> + <Step Id="synth_design"> + <Option Id="FsmExtraction">1</Option> + <Option Id="KeepEquivalentRegisters">1</Option> + <Option Id="NoCombineLuts">1</Option> + <Option Id="RepFanoutThreshold">400</Option> + <Option Id="ResourceSharing">2</Option> + <Option Id="ShregMinSize">5</Option> + </Step> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 6 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true"> + <Strategy Version="1" Minor="2"> + <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"> + <Desc>Vivado Implementation Defaults</Desc> + </StratHandle> + <Step Id="init_design"/> + <Step Id="opt_design"/> + <Step Id="power_opt_design"/> + <Step Id="place_design"/> + <Step Id="post_place_power_opt_design"/> + <Step Id="phys_opt_design"/> + <Step Id="route_design"/> + <Step Id="post_route_phys_opt_design"/> + <Step Id="write_bitstream"> + <Option Id="BinFile">1</Option> + </Step> + </Strategy> + <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/> + <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2017"/> + <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/> + <RQSFiles/> + </Run> + </Runs> + <Board/> + <DashboardSummary Version="1" Minor="0"> + <Dashboards> + <Dashboard Name="default_dashboard"> + <Gadgets> + <Gadget Name="drc_1" Type="drc" Version="1" Row="2" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_drc_0 "/> + </Gadget> + <Gadget Name="methodology_1" Type="methodology" Version="1" Row="2" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_methodology_0 "/> + </Gadget> + <Gadget Name="power_1" Type="power" Version="1" Row="1" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_power_0 "/> + </Gadget> + <Gadget Name="timing_1" Type="timing" Version="1" Row="0" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_route_report_timing_summary_0 "/> + </Gadget> + <Gadget Name="utilization_1" Type="utilization" Version="1" Row="0" Column="0"> + <GadgetParam Name="REPORTS" Type="string_list" Value="synth_1#synth_1_synth_report_utilization_0 "/> + <GadgetParam Name="RUN.STEP" Type="string" Value="synth_design"/> + <GadgetParam Name="RUN.TYPE" Type="string" Value="synthesis"/> + </Gadget> + <Gadget Name="utilization_2" Type="utilization" Version="1" Row="1" Column="1"> + <GadgetParam Name="REPORTS" Type="string_list" Value="impl_1#impl_1_place_report_utilization_0 "/> + </Gadget> + </Gadgets> + </Dashboard> + <CurrentDashboard>default_dashboard</CurrentDashboard> + </Dashboards> + </DashboardSummary> +</Project> diff --git a/src/hdl/controlUnit.vhd b/src/hdl/controlUnit.vhd index 21da15f..a9fd56a 100644 --- a/src/hdl/controlUnit.vhd +++ b/src/hdl/controlUnit.vhd @@ -38,7 +38,7 @@ entity controlUnit is O_initSum : out std_logic; -- Control signal to initialize the MAC register O_loadSum : out std_logic; -- Control signal to load the MAC register; O_loadOutput : out std_logic; -- Control signal to load Y register - O_FilteredSampleValid : out std_logic -- Data valid signal for filtered sample + O_FilteredSampleValid : out std_logic -- Data valid signal for filtered sample ); end entity controlUnit; @@ -54,37 +54,55 @@ begin -- Process to describe the state register -- Current state is provide at the output of the register -- and is updated with the next state at each rising edge of clock - process (_BLANK_) is + process (I_reset, I_clock) is begin if I_reset = '1' then -- asynchronous reset (active high) - SR_currentState <= _BLANK_ + SR_currentState <= WAIT_SAMPLE; elsif rising_edge(I_clock) then -- rising clock edge - _BLANK_ + SR_currentState <= SR_nextState; end if; end process; -- Combinatorial process computing the next state which depends on -- the current state and on the inputs - process (_BLANK_) is + process (SR_currentState, I_inputSampleValid, I_processingDone) is begin case SR_currentState is when WAIT_SAMPLE => - _BLANK_ - + if(I_inputSampleValid = '1') then + SR_nextState <= STORE; + end if; + + when STORE => + SR_nextState <= PROCESSING_LOOP; + + when PROCESSING_LOOP => + if(I_processingDone = '1') then + SR_nextState <= OUTPUT; + end if; + + when OUTPUT => + SR_nextState <= WAIT_END_SAMPLE; + + when WAIT_END_SAMPLE => + if(I_inputSampleValid = '0') then + SR_nextState <= WAIT_SAMPLE; + end if; + when others => null; end case; end process; -- Rules to compute the outputs depending on the current state -- (and on the inputs, if you want a Mealy machine). - O_loadShift <= '1' when _BLANK_ else '0'; - O_initAddress <= '1' when _BLANK_ else '0'; - O_incrAddress <= '1' when _BLANK_ else '0'; - O_initSum <= '1' when _BLANK_ else '0'; - O_loadSum <= '1' when _BLANK_ else '0'; - O_loadOutput <= '1' when _BLANK_ else '0'; - O_FilteredSampleValid <= '1' when _BLANK_ else '0'; + O_loadShift <= '1' when SR_currentState = STORE else '0'; + O_initAddress <= '1' when SR_currentState = STORE else '0'; + O_incrAddress <= '1' when SR_currentState = PROCESSING_LOOP else '0'; + O_initSum <= '1' when SR_currentState = STORE else '0'; + O_loadSum <= '1' when SR_currentState = PROCESSING_LOOP else '0'; + O_loadOutput <= '1' when SR_currentState = OUTPUT else '0'; + O_FilteredSampleValid <= '1' when SR_currentState = OUTPUT else '0'; diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd index fe12a2e..4b88965 100644 --- a/src/hdl/operativeUnit.vhd +++ b/src/hdl/operativeUnit.vhd @@ -114,11 +114,12 @@ begin ); -- Process to describe the shift register storing the input samples - shift : process (_BLANK_) is + shift : process (I_reset, I_loadShift) is begin -- process shift if I_reset = '1' then -- asynchronous reset (active high) SR_shiftRegister <= (others => (others => '0')); - elsif _BLANK_ + elsif (I_loadShift = '1') then + SR_shiftRegister end if; end process shift; diff --git a/vivado.jou b/vivado.jou new file mode 100644 index 0000000..0cee594 --- /dev/null +++ b/vivado.jou @@ -0,0 +1,92 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Fri May 9 14:18:35 2025 +# Process ID: 88932 +# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant +# Command line: vivado +# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.log +# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.jou +# Running On :fl-tp-br-515 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4328.680 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16533 MB +# Swap memory :4294 MB +# Total Virtual :20828 MB +# Available Virtual :18297 MB +#----------------------------------------------------------- +start_gui +cd tp-filtre-etudiant-$USER/proj +ls +cd proj +ls +source ./create_project.tcl +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +set_property is_enabled false [get_files /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd] +update_compile_order -fileset sources_1 +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top firUnit [current_fileset] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +update_compile_order -fileset sources_1 +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_firUnit [current_fileset] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +update_compile_order -fileset sources_1 +launch_simulation +source audioProc.tcl +relaunch_sim +close_sim +launch_simulation +source audioProc.tcl +# Disabling source management mode. This is to allow the top design properties to be set without GUI intervention. +set_property source_mgmt_mode None [current_project] +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +# Re-enabling previously disabled source management mode. +set_property source_mgmt_mode All [current_project] +launch_simulation +source tb_firUnit.tcl +relaunch_sim +close_sim +close_sim +launch_simulation +source tb_firUnit.tcl +relaunch_sim +relaunch_sim +relaunch_sim +close_sim +launch_simulation +source tb_firUnit.tcl +add_bp {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} 96 +remove_bps -file {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} -line 96 +relaunch_sim +run all +restart +relaunch_sim +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 us +run 10 us +launch_runs impl_1 -to_step write_bitstream -jobs 6 +wait_on_run impl_1 diff --git a/vivado.log b/vivado.log new file mode 100644 index 0000000..506c20f --- /dev/null +++ b/vivado.log @@ -0,0 +1,1056 @@ +#----------------------------------------------------------- +# Vivado v2024.1 (64-bit) +# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024 +# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024 +# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024 +# Start of session at: Fri May 9 14:18:35 2025 +# Process ID: 88932 +# Current directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant +# Command line: vivado +# Log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.log +# Journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.jou +# Running On :fl-tp-br-515 +# Platform :Ubuntu +# Operating System :Ubuntu 24.04.2 LTS +# Processor Detail :Intel(R) Core(TM) i5-10500 CPU @ 3.10GHz +# CPU Frequency :4328.680 MHz +# CPU Physical cores:6 +# CPU Logical cores :12 +# Host memory :16533 MB +# Swap memory :4294 MB +# Total Virtual :20828 MB +# Available Virtual :18297 MB +#----------------------------------------------------------- +start_gui +cd tp-filtre-etudiant-$USER/proj +can't read "USER": no such variable +ls +WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell. +docs +proj +README.md +src +vivado.jou +vivado.log +vivado_pid88932.str +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available +WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available +cd proj +ls +WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell. +cleanup.cmd +cleanup.sh +create_project.tcl +source ./create_project.tcl +# if {[info exists ::create_path]} { +# set dest_dir $::create_path +# } else { +# set dest_dir [pwd] +# } +# puts "INFO: Creating new project in $dest_dir" +INFO: Creating new project in /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj +# set proj_name "AudioProc" +# set origin_dir ".." +# set orig_proj_dir "[file normalize "$origin_dir/proj"]" +# set src_dir $origin_dir/src +# set repo_dir $origin_dir/repo +# set part_num "xc7a200tsbg484-1" +# create_project $proj_name $dest_dir +INFO: [IP_Flow 19-234] Refreshing IP repositories +INFO: [IP_Flow 19-1704] No user IP repositories specified +INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +create_project: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 8148.336 ; gain = 197.250 ; free physical = 6602 ; free virtual = 16113 +# set proj_dir [get_property directory [current_project]] +# set obj [get_projects $proj_name] +# set_property "default_lib" "xil_defaultlib" $obj +# set_property "part" "$part_num" $obj +# set_property "simulator_language" "Mixed" $obj +# set_property "target_language" "VHDL" $obj +# if {[string equal [get_filesets -quiet sources_1] ""]} { +# create_fileset -srcset sources_1 +# } +# if {[string equal [get_filesets -quiet constrs_1] ""]} { +# create_fileset -constrset constrs_1 +# } +# set obj [get_filesets sources_1] +# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj +# add_files -quiet $src_dir/hdl +# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci] +# add_files -fileset constrs_1 -quiet $src_dir/constraints +# if {[string equal [get_runs -quiet synth_1] ""]} { +# create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1 +# } else { +# set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1] +# set_property flow "Vivado Synthesis 2014" [get_runs synth_1] +# } +# set obj [get_runs synth_1] +# set_property "part" "$part_num" $obj +# set_property "steps.synth_design.args.fanout_limit" "400" $obj +# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj +# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj +# set_property "steps.synth_design.args.resource_sharing" "off" $obj +# set_property "steps.synth_design.args.no_lc" "1" $obj +# set_property "steps.synth_design.args.shreg_min_size" "5" $obj +# current_run -synthesis [get_runs synth_1] +# if {[string equal [get_runs -quiet impl_1] ""]} { +# create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 +# } else { +# set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] +# set_property flow "Vivado Implementation 2014" [get_runs impl_1] +# } +# set obj [get_runs impl_1] +# set_property "part" "$part_num" $obj +# set_property "steps.write_bitstream.args.bin_file" "1" $obj +# current_run -implementation [get_runs impl_1] +impl_1 +update_compile_order -fileset sources_1 +update_compile_order -fileset sources_1 +set_property is_enabled false [get_files /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +update_compile_order -fileset sources_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +set_property top firUnit [current_fileset] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +update_compile_order -fileset sources_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +set_property top tb_firUnit [current_fileset] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +update_compile_order -fileset sources_1 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'audioProc' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj audioProc_vlog.prj +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clk_wiz_0_clk_wiz +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clk_wiz_0 +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audio_init.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module audio_init +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/debounce.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module debounce +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module operativeUnit +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module audioProc +INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module glbl +xvhdl --incr --relax -prj audioProc_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/TWICtl.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'TWICtl' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/fir.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'fir' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/i2s_ctl.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'i2s_ctl' +Waiting for jobs to finish... +No pending jobs, compilation finished. +execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:12 . Memory (MB): peak = 8459.359 ; gain = 0.000 ; free physical = 5850 ; free virtual = 15540 +INFO: [USF-XSim-69] 'compile' step finished in '12' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-9380] size mismatch in mixed-language port association of VHDL port 'en_tx_i' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:138] +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +WARNING: [VRFC 10-5021] port 'reset' is not connected on this instance [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:87] +WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:199] +WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:208] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.std_logic_arith +Compiling package ieee.std_logic_unsigned +Compiling package ieee.math_real +Compiling package ieee.numeric_std +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.MMCME2_ADV(CLKFBOUT_MULT_F=6.0,C... +Compiling module unisims_ver.BUFG +Compiling module xil_defaultlib.clk_wiz_0_clk_wiz +Compiling module xil_defaultlib.clk_wiz_0 +Compiling architecture behavioral of entity xil_defaultlib.TWICtl [twictl_default] +Compiling module xil_defaultlib.audio_init +Compiling module xil_defaultlib.debounce +Compiling architecture behavioral of entity xil_defaultlib.i2s_ctl [i2s_ctl_default] +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture myarch of entity xil_defaultlib.fir [\fir(ntaps=16)\] +Compiling module xil_defaultlib.audioProc +Compiling module xil_defaultlib.glbl +Built simulation snapshot audioProc_behav +execute_script: Time (s): cpu = 00:00:08 ; elapsed = 00:00:13 . Memory (MB): peak = 8459.359 ; gain = 0.000 ; free physical = 5732 ; free virtual = 15477 +INFO: [USF-XSim-69] 'elaborate' step finished in '12' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source audioProc.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:21 ; elapsed = 00:00:36 . Memory (MB): peak = 8549.523 ; gain = 99.164 ; free physical = 5741 ; free virtual = 15522 +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'audioProc' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj audioProc_vlog.prj +xvhdl --incr --relax -prj audioProc_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'audioProc' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-9380] size mismatch in mixed-language port association of VHDL port 'en_tx_i' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:138] +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +WARNING: [VRFC 10-5021] port 'reset' is not connected on this instance [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:87] +WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:199] +WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:208] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 8549.523 ; gain = 0.000 ; free physical = 5660 ; free virtual = 15430 +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'audioProc' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj audioProc_vlog.prj +xvhdl --incr --relax -prj audioProc_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-9380] size mismatch in mixed-language port association of VHDL port 'en_tx_i' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:138] +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +WARNING: [VRFC 10-5021] port 'reset' is not connected on this instance [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:87] +WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:199] +WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/audioProc.v:208] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source audioProc.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 8556.098 ; gain = 6.574 ; free physical = 5679 ; free virtual = 15450 +set_property top tb_firUnit [get_filesets sim_1] +set_property top_lib xil_defaultlib [get_filesets sim_1] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8615.930 ; gain = 45.684 ; free physical = 5636 ; free virtual = 15426 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5502 ; free virtual = 15300 +close_sim +INFO: [Simtcl 6-16] Simulation closed +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '2' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5547 ; free virtual = 15350 +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5602 ; free virtual = 15329 +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5618 ; free virtual = 15351 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5583 ; free virtual = 15336 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8649.246 ; gain = 0.000 ; free physical = 5672 ; free virtual = 15422 +close_sim +INFO: [Simtcl 6-16] Simulation closed +launch_simulation +Command: launch_simulation +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +INFO: [USF-XSim-7] Finding pre-compiled libraries... +INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'... +INFO: [USF-XSim-97] Finding global include files... +INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +INFO: [USF-XSim-4] XSim::Simulate design +INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [USF-XSim-98] *** Running xsim + with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +INFO: [USF-XSim-8] Loading simulator feature +Time resolution is 1 ps +source tb_firUnit.tcl +# set curr_wave [current_wave_config] +# if { [string length $curr_wave] == 0 } { +# if { [llength [get_objects]] > 0} { +# add_wave / +# set_property needs_save false [current_wave_config] +# } else { +# send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." +# } +# } +# run 1000ns +INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. +INFO: [USF-XSim-97] XSim simulation ran for 1000ns +launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8658.109 ; gain = 8.863 ; free physical = 5678 ; free virtual = 15432 +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +add_bp {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} 96 +remove_bps -file {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} -line 96 +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'controlUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'firUnit' +INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib +INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '4' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +Starting simulation data flow analysis +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling package std.standard +Compiling package std.textio +Compiling package ieee.std_logic_1164 +Compiling package ieee.numeric_std +Compiling package vl.vl_types +Compiling module xil_defaultlib.glbl +Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default] +Compiling module unisims_ver.GND +Compiling module unisims_ver.BUFG +Compiling module unisims_ver.IBUF +Compiling module unisims_ver.OBUF +Compiling module unisims_ver.x_lut3_mux8 +Compiling module unisims_ver.LUT3 +Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,... +Compiling module unisims_ver.x_lut2_mux4 +Compiling module unisims_ver.LUT2 +Compiling module unisims_ver.LUT4 +Compiling module unisims_ver.LUT6 +Compiling module unisims_ver.MUXF8 +Compiling module unisims_ver.MUXF7 +Compiling module unisims_ver.FDCE_default +Compiling module unisims_ver.CARRY4 +Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010) +Compiling module unisims_ver.VCC +Compiling module xil_defaultlib.operativeUnit +Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default] +Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit +Built simulation snapshot tb_firUnit_behav +INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 8676.250 ; gain = 0.000 ; free physical = 5699 ; free virtual = 15449 +run all +restart +INFO: [Wavedata 42-604] Simulation restarted +relaunch_sim +Command: launch_simulation -step compile -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-2] XSim::Compile design +INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xvlog --incr --relax -prj tb_firUnit_vlog.prj +xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +Waiting for jobs to finish... +No pending jobs, compilation finished. +INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +INFO: [SIM-utils-51] Simulation object is 'sim_1' +INFO: [USF-XSim-3] XSim::Elaborate design +INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Vivado Simulator v2024.1 +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. +Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +Using 8 slave threads. +Starting static elaboration +Pass Through NonSizing Optimizer +WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:422] +WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:1478] +Completed static elaboration +INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel +INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +Time resolution is 1 ps +relaunch_sim: Time (s): cpu = 00:00:10 ; elapsed = 00:00:06 . Memory (MB): peak = 8723.930 ; gain = 0.000 ; free physical = 5641 ; free virtual = 15424 +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 ns +run 10 us +run 10 us +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.v:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd:] +launch_runs impl_1 -to_step write_bitstream -jobs 6 +[Fri May 9 16:03:06 2025] Launched synth_1... +Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/runme.log +[Fri May 9 16:03:06 2025] Launched impl_1... +Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/runme.log diff --git a/vivado_pid88932.str b/vivado_pid88932.str new file mode 100644 index 0000000..dc27553 --- /dev/null +++ b/vivado_pid88932.str @@ -0,0 +1,4534 @@ +/* + +AMD Vivado v2024.1 (64-bit) [Major: 2024, Minor: 1] +SW Build: 5076996 on Wed May 22 18:36:09 MDT 2024 +IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024 +IP Build: 5075265 on Wed May 22 21:45:21 MDT 2024 + +Process ID (PID): 88932 +License: Customer +Mode: GUI Mode + +Current time: Fri May 09 14:20:51 CEST 2025 +Time zone: Central European Standard Time (Europe/Paris) + +OS: Ubuntu +OS Version: 6.8.0-59-generic +OS Architecture: amd64 +Available processors (cores): 12 +LSB Release Description: DISTRIB_ID=Ubuntu + +Display: 0 +Screen size: 1680x1050 +Local screen bounds: x = 66, y = 32, width = 1614, height = 1018 +Screen resolution (DPI): 100 +Available screens: 1 +Default font: family=Dialog,name=Dialog,style=plain,size=12 +Scale size: 12 +OS font scaling: 100% +Anti-Alias Enabled: false + +Java version: 21.0.1 64-bit +JavaFX version: 21.0.1 +Java home: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12 +Java executable: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64/jre21.0.1_12/bin/java +Java arguments: [-Dsun.java2d.pmoffscreen=false, -Dhttps.protocols=TLSv1,TLSv1.1,TLSv1.2, -Dsun.java2d.xrender=false, -Dsun.java2d.uiScale.enabled=false, -Dswing.aatext=true, -XX:-UsePerfData, -Djdk.map.althashing.threshold=512, -XX:StringTableSize=4072, -XX:+UseStringDeduplication, -XX:MaxGCPauseMillis=200, -XX:+ParallelRefProcEnabled, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.base/java.nio=ALL-UNNAMED, --add-opens=java.desktop/sun.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-opens=java.desktop/javax.swing=ALL-UNNAMED, --add-opens=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-opens=java.desktop/java.awt.event=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.base/java.nio=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.tree=ALL-UNNAMED, --add-exports=java.desktop/javax.swing.plaf.basic=ALL-UNNAMED, --add-exports=java.desktop/sun.swing=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.table=ALL-UNNAMED, --add-exports=java.desktop/sun.swing.plaf.synth=ALL-UNNAMED, --add-exports=java.desktop/sun.awt.shell=ALL-UNNAMED, --add-exports=java.base/sun.security.action=ALL-UNNAMED, --add-exports=java.desktop/sun.font=ALL-UNNAMED, --add-opens=java.desktop/sun.awt.X11=ALL-UNNAMED, -XX:NewSize=80m, -XX:MaxNewSize=80m, -Xms512m, -Xmx4072m, -Xss10m, -Xrs] +Java initial memory (-Xms): 512 MB +Java maximum memory (-Xmx): 3 GB + +User name: l24nicot +User home directory: /homes/l24nicot +User working directory: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant +User country: US +User language: en +User locale: en_US + +RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado +HDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 +RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data +RDI_BINDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin + +Vivado preferences file: /homes/l24nicot/.Xilinx/Vivado/2024.1/vivado.xml +Vivado preferences directory: /homes/l24nicot/.Xilinx/Vivado/2024.1/ +Vivado layouts directory: /homes/l24nicot/.Xilinx/Vivado/2024.1/data/layouts +PlanAhead jar file: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/classes/planAhead.jar +Vivado log file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.log +Vivado journal file: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/vivado.jou +Engine tmp dir: ./.Xil/Vivado-88932-fl-tp-br-515 +Non-Default Parameters: [] + +Xilinx & AMD Environment Variables +-------------------------------------------------------------------------------------------- +GNOME_SHELL_SESSION_MODE: ubuntu +RDI_APPROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 +RDI_BASEROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado +RDI_BINROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin +RDI_BUILD: yes +RDI_DATADIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data +RDI_INSTALLROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1 +RDI_INSTALLVER: 2024.1 +RDI_JAVA_PLATFORM: +RDI_JAVA_VERSION: 21.0.1_12 +RDI_LIBDIR: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/Ubuntu:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o +RDI_OPT_EXT: .o +RDI_PATCHROOT: +RDI_PLATFORM: lnx64 +RDI_PREPEND_PATH: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64 +RDI_PROG: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/vivado +RDI_SESSION_INFO: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant:fl-tp-br-515_1746793104_88863 +RDI_SHARED_DATA: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/SharedData/2024.1/data +RDI_TPS_ROOT: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/lnx64 +RDI_USE_JDK21: True +SHELL: /bin/bash +XILINX: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE +XILINXD_LICENSE_FILE: 27007@licence-01.imta.fr +XILINX_DSP: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE +XILINX_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis_HLS/2024.1 +XILINX_PLANAHEAD: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 +XILINX_SDK: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1 +XILINX_VITIS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1 +XILINX_VIVADO: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 +XILINX_VIVADO_HLS: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1 + + +GUI allocated memory: 512 MB +GUI max memory: 4,072 MB +Engine allocated memory: 1,501 MB + +Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. +Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. + +*/ + +// TclEventType: START_GUI +// Tcl Message: start_gui +// HMemoryUtils.trashcanNow. Engine heap size: 1,525 MB. GUI used memory: 72 MB. Current time: 5/9/25, 2:20:52 PM CEST +// HMemoryUtils.trashcanNow. Engine heap size: 1,681 MB. GUI used memory: 70 MB. Current time: 5/9/25, 2:21:12 PM CEST +selectCodeEditor(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, 95, 17); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR) +typeControlKey(RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR, "RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR", 'v'); // cC (RDIResource.TclConsoleView_TCL_CONSOLE_CODE_EDITOR) +// Tcl (Dont Echo) Command: 'rdi::info_commands bd::match_path' +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd tp-filtre-etudiant-$USER/proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'cd tp-filtre-etudiant-$USER/proj' +// HMemoryUtils.trashcanNow. Engine heap size: 1,847 MB. GUI used memory: 71 MB. Current time: 5/9/25, 2:21:32 PM CEST +// Tcl Message: cd tp-filtre-etudiant-$USER/proj +// Tcl Message: can't read "USER": no such variable +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'ls' +// Tcl Message: ls +// Tcl Message: docs proj README.md src vivado.jou vivado.log vivado_pid88932.str +// Tcl (Dont Echo) Command: 'rdi::info_commands {cd*}' +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "cd proj", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'cd proj' +// Tcl Message: cd proj +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "ls", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'ls' +// Tcl Message: ls +// Tcl Message: cleanup.cmd cleanup.sh create_project.tcl +// [GUI Memory]: 99 MB (+100813kb) [00:01:38] +// [Engine Memory]: 1,858 MB (+1796753kb) [00:01:38] +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl (Dont Echo) Command: 'rdi::info_commands bd::match_path' +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, "source ./create_project.tcl", true); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// Tcl Command: 'source ./create_project.tcl' +// TclEventType: DEBUG_PROBE_SET_CHANGE +// TclEventType: FLOW_ADDED +// Tcl Message: source ./create_project.tcl +// Tcl Message: # if {[info exists ::create_path]} { # set dest_dir $::create_path # } else { # set dest_dir [pwd] # } # puts "INFO: Creating new project in $dest_dir" +// Tcl Message: INFO: Creating new project in /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj +// Tcl Message: # set proj_name "AudioProc" # set origin_dir ".." # set orig_proj_dir "[file normalize "$origin_dir/proj"]" # set src_dir $origin_dir/src # set repo_dir $origin_dir/repo # set part_num "xc7a200tsbg484-1" # create_project $proj_name $dest_dir +// TclEventType: FILE_SET_NEW +// TclEventType: RUN_ADD +// TclEventType: RUN_CURRENT +// TclEventType: PROJECT_DASHBOARD_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_DASHBOARD_GADGET_NEW +// TclEventType: PROJECT_DASHBOARD_GADGET_CHANGE +// TclEventType: PROJECT_NEW +// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified +// TclEventType: PROJECT_NEW +// [GUI Memory]: 140 MB (+37802kb) [00:01:57] +// [Engine Memory]: 1,966 MB (+15308kb) [00:01:58] +// WARNING: HEventQueue.dispatchEvent() is taking 3632 ms. +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'. +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: RUN_MODIFY +// HMemoryUtils.trashcanNow. Engine heap size: 2,051 MB. GUI used memory: 78 MB. Current time: 5/9/25, 2:22:07 PM CEST +// TclEventType: RUN_MODIFY +// TclEventType: CREATE_IP_CATALOG +// TclEventType: PART_MODIFIED +// TclEventType: PROJECT_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: FILE_SET_CHANGE +// TclEventType: IP_LOCK_CHANGE +// TclEventType: COMPOSITE_FILE_CHANGE +// TclEventType: FILE_SET_CHANGE +// TclEventType: RUN_OPTIONS_MODIFIED +// TclEventType: RUN_MODIFY +// TclEventType: RUN_OPTIONS_MODIFIED +// TclEventType: RUN_MODIFY +// Tcl Message: create_project: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 8148.336 ; gain = 197.250 ; free physical = 6602 ; free virtual = 16113 +// TclEventType: RUN_OPTIONS_MODIFIED +// TclEventType: RUN_MODIFY +// [GUI Memory]: 154 MB (+7545kb) [00:02:02] +// TclEventType: RUN_MODIFY +// TclEventType: RUN_OPTIONS_MODIFIED +// Tcl Message: # set obj [get_runs impl_1] # set_property "part" "$part_num" $obj # set_property "steps.write_bitstream.args.bin_file" "1" $obj # current_run -implementation [get_runs impl_1] +// Tcl Message: impl_1 +// Elapsed time: 10 seconds +dismissDialog("Tcl Command"); // bj (Tcl Command Progress) +// TclEventType: FILE_SET_CHANGE +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: update_compile_order -fileset sources_1 +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// [Engine Memory]: 2,083 MB (+19804kb) [00:02:11] +// Tcl Message: update_compile_order -fileset sources_1 +// Elapsed time: 21 seconds +setText(RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE, (String) null); // h.b (RDIResource.CommandsInput_TYPE_TCL_COMMAND_HERE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, controlUnit.vhd]", 2, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, controlUnit.vhd]", 2, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// HMemoryUtils.trashcanNow. Engine heap size: 2,137 MB. GUI used memory: 80 MB. Current time: 5/9/25, 2:22:47 PM CEST +selectCodeEditor("controlUnit.vhd", 96, 255); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 96, 255, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 219, 269); // ac (controlUnit.vhd) +// Elapsed time: 12 seconds +selectCodeEditor("controlUnit.vhd", 128, 358); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 241, 294); // ac (controlUnit.vhd) +// Elapsed time: 56 seconds +selectCodeEditor("controlUnit.vhd", 108, 235); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 108, 235, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 174, 246); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 12, 235); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 217, 268); // ac (controlUnit.vhd) +// Elapsed time: 16 seconds +selectCodeEditor("controlUnit.vhd", 76, 266); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 76, 266, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 91, 186); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 203, 233); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 75, 262); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 100, 353); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 244, 186); // ac (controlUnit.vhd) +// Elapsed time: 14 seconds +selectCodeEditor("controlUnit.vhd", 128, 143); // ac (controlUnit.vhd) +// Elapsed time: 15 seconds +typeControlKey(null, null, 'z'); +selectCodeEditor("controlUnit.vhd", 449, 272); // ac (controlUnit.vhd) +// Elapsed time: 175 seconds +selectCodeEditor("controlUnit.vhd", 186, 144); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 255, 219); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 105, 97); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 105, 97, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 167, 136); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 33, 126); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 199, 118); // ac (controlUnit.vhd) +// Elapsed time: 13 seconds +selectCodeEditor("controlUnit.vhd", 74, 161); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 73, 174); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 73, 174, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 114, 182); // ac (controlUnit.vhd) +// Elapsed time: 32 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click +// [Engine Memory]: 2,189 MB (+2638kb) [00:08:55] +// HMemoryUtils.trashcanNow. Engine heap size: 2,199 MB. GUI used memory: 80 MB. Current time: 5/9/25, 2:29:02 PM CEST +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 84 seconds +selectCodeEditor("controlUnit.vhd", 44, 233); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 257, 245); // ac (controlUnit.vhd) +// Elapsed time: 66 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 5, true, false, false, false, false, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Double Click - Node +// HMemoryUtils.trashcanNow. Engine heap size: 2,230 MB. GUI used memory: 80 MB. Current time: 5/9/25, 2:31:47 PM CEST +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 48 seconds +selectCodeEditor("controlUnit.vhd", 157, 136); // ac (controlUnit.vhd) +// Elapsed time: 91 seconds +selectCodeEditor("controlUnit.vhd", 101, 51); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 101, 50, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 116, 222); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 116, 222, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 13 seconds +selectCodeEditor("controlUnit.vhd", 292, 311); // ac (controlUnit.vhd) +// Elapsed time: 38 seconds +selectCodeEditor("controlUnit.vhd", 124, 271); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 123, 271, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 197, 96); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 197, 96, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +// Elapsed time: 46 seconds +selectCodeEditor("controlUnit.vhd", 232, 101); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 232, 101, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 92, 56); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 75, 128); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 48, 98); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 257, 110); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 258, 98); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 71, 126); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 71, 126, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 203, 132); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 203, 132, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 266, 175); // ac (controlUnit.vhd) +// Elapsed time: 34 seconds +selectCodeEditor("controlUnit.vhd", 117, 173); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 117, 173, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 200, 350); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 200, 350, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 271, 367); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 115, 310); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 115, 310, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 162, 343); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 115, 302); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 115, 302, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 217, 312); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 217, 312, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 95, 310); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 95, 310, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 81, 383); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 81, 383, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 240, 362); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 118, 380); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 126, 378); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 81, 321); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 81, 321, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 83, 296); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 83, 296, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 114, 284); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 114, 284, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 83, 296); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 83, 296, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 291, 249); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 291, 249, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 76, 291); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 76, 291, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 132, 307); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 132, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 421, 111); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 421, 111, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 74, 322); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 74, 322, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 102, 409); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 255, 96); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 46, 115); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 244, 152); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 26, 127); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 268, 159); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 46, 131); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 306, 149); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 254, 165); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 233, 142); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 233, 142, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 240, 168); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 265, 147); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 227, 146); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 227, 146, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 206, 278); // ac (controlUnit.vhd) +// Elapsed time: 44 seconds +selectCodeEditor("controlUnit.vhd", 206, 278, false, false, false, true, false); // ac (controlUnit.vhd) - Popup Trigger +selectCodeEditor("controlUnit.vhd", 203, 269); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 43, 352); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 42, 249); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 53, 283); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 21, 279); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 54, 308); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 55, 316); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 58, 296); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 59, 263); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 218, 102); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 218, 102, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 60, 265); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 60, 264); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +// Elapsed time: 11 seconds +selectCodeEditor("controlUnit.vhd", 67, 264); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 219, 266); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 213, 266); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 58, 291); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +// Elapsed time: 75 seconds +selectCodeEditor("controlUnit.vhd", 101, 336); // ac (controlUnit.vhd) +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +selectCodeEditor("controlUnit.vhd", 406, 159); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 406, 159, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 75, 367); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 75, 367, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 125, 369); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 143, 371); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 52, 381); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 79, 367); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 79, 367, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 146, 386); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 146, 386, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 476, 154); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 476, 154, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 82, 394); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 82, 394, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 250, 377); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 42, 235); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 39, 247); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 124, 210); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 125, 209); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 145, 230); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 133, 211); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 133, 211, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 145, 206); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 145, 206, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 178, 217); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 130, 206); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 130, 206, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 211, 155); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 300, 343); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 379, 367); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 179, 374); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 199, 281); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 42, 236); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 47, 250); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 77, 266); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 193, 282); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 231, 265); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 222, 143); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 222, 143, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 237, 282); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 10, 236); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 301, 240); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 100, 220); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 100, 220, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 218, 236); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 130, 216); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 130, 216, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 115, 251); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 115, 251, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 61, 262); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 243, 242); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 118, 218); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 118, 218, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 96, 277); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 96, 277, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 60, 293); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 291, 266); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 184, 246); // ac (controlUnit.vhd) +// Elapsed time: 116 seconds +selectCodeEditor("controlUnit.vhd", 90, 145); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 90, 145, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 69 seconds +selectCodeEditor("controlUnit.vhd", 194, 342); // ac (controlUnit.vhd) +// Elapsed time: 36 seconds +selectCodeEditor("controlUnit.vhd", 19, 235); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 96, 227); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 104, 223); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 100, 233); // ac (controlUnit.vhd) +// Elapsed time: 79 seconds +selectCodeEditor("controlUnit.vhd", 135, 261); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 135, 261, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 71, 296); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 71, 296, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 128, 267); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 128, 267, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 10 seconds +selectCodeEditor("controlUnit.vhd", 75, 295); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 75, 295, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 118, 268); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 118, 268, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 177, 320); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 177, 320, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 143, 264); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 149, 267); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 142, 266); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 236, 283); // ac (controlUnit.vhd) +// Elapsed time: 22 seconds +selectCodeEditor("controlUnit.vhd", 129, 293); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 129, 293, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 117, 330); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 117, 330, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 116, 323); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 116, 323, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 118, 299); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 118, 299, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +// Elapsed time: 14 seconds +selectCodeEditor("controlUnit.vhd", 45, 299); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 45, 319); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 58, 333); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 85, 321); // ac (controlUnit.vhd) +// Elapsed time: 14 seconds +selectCodeEditor("controlUnit.vhd", 270, 323); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 80, 322); // ac (controlUnit.vhd) +// Elapsed time: 15 seconds +selectCodeEditor("controlUnit.vhd", 158, 176); // ac (controlUnit.vhd) +// Elapsed time: 31 seconds +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 197, 291); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +// Elapsed time: 13 seconds +selectCodeEditor("controlUnit.vhd", 80, 367); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +// Elapsed time: 11 seconds +selectCodeEditor("controlUnit.vhd", 338, 369); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 263, 385); // ac (controlUnit.vhd) +// Elapsed time: 15 seconds +selectCodeEditor("controlUnit.vhd", 99, 189); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 99, 189, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 215, 296); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 61, 277); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 59, 322); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 82, 324); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 230, 343); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 142, 268); // ac (controlUnit.vhd) +// Elapsed time: 14 seconds +selectCodeEditor("controlUnit.vhd", 256, 231); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 260, 252); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 283, 281); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 268, 321); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 283, 354); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 305, 306); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 191, 269); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 266, 247); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 291, 293); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 261, 353); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 246, 392); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 332, 157); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 295, 241); // ac (controlUnit.vhd) +// Elapsed time: 33 seconds +selectCodeEditor("controlUnit.vhd", 167, 400); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 178, 400); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 189, 401); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 187, 398); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 88, 335); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 189, 355); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 81, 339); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 55, 381); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 191, 400); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 173, 383); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 56, 429); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 58, 389); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 56, 428); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 180, 400); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 61, 385); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 58, 427); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 42, 201); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 308, 317); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 267, 114); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 282, 142); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 163, 189); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 259, 280); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 112, 294); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 260, 311); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 291, 363); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 64, 367); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 157, 356); // ac (controlUnit.vhd) +// Elapsed time: 10 seconds +selectCodeEditor("controlUnit.vhd", 205, 325); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 205, 325, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 114, 355); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 114, 355, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +// Elapsed time: 32 seconds +selectCodeEditor("controlUnit.vhd", 301, 231); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 269, 265); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 244, 311); // ac (controlUnit.vhd) +// Elapsed time: 25 seconds +selectCodeEditor("controlUnit.vhd", 129, 233); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 129, 233, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 100, 123); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 100, 123, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 118, 112); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 118, 112, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 211, 267); // ac (controlUnit.vhd) +// Elapsed time: 27 seconds +selectCodeEditor("controlUnit.vhd", 295, 341); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 154, 379); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 245, 264); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 239, 258); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 309, 396); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 83, 350); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 41, 353); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 49, 275); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 68, 292); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 138, 324); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 213, 280); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 130, 128); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 130, 128, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 248, 307); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 248, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 215, 344); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 85, 289); // ac (controlUnit.vhd) +// Elapsed time: 209 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 10 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 13 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// HMemoryUtils.trashcanNow. Engine heap size: 2,233 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:01:47 PM CEST +// Elapsed time: 287 seconds +selectCodeEditor("controlUnit.vhd", 121, 366); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 121, 366, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 226, 399); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 226, 399, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 14 seconds +selectCodeEditor("controlUnit.vhd", 286, 193); // ac (controlUnit.vhd) +// Elapsed time: 58 seconds +selectCodeEditor("controlUnit.vhd", 246, 188); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 87, 293); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 321, 307); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 376, 268); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 355, 320); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 17, 306); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 377, 400); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 52, 308); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 52, 308, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 254, 307); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 254, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 275, 311); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 260, 310); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 254, 310); // ac (controlUnit.vhd) +// Elapsed time: 19 seconds +selectCodeEditor("controlUnit.vhd", 265, 315); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 274, 313); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 274, 313, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 21 seconds +selectCodeEditor("controlUnit.vhd", 58, 330); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 16, 311); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 225, 325); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 221, 307); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 256, 311); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 256, 311, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 35 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 126 seconds +selectCodeEditor("controlUnit.vhd", 84, 159); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 268, 128); // ac (controlUnit.vhd) +// Elapsed time: 141 seconds +selectCodeEditor("controlUnit.vhd", 99, 338); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 99, 338, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 219, 312); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 126, 275); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 126, 275, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 10 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 23 seconds +selectCodeEditor("controlUnit.vhd", 121, 116); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 121, 116, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 278, 277); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 278, 277, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 454, 231); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 257, 281); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 254, 279); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 278, 293); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 278, 293, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 269, 310); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 269, 310, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 328, 145); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 328, 145, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 399, 307); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 399, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 260, 305); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 306, 318); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 255, 309); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 272, 323); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 272, 323, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 394, 297); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 394, 297, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 412, 324); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 412, 324, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 485, 309); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 284, 338); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 284, 338, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 283, 354); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 283, 354, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 400, 353); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 400, 353, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 49 seconds +selectCodeEditor("controlUnit.vhd", 277, 345); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 277, 345, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 270, 371); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 278, 372); // ac (controlUnit.vhd) +// Elapsed time: 92 seconds +selectCodeEditor("controlUnit.vhd", 132, 250); // ac (controlUnit.vhd) +// Elapsed time: 60 seconds +selectCodeEditor("controlUnit.vhd", 25, 198); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 157, 229); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 18, 190); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 18, 356); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 171, 301); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 114, 298); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 204, 277); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 112, 116); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 112, 116, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 100, 81); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 324, 84); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 140, 281); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 140, 281, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 324, 83); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 361, 119); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 97, 115); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 97, 115, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 347, 133); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 411, 39); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 411, 39, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 348, 212); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 386, 28); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 386, 29, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 391, 37); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 391, 37, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 368, 199); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 82, 42); // ac (controlUnit.vhd) +// Elapsed time: 12 seconds +selectCodeEditor("controlUnit.vhd", 302, 314); // ac (controlUnit.vhd) +// Elapsed time: 240 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab((HResource) null, "PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 2, true, false); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) - Popup Trigger +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Syntax Error Files, operativeUnit.vhd]", 3, false, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenuItem(PAResourceCommand.PACommandNames_SRC_DISABLE, "Disable File"); // ap (PAResourceCommand.PACommandNames_SRC_DISABLE, src_disable_menu) +// Run Command: PAResourceCommand.PACommandNames_SRC_DISABLE +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Tcl Message: set_property is_enabled false [get_files /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/operativeUnit.vhd] +// Elapsed Time for: 'L.f': 01h:00m:54s +// HMemoryUtils.trashcanNow. Engine heap size: 2,262 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:23:02 PM CEST +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 01h:00m:58s +// Tcl Message: update_compile_order -fileset sources_1 +// Elapsed Time for: 'L.f': 01h:01m:00s +// Elapsed Time for: 'L.f': 01h:01m:04s +// Elapsed time: 19 seconds +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 5, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 5, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top firUnit [current_fileset] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 01h:01m:18s +// [Engine Memory]: 2,306 MB (+7326kb) [01:03:18] +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 01h:01m:20s +// Tcl Message: update_compile_order -fileset sources_1 +// HMemoryUtils.trashcanNow. Engine heap size: 2,316 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:23:27 PM CEST +// Elapsed Time for: 'L.f': 01h:01m:22s +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +// Elapsed Time for: 'L.f': 01h:01m:24s +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 4, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_OPEN_FILE_WITH, "Open With"); // am (PAResourceCommand.PACommandNames_OPEN_FILE_WITH, Open With) +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top tb_firUnit [current_fileset] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 01h:01m:26s +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 01h:01m:28s +// Tcl Message: update_compile_order -fileset sources_1 +// Elapsed Time for: 'L.f': 01h:01m:30s +// Elapsed Time for: 'L.f': 01h:01m:34s +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +selectButton(PAResourceQtoS.SaveProjectUtils_SAVE, "Save", "Save Project"); // a (PAResourceQtoS.SaveProjectUtils_SAVE) +// TclEventType: DG_GRAPH_STALE +dismissDialog("Save Project"); // Z.d (dialog0) +// TclEventType: LAUNCH_SIM +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_CHANGE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'audioProc' +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0' +// Tcl Message: INFO: [USF-XSim-7] Finding pre-compiled libraries... INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'... +// TclEventType: LOAD_FEATURE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: INFO: [USF-XSim-97] Finding global include files... INFO: [USF-XSim-98] Fetching design files from 'sim_1'... +// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design +// Tcl Message: INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj audioProc_vlog.prj +// Tcl Message: INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" into library xil_defaultlib INFO: [VRFC 10-311] analyzing module clk_wiz_0_clk_wiz +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:12 . Memory (MB): peak = 8459.359 ; gain = 0.000 ; free physical = 5850 ; free virtual = 15540 +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '12' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +// Tcl Message: Vivado Simulator v2024.1 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved. Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log Using 8 slave threads. Starting static elaboration +// Tcl Message: Starting simulation data flow analysis Completed simulation data flow analysis Time Resolution for simulation is 1ps Compiling package std.standard Compiling package std.textio Compiling package ieee.std_logic_1164 Compiling package ieee.std_logic_arith Compiling package ieee.std_logic_unsigned Compiling package ieee.math_real Compiling package ieee.numeric_std Compiling module unisims_ver.IBUF Compiling module unisims_ver.MMCME2_ADV(CLKFBOUT_MULT_F=6.0,C... +// HMemoryUtils.trashcanNow. Engine heap size: 2,349 MB. GUI used memory: 83 MB. Current time: 5/9/25, 3:24:26 PM CEST +// TclEventType: LAUNCH_SIM +// Tcl Message: Built simulation snapshot audioProc_behav +// Tcl Message: execute_script: Time (s): cpu = 00:00:08 ; elapsed = 00:00:13 . Memory (MB): peak = 8459.359 ; gain = 0.000 ; free physical = 5732 ; free virtual = 15477 +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '12' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: LOAD_FEATURE +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Elapsed time: 34 seconds +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// Tcl Message: Time resolution is 1 ps +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: source audioProc.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:24:31 PM CEST +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// [Engine Memory]: 2,432 MB (+11766kb) [01:04:25] +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:21 ; elapsed = 00:00:36 . Memory (MB): peak = 8549.523 ; gain = 99.164 ; free physical = 5741 ; free virtual = 15522 +// Elapsed Time for: 'h': 42s +// 'd' command handler elapsed time: 42 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed Time for: 'L.f': 01h:02m:28s +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:24:43 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:24:44 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:24:45 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:24:48 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,457 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:24:57 PM CEST +// Elapsed time: 25 seconds +maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// [Engine Memory]: 3,457 MB (+946876kb) [01:04:51] +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:04 PM CEST +selectMenu("Waveform Style"); // am (Waveform Style) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:09 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:09 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:10 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:10 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:12 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:12 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:14 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,413 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:15 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 27 seconds +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputRightSample[23:0]]", 21); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputRightSample[23:0]]", 21); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:34 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputLeftSample[23:0]]", 20); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, outputLeftSample[23:0]]", 20); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputRightSample[23:0]]", 19); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:36 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputRightSample[23:0]]", 19); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputLeftSample[23:0]]", 18); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, inputLeftSample[23:0]]", 18); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:37 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:25:43 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,432 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:25:43 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +unMinimizeFrame(PAResourceOtoP.PAViews_TCL_CONSOLE, "Tcl Console"); // R (PAResourceOtoP.PAViews_TCL_CONSOLE, Tcl Console) +// HMemoryUtils.trashcanNow. Engine heap size: 3,476 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:25:49 PM CEST +// Elapsed time: 31 seconds +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj audioProc_vlog.prj +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: xvhdl --incr --relax -prj audioProc_vhdl.prj +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'audioProc' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:26:27 PM CEST +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 8549.523 ; gain = 0.000 ; free physical = 5660 ; free virtual = 15430 +// 'a' command handler elapsed time: 7 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 90 MB. Current time: 5/9/25, 3:26:32 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 78 MB. Current time: 5/9/25, 3:26:38 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:47 PM CEST +// Elapsed time: 22 seconds +expandTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, out_audioR[23:0]]", 6); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:51 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 72, 269); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (827, 531, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:53 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,474 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:55 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:26:58 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 76 MB. Current time: 5/9/25, 3:26:58 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +collapseTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, out_audioR[23:0]]", 9); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 77 MB. Current time: 5/9/25, 3:27:49 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 73 MB. Current time: 5/9/25, 3:27:51 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,430 MB. GUI used memory: 73 MB. Current time: 5/9/25, 3:27:51 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_CLOSE_WCFG +// Elapsed time: 72 seconds +closeView(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 1"); // t (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +selectButton("OptionPane.button", "Yes", "Simulation is Already Running"); // JButton (OptionPane.button) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj audioProc_vlog.prj +// Tcl Message: xvhdl --incr --relax -prj audioProc_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,441 MB. GUI used memory: 75 MB. Current time: 5/9/25, 3:28:42 PM CEST +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// Tcl Message: Time resolution is 1 ps +// Tcl Message: source audioProc.tcl +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 8556.098 ; gain = 6.574 ; free physical = 5679 ; free virtual = 15450 +// 'd' command handler elapsed time: 10 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// HMemoryUtils.trashcanNow. Engine heap size: 3,485 MB. GUI used memory: 76 MB. Current time: 5/9/25, 3:28:44 PM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed time: 11 seconds +selectTab((HResource) null, (HResource) null, "Sources", 1); // aa +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources]", 7); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1]", 8); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 10, true); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Node +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 10, true, false, false, false, true, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) - Popup Trigger - Node +selectMenu(PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, "Hierarchy Update"); // am (PAResourceCommand.PACommandNames_AUTO_UPDATE_HIER, Hierarchy Update) +selectMenu(PAResourceQtoS.SrcMenu_IP_HIERARCHY, "IP Hierarchy"); // am (PAResourceQtoS.SrcMenu_IP_HIERARCHY, IP Hierarchy) +selectMenuItem(PAResourceCommand.PACommandNames_SET_AS_TOP, "Set as Top"); // ap (PAResourceCommand.PACommandNames_SET_AS_TOP, set_as_top_menu) +// Run Command: PAResourceCommand.PACommandNames_SET_AS_TOP +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: set_property top tb_firUnit [get_filesets sim_1] +// TclEventType: FILE_SET_CHANGE +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: DG_GRAPH_STALE +// Tcl Message: set_property top_lib xil_defaultlib [get_filesets sim_1] +// TclEventType: DG_GRAPH_STALE +// Elapsed Time for: 'L.f': 01h:07m:00s +// Elapsed Time for: 'L.f': 01h:07m:02s +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj +// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: Built simulation snapshot tb_firUnit_behav +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 2,494 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:19 PM CEST +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps +// Tcl Message: source tb_firUnit.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8615.930 ; gain = 45.684 ; free physical = 5636 ; free virtual = 15426 +// 'd' command handler elapsed time: 9 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:25 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:25 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:25 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:37 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:39 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:39 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:39 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,879 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:40 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,401 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,618 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 81 MB. Current time: 5/9/25, 3:29:41 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:41 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,401 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:41 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,140 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:41 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 221, 144); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1500, 432, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:29:45 PM CEST +maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:50 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:29:51 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:52 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:53 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:29:56 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:58 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:29:59 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:00 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:30:02 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:03 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:30:03 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:04 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:04 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:30:05 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,529 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:14 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// Elapsed time: 30 seconds +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:19 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:21 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:30:24 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("controlUnit.vhd", 384, 156); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 220, 145); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 407, 568); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 407, 568, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 236, 284); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 236, 284, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 409, 566); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 409, 566, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 722, 522); // ac (controlUnit.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// [GUI Memory]: 162 MB (+675kb) [01:10:36] +// Elapsed Time for: 'L.f': 01h:08m:38s +selectCodeEditor("controlUnit.vhd", 94, 266); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 94, 266, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed Time for: 'L.f': 01h:08m:42s +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 608, 494); // ac (controlUnit.vhd) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 3*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Built simulation snapshot tb_firUnit_behav +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// HMemoryUtils.trashcanNow. Engine heap size: 2,511 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:31:05 PM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5502 ; free virtual = 15300 +// 'a' command handler elapsed time: 9 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_CLOSE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,556 MB. GUI used memory: 86 MB. Current time: 5/9/25, 3:31:12 PM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.BaseDialog_OK, "OK", "Confirm Close"); // a (RDIResource.BaseDialog_OK) +dismissDialog("Confirm Close"); // t (dialog1) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// HMemoryUtils.trashcanNow. Engine heap size: 2,485 MB. GUI used memory: 83 MB. Current time: 5/9/25, 3:31:17 PM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,467 MB. GUI used memory: 82 MB. Current time: 5/9/25, 3:31:18 PM CEST +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // j (PAResourceTtoZ.TaskBanner_CLOSE) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - audioProc", "DesignTask.SIMULATION"); +// TclEventType: WAVEFORM_CLOSE_WCFG +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj +// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '2' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,469 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:31:33 PM CEST +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps +// Tcl Message: source tb_firUnit.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5547 ; free virtual = 15350 +// 'd' command handler elapsed time: 6 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// HMemoryUtils.trashcanNow. Engine heap size: 2,469 MB. GUI used memory: 83 MB. Current time: 5/9/25, 3:31:34 PM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,469 MB. GUI used memory: 86 MB. Current time: 5/9/25, 3:31:37 PM CEST +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 2,459 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:31:44 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 153, 477); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (908, 740, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("controlUnit.vhd", 435, 560); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 435, 560, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 643, 536); // ac (controlUnit.vhd) +// Elapsed time: 53 seconds +selectCodeEditor("controlUnit.vhd", 77, 173); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 76, 295); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 85, 415); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 342, 371); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 178, 416); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 178, 416, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 11 seconds +selectCodeEditor("controlUnit.vhd", 248, 174); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +// Elapsed time: 10 seconds +selectCodeEditor("controlUnit.vhd", 246, 411); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 163, 292); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 163, 292, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 232, 292); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 248, 414); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 252, 414); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 403, 369); // ac (controlUnit.vhd) +// Elapsed time: 15 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +unMinimizeFrame(PAResourceOtoP.PAViews_SCOPE, "Scopes"); // R (PAResourceOtoP.PAViews_SCOPE, Scope) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,460 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:34:09 PM CEST +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "tb_firUnit ; tb_firUnit(archi_tb_firUnit) ; VHDL Entity", 0, "tb_firUnit(archi_tb_firUnit)", 1, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "firUnit_1 ; firUnit(archi_firUnit) ; VHDL Entity", 1, "firUnit(archi_firUnit)", 1, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "firUnit_1 ; firUnit(archi_firUnit) ; VHDL Entity", 1, "firUnit_1", 0, true, false, false, false, false, true); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) - Double Click - Node +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_OPEN_SOURCE +selectTreeTable(PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE, "controlUnit_1 ; controlUnit(archi_operativeUnit) ; VHDL Entity", 2, "controlUnit_1", 0, false); // c (PAResourceQtoS.SimulationScopesPanel_SIMULATE_SCOPE_TABLE) +// TclEventType: SIMULATION_REQUEST_SELECT_SCOPES +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +unMinimizeFrame(PAResourceOtoP.PAViews_OBJECTS, "Objects"); // R (PAResourceOtoP.PAViews_OBJECTS, Objects) +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTreeTable(PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE, "SR_currentState ; PROCESSING_LOOP ; Enumeration", 11, "SR_currentState", 0, false); // c (PAResourceQtoS.SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE) +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +selectButton(RDIResource.BaseDialog_OK, "OK", "Save Simulation Sources"); // a (RDIResource.BaseDialog_OK) +// TclEventType: DG_GRAPH_STALE +dismissDialog("Save Simulation Sources"); // c (dialog2) +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: DG_GRAPH_STALE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: FILE_SET_CHANGE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// HMemoryUtils.trashcanNow. Engine heap size: 2,490 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:34:41 PM CEST +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj +// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design +// Tcl Message: INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Built simulation snapshot tb_firUnit_behav +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5602 ; free virtual = 15329 +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5618 ; free virtual = 15351 +// 'a' command handler elapsed time: 14 seconds +// Elapsed time: 12 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// Elapsed Time for: 'L.f': 01h:12m:48s +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed time: 11 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 176, 182); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1485, 459, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:05 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:09 PM CEST +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:12 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:13 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:16 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:18 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:35:18 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:19 PM CEST +// Elapsed time: 18 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 10 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:35:42 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// Elapsed time: 14 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("controlUnit.vhd", 113, 294); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 210, 390); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 210, 390, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 208, 382); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 208, 382, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 222, 445); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 222, 503); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 222, 503, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 132, 548); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 132, 548, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 22 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 20, 182); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1341, 473, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:45 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:45 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:46 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:36:46 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:49 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:52 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:55 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:57 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:58 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:36:58 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:36:59 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:00 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:01 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:02 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:02 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:02 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:02 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,287 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:03 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:03 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 23 seconds +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:18 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:20 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:21 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:21 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 84 MB. Current time: 5/9/25, 3:37:22 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// Elapsed time: 12 seconds +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:31 PM CEST +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 85 MB. Current time: 5/9/25, 3:37:34 PM CEST +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:36 PM CEST +// Elapsed time: 12 seconds +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 18, 198); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (929, 476, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:42 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:43 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:44 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:37:48 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:37:49 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:50 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:51 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:51 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:52 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 88 MB. Current time: 5/9/25, 3:37:53 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,546 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:37:59 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,502 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:38:00 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// Elapsed time: 52 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("controlUnit.vhd", 501, 310); // ac (controlUnit.vhd) +// Elapsed time: 43 seconds +selectCodeEditor("controlUnit.vhd", 252, 185); // ac (controlUnit.vhd) +// Elapsed time: 46 seconds +selectCodeEditor("controlUnit.vhd", 288, 430); // ac (controlUnit.vhd) +// Elapsed time: 11 seconds +selectCodeEditor("controlUnit.vhd", 295, 428); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 102, 462); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 134, 400); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 134, 400, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 246, 462); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 246, 462, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 63, 443); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 265, 308); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 144, 277); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 144, 277, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 253, 341); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 253, 341, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 320, 337); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 249, 188); // ac (controlUnit.vhd) +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 134, 157); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 134, 157, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 235, 213); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 235, 213, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 350, 249); // ac (controlUnit.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 01h:18m:46s +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "firUnit.vhd", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// HMemoryUtils.trashcanNow. Engine heap size: 2,490 MB. GUI used memory: 87 MB. Current time: 5/9/25, 3:40:56 PM CEST +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Built simulation snapshot tb_firUnit_behav +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8636.066 ; gain = 0.000 ; free physical = 5583 ; free virtual = 15336 +// 'a' command handler elapsed time: 8 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// Elapsed Time for: 'L.f': 01h:19m:00s +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed time: 12 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("controlUnit.vhd", 451, 286); // ac (controlUnit.vhd) +// Elapsed time: 23 seconds +selectCodeEditor("controlUnit.vhd", 316, 475); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 316, 474, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 294, 346); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 148, 307); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 148, 307, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 330, 480); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 330, 480, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 334, 473); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 334, 473, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 333, 507); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 333, 507, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 334, 524); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 334, 524, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 336, 537); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 336, 537, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 338, 557); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 338, 557, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 337, 550); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 337, 550, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 400, 390); // ac (controlUnit.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 01h:19m:44s +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 4*", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// HMemoryUtils.trashcanNow. Engine heap size: 2,520 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:41:52 PM CEST +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj +// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Built simulation snapshot tb_firUnit_behav +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '4' seconds +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 8649.246 ; gain = 0.000 ; free physical = 5672 ; free virtual = 15422 +// 'a' command handler elapsed time: 9 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// Elapsed Time for: 'L.f': 01h:19m:56s +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(PAResourceTtoZ.TaskBanner_CLOSE, (String) null); // j (PAResourceTtoZ.TaskBanner_CLOSE) +closeTask("Simulation", "Behavioral Simulation - Functional - sim_1 - tb_firUnit", "DesignTask.SIMULATION"); +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_CLOSE_WCFG +selectButton("OptionPane.button", "Discard", "Save Waveform Configuration"); // JButton (OptionPane.button) +// TclEventType: SIMULATION_CLOSE_SIMULATION +// Tcl Message: close_sim +// Tcl Message: INFO: [Simtcl 6-16] Simulation closed +dismissDialog("Close"); // bj (Close Progress) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Simulation, Run Simulation]", 10, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +selectMenuItem(PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, "Run Behavioral Simulation"); // ap (PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL, simulation_run_behavioral_menu) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RUN_BEHAVIORAL +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// TclEventType: PACKAGER_MESSAGE_RESET +// TclEventType: PACKAGER_UNLOAD_CORE +// Tcl Message: launch_simulation +// Tcl Message: Command: launch_simulation +// Tcl Message: INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj +// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +// TclEventType: LAUNCH_SIM_LOG +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +// TclEventType: LAUNCH_SIM +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds INFO: [USF-XSim-4] XSim::Simulate design INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [USF-XSim-98] *** Running xsim +// Tcl Message: with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}" +// Tcl Message: INFO: [USF-XSim-8] Loading simulator feature +// TclEventType: SIMULATION_CREATE_SIMULATION_OBJECT +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +closeView(PAResourceOtoP.PAViews_PROJECT_SUMMARY, "Project Summary"); // u (PAResourceOtoP.PAViews_PROJECT_SUMMARY, PlanAheadTabProject Summary) +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_OPEN_WCFG +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// HMemoryUtils.trashcanNow. Engine heap size: 2,549 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:42:21 PM CEST +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_TITLE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps +// Tcl Message: source tb_firUnit.tcl +// Tcl Message: # set curr_wave [current_wave_config] # if { [string length $curr_wave] == 0 } { # if { [llength [get_objects]] > 0} { # add_wave / # set_property needs_save false [current_wave_config] # } else { # send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." # } # } # run 1000ns +// Tcl Message: INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded. INFO: [USF-XSim-97] XSim simulation ran for 1000ns +// Tcl Message: launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8658.109 ; gain = 8.863 ; free physical = 5678 ; free virtual = 15432 +// 'd' command handler elapsed time: 7 seconds +dismissDialog("Run Simulation"); // e (Run Simulation Progress) +// HMemoryUtils.trashcanNow. Engine heap size: 2,549 MB. GUI used memory: 89 MB. Current time: 5/9/25, 3:42:23 PM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_PROTOCOL_INSTANCE_TREE +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +maximizeView(PAResourceOtoP.PAViews_BASE_WORKSPACE, "BaseWorkspace"); // L (PAResourceOtoP.PAViews_BASE_WORKSPACE, PlanAheadTabBaseWorkspace) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,549 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:42:26 PM CEST +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("controlUnit.vhd", 123, 33); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 123, 33, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'c'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 302, 566); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 302, 566, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 307, 578); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 307, 578, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 309, 596); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 309, 596, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 315, 616); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 315, 616, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +// [GUI Memory]: 174 MB (+4045kb) [01:22:36] +selectCodeEditor("controlUnit.vhd", 316, 609); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 316, 609, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 318, 640); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 318, 640, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 315, 653); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 315, 653, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +typeControlKey((HResource) null, "controlUnit.vhd", 'v'); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 344, 402); // ac (controlUnit.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 01h:20m:40s +// Elapsed Time for: 'L.f': 01h:20m:42s +// TclEventType: SIMULATION_ADD_BREAKPOINT +// Tcl Message: add_bp {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} 96 +// TclEventType: SIMULATION_DELETE_BREAKPOINT +// Tcl Message: remove_bps -file {/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd} -line 96 +selectCodeEditor("controlUnit.vhd", 233, 396); // ac (controlUnit.vhd) +// Elapsed time: 22 seconds +selectCodeEditor("controlUnit.vhd", 261, 222); // ac (controlUnit.vhd) +// Elapsed time: 23 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "firUnit.vhd", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "tb_firUnit.vhd", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// HMemoryUtils.trashcanNow. Engine heap size: 2,527 MB. GUI used memory: 91 MB. Current time: 5/9/25, 3:43:39 PM CEST +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +// Tcl Message: INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/controlUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'controlUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'firUnit' INFO: [VRFC 10-163] Analyzing VHDL file "/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/src/hdl/tb_firUnit.vhd" into library xil_defaultlib INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit' +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '4' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Built simulation snapshot tb_firUnit_behav +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 8676.250 ; gain = 0.000 ; free physical = 5699 ; free virtual = 15449 +// 'a' command handler elapsed time: 10 seconds +// Elapsed time: 10 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Elapsed time: 34 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 118 seconds +selectCodeEditor("controlUnit.vhd", 466, 231); // ac (controlUnit.vhd) +// Elapsed time: 16 seconds +unMinimizeFrame(PAResourceOtoP.PAViews_SOURCES, "Sources"); // R (PAResourceOtoP.PAViews_SOURCES, Sources) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Utility Sources]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Utility Sources, utils_1]", 13, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Constraints]", 6); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, audioProc (audioProc.v)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd), controlUnit_1 : controlUnit(archi_operativeUnit) (controlUnit.vhd)]", 13, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd), operativeUnit_1 : operativeUnit (operativeUnit.v)]", 14, false); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, audioProc (audioProc.v)]", 3); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 4); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Disabled Sources]", 4); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 2); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 1); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +// Elapsed time: 25 seconds +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd)]", 11); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +collapseTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Simulation Sources, sim_1, tb_firUnit(archi_tb_firUnit) (tb_firUnit.vhd), firUnit_1 : firUnit(archi_firUnit) (firUnit.vhd)]", 12); // E (PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE) +// Elapsed time: 18 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 20 seconds +selectCodeEditor("controlUnit.vhd", 138, 325); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 138, 325, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +selectCodeEditor("controlUnit.vhd", 139, 352); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 139, 352, false, false, false, false, true); // ac (controlUnit.vhd) - Double Click +// Elapsed time: 21 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:48:39 PM CEST +// Elapsed time: 12 seconds +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Waveform Style"); // am (Waveform Style) +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 223, 379); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1270, 639, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectTree(RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE, "[true, SC_filteredSample[15:0]]", 4, true, false, false, false, true, false); // k (RDIResource.WaveformNameTree_WAVEFORM_NAME_TREE) - Popup Trigger - Node +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectMenu("Name"); // am (Name) +selectMenu("Waveform Style"); // am (Waveform Style) +selectMenu("Signal Color"); // am (Signal Color) +selectMenu("Radix"); // am (Radix) +selectMenu("Radix"); // am (Radix) +selectMenuItem((HResource) null, "Signed Decimal"); // ad (Signed Decimal) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_UPDATE_TITLE +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:06 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 228, 468); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1275, 721, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// Elapsed time: 13 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 434, 344); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1463, 607, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:21 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:21 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// [Engine Memory]: 3,642 MB (+12449kb) [01:29:15] +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:22 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:23 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:23 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,642 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,514 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 92 MB. Current time: 5/9/25, 3:49:24 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,598 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:24 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, "simulation_live_run_all"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL, simulation_live_run_all) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN_ALL +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// Tcl Message: run all +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, "simulation_live_break"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK, simulation_live_break) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_BREAK +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, "simulation_live_restart"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART, simulation_live_restart) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RESTART +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 3,660 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:49:35 PM CEST +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// Tcl Message: restart +// Tcl Message: INFO: [Wavedata 42-604] Simulation restarted +dismissDialog("Restart"); // bj (Restart Progress) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, "simulation_relaunch"); // B (PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH, simulation_relaunch) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_RELAUNCH +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: relaunch_sim +// Tcl Message: Command: launch_simulation -step compile -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-2] XSim::Compile design INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xvlog --incr --relax -prj tb_firUnit_vlog.prj +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Tcl Message: xvhdl --incr --relax -prj tb_firUnit_vhdl.prj +// TclEventType: LAUNCH_SIM +// TclEventType: FILE_SET_OPTIONS_CHANGE +// Tcl Message: Waiting for jobs to finish... No pending jobs, compilation finished. +// Tcl Message: INFO: [USF-XSim-69] 'compile' step finished in '3' seconds +// Tcl Message: Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral +// Tcl Message: INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit' INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' INFO: [SIM-utils-51] Simulation object is 'sim_1' INFO: [USF-XSim-3] XSim::Elaborate design INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.sim/sim_1/behav/xsim' +// Tcl Message: xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_MODEL_ABOUT_TO_CLOSE +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: SIMULATION_MODEL_RELOADED +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_DELETE_ALL_BREAKPOINTS +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: Time resolution is 1 ps relaunch_sim: Time (s): cpu = 00:00:10 ; elapsed = 00:00:06 . Memory (MB): peak = 8723.930 ; gain = 0.000 ; free physical = 5641 ; free virtual = 15424 +// 'a' command handler elapsed time: 6 seconds +dismissDialog("Relaunch Simulation"); // b (Relaunch Simulation Progress) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:51 PM CEST +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:52 PM CEST +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:49:53 PM CEST +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:49:54 PM CEST +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) +// Tcl Message: run 10 ns +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 ns +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectComboBox(PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS, "ms", 1); // b (PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS) +selectComboBox(PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS, "us", 2); // b (PAResourceQtoS.SimulationLiveRunForComp_SPECIFY_TIME_AND_UNITS) +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_DELAYED_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +selectButton(PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, "simulation_live_run_for_time"); // B (PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN, simulation_live_run_for_time) +// Run Command: PAResourceCommand.PACommandNames_SIMULATION_LIVE_RUN +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// TclEventType: SIMULATION_CLEAR_CURRENT_LINE +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:01 PM CEST +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: SIMULATION_OBJECT_TREE_RESTORED +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: SIMULATION_UPDATE_LATEST_TIME +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: SIMULATION_CURRENT_SCOPE_CHANGED +// TclEventType: SIMULATION_CURRENT_STACK_CHANGED +// TclEventType: SIMULATION_UPDATE_STACK_FRAMES +// TclEventType: SIMULATION_CURRENT_STACK_FRAME_CHANGED +// TclEventType: SIMULATION_UPDATE_LOCALS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_OBJECT_TREE +// TclEventType: SIMULATION_UPDATE_SIMULATION_STATE +// Tcl Message: run 10 us +// TclEventType: WAVEFORM_MODEL_EVENT +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_MODEL_EVENT +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 393, 144); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1419, 428, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:06 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:08 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:08 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:09 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:09 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:10 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:10 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 93 MB. Current time: 5/9/25, 3:50:11 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:11 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:11 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// Elapsed time: 11 seconds +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 14 seconds +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 10, 145); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1075, 425, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:31 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 95 MB. Current time: 5/9/25, 3:50:37 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:42 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:44 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:44 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:44 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 95 MB. Current time: 5/9/25, 3:50:49 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:50 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:53 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:50:55 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,650 MB. GUI used memory: 95 MB. Current time: 5/9/25, 3:50:58 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,606 MB. GUI used memory: 94 MB. Current time: 5/9/25, 3:51:01 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// Elapsed time: 34 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("controlUnit.vhd", 320, 283); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 283, 127); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 333, 368); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 343, 380); // ac (controlUnit.vhd) +selectCodeEditor("controlUnit.vhd", 365, 326); // ac (controlUnit.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed Time for: 'L.f': 01h:29m:11s +// Elapsed Time for: 'L.f': 01h:29m:14s +// Elapsed time: 140 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 5); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "Untitled 5*", 4); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:41 PM CEST +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 437, 249); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1316, 512, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 21, 260); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** startDrag (909, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:44 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:45 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:53:45 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:53:45 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:45 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:45 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:45 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:46 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** endDrag (1020, 522); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 110, 305); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (994, 567, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:46 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 476, 435); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** startDrag (1365, 696); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:52 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:53:52 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** endDrag (1462, 686); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 714, 713); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1599, 976, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +typeControlKey(null, null, 'z'); +typeControlKey(null, null, 'z'); +selectButton(RDIResource.GraphicalView_ZOOM_FIT, "Waveform Viewer_zoom_fit"); // B (RDIResource.GraphicalView_ZOOM_FIT, Waveform Viewer_zoom_fit) +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:53:56 PM CEST +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 177, 232); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** leftMouseClick (1051, 501, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:02 PM CEST +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:06 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,536 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,277 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,016 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,624 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,538 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:07 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:08 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:08 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:08 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 98 MB. Current time: 5/9/25, 3:54:08 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:08 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:10 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:10 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:11 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:11 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,755 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:11 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:11 PM CEST +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 96 MB. Current time: 5/9/25, 3:54:13 PM CEST +// Elapsed time: 11 seconds +floatFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 5*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 5) +// RDIResource.RDIViews_WAVEFORM_VIEWER: Untitled 5*: float view +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// HMemoryUtils.trashcanNow. Engine heap size: 3,666 MB. GUI used memory: 97 MB. Current time: 5/9/25, 3:54:15 PM CEST +maximizeFrame(RDIResource.RDIViews_WAVEFORM_VIEWER, "Untitled 5*"); // R (RDIResource.RDIViews_WAVEFORM_VIEWER, Untitled 5) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 628, 364); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +/********** leftMouseClick (1107, 464, true); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_SELECTED_WAVE_OBJECT_NAMES +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 100 MB. Current time: 5/9/25, 3:54:18 PM CEST +selectButton(RDIResource.GraphicalView_ZOOM_OUT, "Waveform Viewer_zoom_out"); // B (RDIResource.GraphicalView_ZOOM_OUT, Waveform Viewer_zoom_out) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectButton(RDIResource.GraphicalView_ZOOM_IN, "Waveform Viewer_zoom_in"); // B (RDIResource.GraphicalView_ZOOM_IN, Waveform Viewer_zoom_in) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// TclEventType: WAVEFORM_UPDATE_COMMANDS +// TclEventType: WAVEFORM_MOVE_CURSOR +selectGraphicalView(RDIResource.RDIViews_WAVEFORM_VIEWER, 299, 426); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +/********** startDrag (767, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// HMemoryUtils.trashcanNow. Engine heap size: 2,622 MB. GUI used memory: 99 MB. Current time: 5/9/25, 3:54:31 PM CEST +/********** endDrag (767, 523); // b (RDIResource.RDIViews_WAVEFORM_VIEWER, PlanAheadTabWaveform Viewer_viewer) **********/ +// TclEventType: WAVEFORM_UPDATE_WAVEFORM +// Elapsed time: 162 seconds +selectCodeEditor("operativeUnit.v", 303, 361); // ac (operativeUnit.v) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed time: 303 seconds +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 3); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +selectCodeEditor("operativeUnit.v", 249, 475); // ac (operativeUnit.v) +// Elapsed time: 11 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.v", 2); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("operativeUnit.v", 21, 345); // ac (operativeUnit.v) +selectCodeEditor("operativeUnit.v", 307, 339); // ac (operativeUnit.v) +closeView(PAResourceOtoP.PAViews_CODE, "Code"); // J (PAResourceOtoP.PAViews_CODE, PlanAheadTabCode) +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectTree(PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, "[, Program and Debug, Generate Bitstream]", 21, false); // g (PAResourceEtoH.FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE, Flow Navigator Tree) +// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN +selectButton(RDIResource.BaseDialog_YES, "Yes", "No Implementation Results Available"); // a (RDIResource.BaseDialog_YES) +dismissDialog("No Implementation Results Available"); // t (dialog3) +selectButton(RDIResource.BaseDialog_OK, "OK", "Launch Runs"); // a (RDIResource.BaseDialog_OK) +// 'cI' command handler elapsed time: 5 seconds +dismissDialog("Launch Runs"); // cL (dialog4) +// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 6 +// TclEventType: RUN_LAUNCH +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_MODIFY +// Tcl Message: [Fri May 9 16:03:06 2025] Launched synth_1... Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/synth_1/runme.log [Fri May 9 16:03:06 2025] Launched impl_1... Run output will be captured here: /homes/l24nicot/path/wish/tp-vhdl-mee/UE-name/tp-filtre-etudiant/proj/AudioProc.runs/impl_1/runme.log +dismissDialog("Generate Bitstream"); // bj (Generate Bitstream Progress) +// TclEventType: RUN_STATUS_CHANGE +// Elapsed time: 77 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// TclEventType: RUN_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_STEP_COMPLETED +// TclEventType: RUN_STATUS_CHANGE +// Elapsed time: 29 seconds +selectCodeEditor("operativeUnit.vhd", 158, 383); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 472, 420); // ac (operativeUnit.vhd) +// Elapsed time: 18 seconds +selectCodeEditor("operativeUnit.vhd", 51, 120); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 51, 120, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +selectCodeEditor("operativeUnit.vhd", 53, 109); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 53, 109, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +selectCodeEditor("operativeUnit.vhd", 350, 293); // ac (operativeUnit.vhd) +// Elapsed time: 11 seconds +selectCodeEditor("operativeUnit.vhd", 204, 96); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 326, 122); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 54, 112); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 54, 112, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +selectCodeEditor("operativeUnit.vhd", 129, 173); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 129, 173, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +selectCodeEditor("operativeUnit.vhd", 105, 326); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 105, 326, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 127, 504); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 127, 504, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) +typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) +// TclEventType: RUN_STEP_COMPLETED +// Elapsed time: 15 seconds +selectCodeEditor("operativeUnit.vhd", 99, 504); // ac (operativeUnit.vhd) +// TclEventType: RUN_FAILED +// TclEventType: RUN_STATUS_CHANGE +// TclEventType: RUN_STEP_COMPLETED +selectButton(RDIResource.BaseDialog_OK, "OK", "Implementation Failed"); // a (RDIResource.BaseDialog_OK) +// Run Command: PAResourceCommand.PACommandNames_MESSAGE_WINDOW +dismissDialog("Implementation Failed"); // Q.a (dialog5) +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +// TclEventType: SIMULATION_UPDATE_SCOPE_TREE +// TclEventType: SIMULATION_UPDATE_STACKS +selectCodeEditor("operativeUnit.vhd", 261, 510); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 264, 503); // ac (operativeUnit.vhd) +// Elapsed time: 46 seconds +selectCodeEditor("operativeUnit.vhd", 157, 173); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 158, 173, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 252, 415); // ac (operativeUnit.vhd) +typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 62, 430); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 56, 433); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 166, 356); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 166, 356, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +selectCodeEditor("operativeUnit.vhd", 105, 379); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 105, 379, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 172, 353); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 172, 353, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 144, 412); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 143, 412, false, false, false, false, true); // ac (operativeUnit.vhd) - Double Click +typeControlKey((HResource) null, "operativeUnit.vhd", 'c'); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 199, 356); // ac (operativeUnit.vhd) +typeControlKey((HResource) null, "operativeUnit.vhd", 'v'); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 57, 424); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 220, 431); // ac (operativeUnit.vhd) +// Elapsed time: 32 seconds +selectCodeEditor("operativeUnit.vhd", 375, 401); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 461, 426); // ac (operativeUnit.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +// Elapsed time: 18 seconds +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed Time for: 'L.f': 01h:46m:21s +selectCodeEditor("controlUnit.vhd", 335, 304); // ac (controlUnit.vhd) +// Elapsed Time for: 'L.f': 01h:46m:23s +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "operativeUnit.vhd", 1); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +selectCodeEditor("operativeUnit.vhd", 165, 434); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 265, 433); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 238, 419); // ac (operativeUnit.vhd) +selectCodeEditor("operativeUnit.vhd", 389, 331); // ac (operativeUnit.vhd) +// TclEventType: DG_GRAPH_STALE +// TclEventType: FILE_SET_CHANGE +selectTab("PlanAheadTabBaseWorkspace_JideTabbedPane", (HResource) null, "controlUnit.vhd", 0); // o (PlanAheadTabBaseWorkspace_JideTabbedPane) +// Elapsed Time for: 'L.f': 01h:46m:31s +// Elapsed Time for: 'L.f': 01h:46m:33s -- GitLab