diff --git a/proj/AudioProc.cache/wt/project.wpc b/proj/AudioProc.cache/wt/project.wpc
index 6888edec1ac73923cacb7d6dea38f6663dae769b..0161c5dc0f5f765e2ce7673a1d56fbab66e4a335 100644
--- a/proj/AudioProc.cache/wt/project.wpc
+++ b/proj/AudioProc.cache/wt/project.wpc
@@ -1,3 +1,3 @@
 version:1
-6d6f64655f636f756e7465727c4755494d6f6465:2
+6d6f64655f636f756e7465727c4755494d6f6465:6
 eof:
diff --git a/proj/AudioProc.cache/wt/synthesis.wdf b/proj/AudioProc.cache/wt/synthesis.wdf
new file mode 100644
index 0000000000000000000000000000000000000000..e6352648b1b8d27db126163e6015e3ae7fd15c44
--- /dev/null
+++ b/proj/AudioProc.cache/wt/synthesis.wdf
@@ -0,0 +1,52 @@
+version:1
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761323030747362673438342d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:617564696f50726f63:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7668646c5f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e6372656d656e74616c5f6d6f6465:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c696e74:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66696c65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f77:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64617461666c6f775f73657474696e6773:64656661756c743a3a6e6f6e65:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:5b7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6c75745f63617363616465:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6f73:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:343030:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:35:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:6f6e655f686f74:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:5b7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:6f6666:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d676c6f62616c5f726574696d696e67:64656661756c743a3a6175746f:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d64656275675f6c6f67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d657374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00
+73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a343173:00:00
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:323731322e3632314d42:00:00
+73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:313031312e3136304d42:00:00
+eof:1299158187
diff --git a/proj/AudioProc.cache/wt/synthesis_details.wdf b/proj/AudioProc.cache/wt/synthesis_details.wdf
new file mode 100644
index 0000000000000000000000000000000000000000..78f8d66e566c72c9b7f2063ebfcca519992e3006
--- /dev/null
+++ b/proj/AudioProc.cache/wt/synthesis_details.wdf
@@ -0,0 +1,3 @@
+version:1
+73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00
+eof:2511430288
diff --git a/proj/AudioProc.cache/wt/webtalk_pa.xml b/proj/AudioProc.cache/wt/webtalk_pa.xml
new file mode 100644
index 0000000000000000000000000000000000000000..c6f41e74bd485c58da4bdbd0959263afa2729f7c
--- /dev/null
+++ b/proj/AudioProc.cache/wt/webtalk_pa.xml
@@ -0,0 +1,21 @@
+<?xml version="1.0" encoding="UTF-8" ?>
+<document>
+<!--The data in this file is primarily intended for consumption by Xilinx tools.
+The structure and the elements are likely to change over the next few releases.
+This means code written to parse this file will need to be revisited each subsequent release.-->
+<application name="pa" timeStamp="Mon May 12 08:21:55 2025">
+<section name="Project Information" visible="false">
+<property name="ProjectID" value="842adac524d141eb8a237c087711fc89" type="ProjectID"/>
+<property name="ProjectIteration" value="1" type="ProjectIteration"/>
+</section>
+<section name="PlanAhead Usage" visible="true">
+<item name="Project Data">
+<property name="SrcSetCount" value="1" type="SrcSetCount"/>
+<property name="ConstraintSetCount" value="1" type="ConstraintSetCount"/>
+<property name="DesignMode" value="RTL" type="DesignMode"/>
+<property name="SynthesisStrategy" value="Flow_PerfOptimized_High" type="SynthesisStrategy"/>
+<property name="ImplStrategy" value="Vivado Implementation Defaults" type="ImplStrategy"/>
+</item>
+</section>
+</application>
+</document>
diff --git a/proj/AudioProc.hw/AudioProc.lpr b/proj/AudioProc.hw/AudioProc.lpr
index afc0a86cf8f820e635f040c3869b4b647d11ec04..aa18adc095c6432a86aa8a7a331502559213b706 100644
--- a/proj/AudioProc.hw/AudioProc.lpr
+++ b/proj/AudioProc.hw/AudioProc.lpr
@@ -4,4 +4,6 @@
 <!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                        -->
 <!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.        -->
 
-<labtools version="1" minor="0"/>
+<labtools version="1" minor="0">
+  <HWSession Dir="hw_1" File="hw.xml"/>
+</labtools>
diff --git a/proj/AudioProc.hw/hw_1/hw.xml b/proj/AudioProc.hw/hw_1/hw.xml
new file mode 100644
index 0000000000000000000000000000000000000000..2cc8b5c9dbf896cee7f203c4cfa2a0fccaedffa0
--- /dev/null
+++ b/proj/AudioProc.hw/hw_1/hw.xml
@@ -0,0 +1,18 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<!-- Product Version: Vivado v2024.1 (64-bit)                                     -->
+<!--                                                                              -->
+<!-- Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.                        -->
+<!-- Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.        -->
+
+<hwsession version="1" minor="2">
+  <device name="xc7a200t_0" gui_info=""/>
+  <ObjectList object_type="hw_device" gui_info="">
+    <Object name="xc7a200t_0" gui_info="">
+      <Properties Property="FULL_PROBES.FILE" value=""/>
+      <Properties Property="PROBES.FILE" value=""/>
+      <Properties Property="PROGRAM.HW_BITSTREAM" value="$_project_name_.runs/impl_1/audioProc.bit"/>
+      <Properties Property="SLR.COUNT" value="1"/>
+    </Object>
+  </ObjectList>
+  <probeset name="hw project" active="false"/>
+</hwsession>
diff --git a/proj/AudioProc.runs/.jobs/vrs_config_1.xml b/proj/AudioProc.runs/.jobs/vrs_config_1.xml
new file mode 100644
index 0000000000000000000000000000000000000000..c755425dc8526455bb5b129d0b6aec4d1fbc236f
--- /dev/null
+++ b/proj/AudioProc.runs/.jobs/vrs_config_1.xml
@@ -0,0 +1,15 @@
+<?xml version="1.0"?>
+<Runs Version="1" Minor="0">
+	<Run Id="synth_1" LaunchDir="/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1" FlowId="Vivado_Synthesis" FromStepId="vivado" ToStepId="vivado"/>
+	<Run Id="impl_1" LaunchDir="/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1" FlowId="Vivado_Implementation" FromStepId="init_design" ToStepId="write_bitstream">
+		<Parent Id="synth_1"/>
+	</Run>
+	<Parameters>
+		<Parameter Name="runs.monitorLSFJobs" Val="true" Type="bool"/>
+		<Parameter Name="runs.enableClusterConf" Val="true" Type="bool"/>
+		<Parameter Name="general.ignorePathLengthChecks" Val="true" Type="bool"/>
+		<Parameter Name="general.shortenLongPath" Val="true" Type="bool"/>
+	</Parameters>
+	<ProductInfo Name="vivado"/>
+</Runs>
+
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log b/proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst
similarity index 100%
rename from proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimcrash.log
rename to proj/AudioProc.runs/impl_1/.Vivado_Implementation.queue.rst
diff --git a/proj/AudioProc.runs/impl_1/.init_design.begin.rst b/proj/AudioProc.runs/impl_1/.init_design.begin.rst
new file mode 100644
index 0000000000000000000000000000000000000000..2ca47d972c359e038d4f4c4e71279ee94c8338cf
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/.init_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686">
+    </Process>
+</ProcessHandle>
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log b/proj/AudioProc.runs/impl_1/.init_design.end.rst
similarity index 100%
rename from proj/AudioProc.sim/sim_1/behav/xsim/xvlog.log
rename to proj/AudioProc.runs/impl_1/.init_design.end.rst
diff --git a/proj/AudioProc.runs/impl_1/.opt_design.begin.rst b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst
new file mode 100644
index 0000000000000000000000000000000000000000..2ca47d972c359e038d4f4c4e71279ee94c8338cf
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/.opt_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686">
+    </Process>
+</ProcessHandle>
diff --git a/proj/AudioProc.runs/impl_1/.opt_design.end.rst b/proj/AudioProc.runs/impl_1/.opt_design.end.rst
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/proj/AudioProc.runs/impl_1/.place_design.begin.rst b/proj/AudioProc.runs/impl_1/.place_design.begin.rst
new file mode 100644
index 0000000000000000000000000000000000000000..2ca47d972c359e038d4f4c4e71279ee94c8338cf
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/.place_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686">
+    </Process>
+</ProcessHandle>
diff --git a/proj/AudioProc.runs/impl_1/.place_design.end.rst b/proj/AudioProc.runs/impl_1/.place_design.end.rst
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/proj/AudioProc.runs/impl_1/.route_design.begin.rst b/proj/AudioProc.runs/impl_1/.route_design.begin.rst
new file mode 100644
index 0000000000000000000000000000000000000000..2ca47d972c359e038d4f4c4e71279ee94c8338cf
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/.route_design.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686">
+    </Process>
+</ProcessHandle>
diff --git a/proj/AudioProc.runs/impl_1/.route_design.end.rst b/proj/AudioProc.runs/impl_1/.route_design.end.rst
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/proj/AudioProc.runs/impl_1/.vivado.begin.rst b/proj/AudioProc.runs/impl_1/.vivado.begin.rst
new file mode 100644
index 0000000000000000000000000000000000000000..680bf4b26971016bb5f3a947cd84c740e6abcd5b
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/.vivado.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="t24autul" Host="fl-tp-br-543" Pid="382614" HostCore="4" HostMemory="16297152">
+    </Process>
+</ProcessHandle>
diff --git a/proj/AudioProc.runs/impl_1/.vivado.end.rst b/proj/AudioProc.runs/impl_1/.vivado.end.rst
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst
new file mode 100644
index 0000000000000000000000000000000000000000..2ca47d972c359e038d4f4c4e71279ee94c8338cf
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/.write_bitstream.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command=".planAhead." Owner="t24autul" Host="" Pid="382686">
+    </Process>
+</ProcessHandle>
diff --git a/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst b/proj/AudioProc.runs/impl_1/.write_bitstream.end.rst
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.js b/proj/AudioProc.runs/impl_1/ISEWrap.js
new file mode 100755
index 0000000000000000000000000000000000000000..61806d02710bd275f7cfe41a9d52914e7a1e63df
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/ISEWrap.js
@@ -0,0 +1,270 @@
+//
+//  Vivado(TM)
+//  ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6
+//  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. 
+//  Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. 
+//
+
+// GLOBAL VARIABLES
+var ISEShell = new ActiveXObject( "WScript.Shell" );
+var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" );
+var ISERunDir = "";
+var ISELogFile = "runme.log";
+var ISELogFileStr = null;
+var ISELogEcho = true;
+var ISEOldVersionWSH = false;
+
+
+
+// BOOTSTRAP
+ISEInit();
+
+
+
+//
+// ISE FUNCTIONS
+//
+function ISEInit() {
+
+  // 1. RUN DIR setup
+  var ISEScrFP = WScript.ScriptFullName;
+  var ISEScrN = WScript.ScriptName;
+  ISERunDir = 
+    ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 );
+
+  // 2. LOG file setup
+  ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  // 3. LOG echo?
+  var ISEScriptArgs = WScript.Arguments;
+  for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) {
+    if ( ISEScriptArgs(loopi) == "-quiet" ) {
+      ISELogEcho = false;
+      break;
+    }
+  }
+
+  // 4. WSH version check
+  var ISEOptimalVersionWSH = 5.6;
+  var ISECurrentVersionWSH = WScript.Version;
+  if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) {
+
+    ISEStdErr( "" );
+    ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " +
+	       ISEOptimalVersionWSH + " or higher. Downloads" );
+    ISEStdErr( "         for upgrading your Windows Scripting Host can be found here: " );
+    ISEStdErr( "             http://msdn.microsoft.com/downloads/list/webdev.asp" );
+    ISEStdErr( "" );
+
+    ISEOldVersionWSH = true;
+  }
+
+}
+
+function ISEStep( ISEProg, ISEArgs ) {
+
+  // CHECK for a STOP FILE
+  if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) {
+    ISEStdErr( "" );
+    ISEStdErr( "*** Halting run - EA reset detected ***" );
+    ISEStdErr( "" );
+    WScript.Quit( 1 );
+  }
+
+  // WRITE STEP HEADER to LOG
+  ISEStdOut( "" );
+  ISEStdOut( "*** Running " + ISEProg );
+  ISEStdOut( "    with args " + ISEArgs );
+  ISEStdOut( "" );
+
+  // LAUNCH!
+  var ISEExitCode = ISEExec( ISEProg, ISEArgs );  
+  if ( ISEExitCode != 0 ) {
+    WScript.Quit( ISEExitCode );
+  }
+
+}
+
+function ISEExec( ISEProg, ISEArgs ) {
+
+  var ISEStep = ISEProg;
+  if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") {
+    ISEProg += ".bat";
+  }
+
+  var ISECmdLine = ISEProg + " " + ISEArgs;
+  var ISEExitCode = 1;
+
+  if ( ISEOldVersionWSH ) { // WSH 5.1
+
+    // BEGIN file creation
+    ISETouchFile( ISEStep, "begin" );
+
+    // LAUNCH!
+    ISELogFileStr.Close();
+    ISECmdLine = 
+      "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1";
+    ISEExitCode = ISEShell.Run( ISECmdLine, 0, true );
+    ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  } else {  // WSH 5.6
+
+    // LAUNCH!
+    ISEShell.CurrentDirectory = ISERunDir;
+
+    // Redirect STDERR to STDOUT
+    ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1";
+    var ISEProcess = ISEShell.Exec( ISECmdLine );
+    
+    // BEGIN file creation
+    var wbemFlagReturnImmediately = 0x10;
+    var wbemFlagForwardOnly = 0x20;
+    var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2");
+    var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var NOC = 0;
+    var NOLP = 0;
+    var TPM = 0;
+    var cpuInfos = new Enumerator(processor);
+    for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) {
+        var cpuInfo = cpuInfos.item();
+        NOC += cpuInfo.NumberOfCores;
+        NOLP += cpuInfo.NumberOfLogicalProcessors;
+    }
+    var csInfos = new Enumerator(computerSystem);
+    for(;!csInfos.atEnd(); csInfos.moveNext()) {
+        var csInfo = csInfos.item();
+        TPM += csInfo.TotalPhysicalMemory;
+    }
+
+    var ISEHOSTCORE = NOLP
+    var ISEMEMTOTAL = TPM
+
+    var ISENetwork = WScript.CreateObject( "WScript.Network" );
+    var ISEHost = ISENetwork.ComputerName;
+    var ISEUser = ISENetwork.UserName;
+    var ISEPid = ISEProcess.ProcessID;
+    var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" );
+    ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" );
+    ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" );
+    ISEBeginFile.WriteLine( "    <Process Command=\"" + ISEProg + 
+			    "\" Owner=\"" + ISEUser + 
+			    "\" Host=\"" + ISEHost + 
+			    "\" Pid=\"" + ISEPid +
+			    "\" HostCore=\"" + ISEHOSTCORE +
+			    "\" HostMemory=\"" + ISEMEMTOTAL +
+			    "\">" );
+    ISEBeginFile.WriteLine( "    </Process>" );
+    ISEBeginFile.WriteLine( "</ProcessHandle>" );
+    ISEBeginFile.Close();
+    
+    var ISEOutStr = ISEProcess.StdOut;
+    var ISEErrStr = ISEProcess.StdErr;
+    
+    // WAIT for ISEStep to finish
+    while ( ISEProcess.Status == 0 ) {
+      
+      // dump stdout then stderr - feels a little arbitrary
+      while ( !ISEOutStr.AtEndOfStream ) {
+        ISEStdOut( ISEOutStr.ReadLine() );
+      }  
+      
+      WScript.Sleep( 100 );
+    }
+
+    ISEExitCode = ISEProcess.ExitCode;
+  }
+
+  ISELogFileStr.Close();
+
+  // END/ERROR file creation
+  if ( ISEExitCode != 0 ) {    
+    ISETouchFile( ISEStep, "error" );
+    
+  } else {
+    ISETouchFile( ISEStep, "end" );
+  }
+
+  return ISEExitCode;
+}
+
+
+//
+// UTILITIES
+//
+function ISEStdOut( ISELine ) {
+
+  ISELogFileStr.WriteLine( ISELine );
+  
+  if ( ISELogEcho ) {
+    WScript.StdOut.WriteLine( ISELine );
+  }
+}
+
+function ISEStdErr( ISELine ) {
+  
+  ISELogFileStr.WriteLine( ISELine );
+
+  if ( ISELogEcho ) {
+    WScript.StdErr.WriteLine( ISELine );
+  }
+}
+
+function ISETouchFile( ISERoot, ISEStatus ) {
+
+  var ISETFile = 
+    ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" );
+  ISETFile.Close();
+}
+
+function ISEOpenFile( ISEFilename ) {
+
+  // This function has been updated to deal with a problem seen in CR #870871.
+  // In that case the user runs a script that runs impl_1, and then turns around
+  // and runs impl_1 -to_step write_bitstream. That second run takes place in
+  // the same directory, which means we may hit some of the same files, and in
+  // particular, we will open the runme.log file. Even though this script closes
+  // the file (now), we see cases where a subsequent attempt to open the file
+  // fails. Perhaps the OS is slow to release the lock, or the disk comes into
+  // play? In any case, we try to work around this by first waiting if the file
+  // is already there for an arbitrary 5 seconds. Then we use a try-catch block
+  // and try to open the file 10 times with a one second delay after each attempt.
+  // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871.
+  // If there is an unrecognized exception when trying to open the file, we output
+  // an error message and write details to an exception.log file.
+  var ISEFullPath = ISERunDir + "/" + ISEFilename;
+  if (ISEFileSys.FileExists(ISEFullPath)) {
+    // File is already there. This could be a problem. Wait in case it is still in use.
+    WScript.Sleep(5000);
+  }
+  var i;
+  for (i = 0; i < 10; ++i) {
+    try {
+      return ISEFileSys.OpenTextFile(ISEFullPath, 8, true);
+    } catch (exception) {
+      var error_code = exception.number & 0xFFFF; // The other bits are a facility code.
+      if (error_code == 52) { // 52 is bad file name or number.
+        // Wait a second and try again.
+        WScript.Sleep(1000);
+        continue;
+      } else {
+        WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+        var exceptionFilePath = ISERunDir + "/exception.log";
+        if (!ISEFileSys.FileExists(exceptionFilePath)) {
+          WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details.");
+          var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true);
+          exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+          exceptionFile.WriteLine("\tException name: " + exception.name);
+          exceptionFile.WriteLine("\tException error code: " + error_code);
+          exceptionFile.WriteLine("\tException message: " + exception.message);
+          exceptionFile.Close();
+        }
+        throw exception;
+      }
+    }
+  }
+  // If we reached this point, we failed to open the file after 10 attempts.
+  // We need to error out.
+  WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath);
+  WScript.Quit(1);
+}
diff --git a/proj/AudioProc.runs/impl_1/ISEWrap.sh b/proj/AudioProc.runs/impl_1/ISEWrap.sh
new file mode 100755
index 0000000000000000000000000000000000000000..05d5381379bf87abb6918978ce23d53b6a3e9a78
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/ISEWrap.sh
@@ -0,0 +1,85 @@
+#!/bin/sh
+
+#
+#  Vivado(TM)
+#  ISEWrap.sh: Vivado Runs Script for UNIX
+#  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. 
+#  Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. 
+#
+
+cmd_exists()
+{
+  command -v "$1" >/dev/null 2>&1
+}
+
+HD_LOG=$1
+shift
+
+# CHECK for a STOP FILE
+if [ -f .stop.rst ]
+then
+echo ""                                        >> $HD_LOG
+echo "*** Halting run - EA reset detected ***" >> $HD_LOG
+echo ""                                        >> $HD_LOG
+exit 1
+fi
+
+ISE_STEP=$1
+shift
+
+# WRITE STEP HEADER to LOG
+echo ""                      >> $HD_LOG
+echo "*** Running $ISE_STEP" >> $HD_LOG
+echo "    with args $@"      >> $HD_LOG
+echo ""                      >> $HD_LOG
+
+# LAUNCH!
+$ISE_STEP "$@" >> $HD_LOG 2>&1 &
+
+# BEGIN file creation
+ISE_PID=$!
+
+HostNameFile=/proc/sys/kernel/hostname
+if cmd_exists hostname
+then
+ISE_HOST=$(hostname)
+elif cmd_exists uname
+then
+ISE_HOST=$(uname -n)
+elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] 
+then
+ISE_HOST=$(cat $HostNameFile)
+elif [ X != X$HOSTNAME ]
+then
+ISE_HOST=$HOSTNAME #bash
+else
+ISE_HOST=$HOST     #csh
+fi
+
+ISE_USER=$USER
+
+ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l)
+ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo)
+
+ISE_BEGINFILE=.$ISE_STEP.begin.rst
+/bin/touch $ISE_BEGINFILE
+echo "<?xml version=\"1.0\"?>"                                                                     >> $ISE_BEGINFILE
+echo "<ProcessHandle Version=\"1\" Minor=\"0\">"                                                   >> $ISE_BEGINFILE
+echo "    <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE
+echo "    </Process>"                                                                              >> $ISE_BEGINFILE
+echo "</ProcessHandle>"                                                                            >> $ISE_BEGINFILE
+
+# WAIT for ISEStep to finish
+wait $ISE_PID
+
+# END/ERROR file creation
+RETVAL=$?
+if [ $RETVAL -eq 0 ]
+then
+    /bin/touch .$ISE_STEP.end.rst
+else
+    /bin/touch .$ISE_STEP.error.rst
+fi
+
+exit $RETVAL
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc.bin b/proj/AudioProc.runs/impl_1/audioProc.bin
new file mode 100644
index 0000000000000000000000000000000000000000..c82b3e4348f6991d14acfba79b9a58b1355d38ea
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc.bin differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc.bit b/proj/AudioProc.runs/impl_1/audioProc.bit
new file mode 100644
index 0000000000000000000000000000000000000000..96befee8f0c00ffaab0ba0c4aad119c5ed6b7702
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc.bit differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc.tcl b/proj/AudioProc.runs/impl_1/audioProc.tcl
new file mode 100644
index 0000000000000000000000000000000000000000..b6a98795ce0cb855ec12facbd51eb630fc8dab68
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc.tcl
@@ -0,0 +1,289 @@
+namespace eval ::optrace {
+  variable script "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.tcl"
+  variable category "vivado_impl"
+}
+
+# Try to connect to running dispatch if we haven't done so already.
+# This code assumes that the Tcl interpreter is not using threads,
+# since the ::dispatch::connected variable isn't mutex protected.
+if {![info exists ::dispatch::connected]} {
+  namespace eval ::dispatch {
+    variable connected false
+    if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} {
+      set result "true"
+      if {[catch {
+        if {[lsearch -exact [package names] DispatchTcl] < 0} {
+          set result [load librdi_cd_clienttcl[info sharedlibextension]] 
+        }
+        if {$result eq "false"} {
+          puts "WARNING: Could not load dispatch client library"
+        }
+        set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ]
+        if { $connect_id eq "" } {
+          puts "WARNING: Could not initialize dispatch client"
+        } else {
+          puts "INFO: Dispatch client connection id - $connect_id"
+          set connected true
+        }
+      } catch_res]} {
+        puts "WARNING: failed to connect to dispatch server - $catch_res"
+      }
+    }
+  }
+}
+if {$::dispatch::connected} {
+  # Remove the dummy proc if it exists.
+  if { [expr {[llength [info procs ::OPTRACE]] > 0}] } {
+    rename ::OPTRACE ""
+  }
+  proc ::OPTRACE { task action {tags {} } } {
+    ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category
+  }
+  # dispatch is generic. We specifically want to attach logging.
+  ::vitis_log::connect_client
+} else {
+  # Add dummy proc if it doesn't exist.
+  if { [expr {[llength [info procs ::OPTRACE]] == 0}] } {
+    proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} {
+        # Do nothing
+    }
+  }
+}
+
+proc start_step { step } {
+  set stopFile ".stop.rst"
+  if {[file isfile .stop.rst]} {
+    puts ""
+    puts "*** Halting run - EA reset detected ***"
+    puts ""
+    puts ""
+    return -code error
+  }
+  set beginFile ".$step.begin.rst"
+  set platform "$::tcl_platform(platform)"
+  set user "$::tcl_platform(user)"
+  set pid [pid]
+  set host ""
+  if { [string equal $platform unix] } {
+    if { [info exist ::env(HOSTNAME)] } {
+      set host $::env(HOSTNAME)
+    } elseif { [info exist ::env(HOST)] } {
+      set host $::env(HOST)
+    }
+  } else {
+    if { [info exist ::env(COMPUTERNAME)] } {
+      set host $::env(COMPUTERNAME)
+    }
+  }
+  set ch [open $beginFile w]
+  puts $ch "<?xml version=\"1.0\"?>"
+  puts $ch "<ProcessHandle Version=\"1\" Minor=\"0\">"
+  puts $ch "    <Process Command=\".planAhead.\" Owner=\"$user\" Host=\"$host\" Pid=\"$pid\">"
+  puts $ch "    </Process>"
+  puts $ch "</ProcessHandle>"
+  close $ch
+}
+
+proc end_step { step } {
+  set endFile ".$step.end.rst"
+  set ch [open $endFile w]
+  close $ch
+}
+
+proc step_failed { step } {
+  set endFile ".$step.error.rst"
+  set ch [open $endFile w]
+  close $ch
+OPTRACE "impl_1" END { }
+}
+
+
+OPTRACE "impl_1" START { ROLLUP_1 }
+OPTRACE "Phase: Init Design" START { ROLLUP_AUTO }
+start_step init_design
+set ACTIVE_STEP init_design
+set rc [catch {
+  create_msg_db init_design.pb
+  set_param chipscope.maxJobs 1
+  set_param runs.launchOptions { -jobs 2  }
+OPTRACE "create in-memory project" START { }
+  create_project -in_memory -part xc7a200tsbg484-1
+  set_property design_mode GateLvl [current_fileset]
+  set_param project.singleFileAddWarning.threshold 0
+OPTRACE "create in-memory project" END { }
+OPTRACE "set parameters" START { }
+  set_property webtalk.parent_dir {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache/wt} [current_project]
+  set_property parent.project_path {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} [current_project]
+  set_property ip_repo_paths {
+  /homes/t24autul/Documents/SAR
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo}
+} [current_project]
+  update_ip_catalog
+  set_property ip_output_repo {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache/ip}} [current_project]
+  set_property ip_cache_permissions {read write} [current_project]
+OPTRACE "set parameters" END { }
+OPTRACE "add files" START { }
+  add_files -quiet {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.dcp}}
+  read_ip -quiet {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci}}
+OPTRACE "read constraints: implementation" START { }
+  read_xdc {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc}}
+OPTRACE "read constraints: implementation" END { }
+OPTRACE "read constraints: implementation_pre" START { }
+OPTRACE "read constraints: implementation_pre" END { }
+OPTRACE "add files" END { }
+OPTRACE "link_design" START { }
+  link_design -top audioProc -part xc7a200tsbg484-1 
+OPTRACE "link_design" END { }
+OPTRACE "gray box cells" START { }
+OPTRACE "gray box cells" END { }
+OPTRACE "init_design_reports" START { REPORT }
+OPTRACE "init_design_reports" END { }
+OPTRACE "init_design_write_hwdef" START { }
+OPTRACE "init_design_write_hwdef" END { }
+  close_msg_db -file init_design.pb
+} RESULT]
+if {$rc} {
+  step_failed init_design
+  return -code error $RESULT
+} else {
+  end_step init_design
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "Phase: Init Design" END { }
+OPTRACE "Phase: Opt Design" START { ROLLUP_AUTO }
+start_step opt_design
+set ACTIVE_STEP opt_design
+set rc [catch {
+  create_msg_db opt_design.pb
+OPTRACE "read constraints: opt_design" START { }
+OPTRACE "read constraints: opt_design" END { }
+OPTRACE "opt_design" START { }
+  opt_design 
+OPTRACE "opt_design" END { }
+OPTRACE "read constraints: opt_design_post" START { }
+OPTRACE "read constraints: opt_design_post" END { }
+OPTRACE "opt_design reports" START { REPORT }
+  set_param project.isImplRun true
+  generate_parallel_reports -reports { "report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx"  }
+  set_param project.isImplRun false
+OPTRACE "opt_design reports" END { }
+OPTRACE "Opt Design: write_checkpoint" START { CHECKPOINT }
+  write_checkpoint -force audioProc_opt.dcp
+OPTRACE "Opt Design: write_checkpoint" END { }
+  close_msg_db -file opt_design.pb
+} RESULT]
+if {$rc} {
+  step_failed opt_design
+  return -code error $RESULT
+} else {
+  end_step opt_design
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "Phase: Opt Design" END { }
+OPTRACE "Phase: Place Design" START { ROLLUP_AUTO }
+start_step place_design
+set ACTIVE_STEP place_design
+set rc [catch {
+  create_msg_db place_design.pb
+OPTRACE "read constraints: place_design" START { }
+OPTRACE "read constraints: place_design" END { }
+  if { [llength [get_debug_cores -quiet] ] > 0 }  { 
+OPTRACE "implement_debug_core" START { }
+    implement_debug_core 
+OPTRACE "implement_debug_core" END { }
+  } 
+OPTRACE "place_design" START { }
+  place_design 
+OPTRACE "place_design" END { }
+OPTRACE "read constraints: place_design_post" START { }
+OPTRACE "read constraints: place_design_post" END { }
+OPTRACE "place_design reports" START { REPORT }
+  set_param project.isImplRun true
+  generate_parallel_reports -reports { "report_io -file audioProc_io_placed.rpt" "report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb" "report_control_sets -verbose -file audioProc_control_sets_placed.rpt"  }
+  set_param project.isImplRun false
+OPTRACE "place_design reports" END { }
+OPTRACE "Place Design: write_checkpoint" START { CHECKPOINT }
+  write_checkpoint -force audioProc_placed.dcp
+OPTRACE "Place Design: write_checkpoint" END { }
+  close_msg_db -file place_design.pb
+} RESULT]
+if {$rc} {
+  step_failed place_design
+  return -code error $RESULT
+} else {
+  end_step place_design
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "Phase: Place Design" END { }
+OPTRACE "Phase: Route Design" START { ROLLUP_AUTO }
+start_step route_design
+set ACTIVE_STEP route_design
+set rc [catch {
+  create_msg_db route_design.pb
+OPTRACE "read constraints: route_design" START { }
+OPTRACE "read constraints: route_design" END { }
+OPTRACE "route_design" START { }
+  route_design 
+OPTRACE "route_design" END { }
+OPTRACE "read constraints: route_design_post" START { }
+OPTRACE "read constraints: route_design_post" END { }
+OPTRACE "route_design reports" START { REPORT }
+  set_param project.isImplRun true
+  generate_parallel_reports -reports { "report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx" "report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx" "report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx" "report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb" "report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation " "report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt" "report_clock_utilization -file audioProc_clock_utilization_routed.rpt" "report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx"  }
+  set_param project.isImplRun false
+OPTRACE "route_design reports" END { }
+OPTRACE "Route Design: write_checkpoint" START { CHECKPOINT }
+  write_checkpoint -force audioProc_routed.dcp
+OPTRACE "Route Design: write_checkpoint" END { }
+OPTRACE "route_design misc" START { }
+  close_msg_db -file route_design.pb
+} RESULT]
+if {$rc} {
+OPTRACE "route_design write_checkpoint" START { CHECKPOINT }
+OPTRACE "route_design write_checkpoint" END { }
+  write_checkpoint -force audioProc_routed_error.dcp
+  step_failed route_design
+  return -code error $RESULT
+} else {
+  end_step route_design
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "route_design misc" END { }
+OPTRACE "Phase: Route Design" END { }
+OPTRACE "Phase: Write Bitstream" START { ROLLUP_AUTO }
+OPTRACE "write_bitstream setup" START { }
+start_step write_bitstream
+set ACTIVE_STEP write_bitstream
+set rc [catch {
+  create_msg_db write_bitstream.pb
+OPTRACE "read constraints: write_bitstream" START { }
+OPTRACE "read constraints: write_bitstream" END { }
+  catch { write_mem_info -force -no_partial_mmi audioProc.mmi }
+OPTRACE "write_bitstream setup" END { }
+OPTRACE "write_bitstream" START { }
+  write_bitstream -force audioProc.bit -bin_file
+OPTRACE "write_bitstream" END { }
+OPTRACE "write_bitstream misc" START { }
+OPTRACE "read constraints: write_bitstream_post" START { }
+OPTRACE "read constraints: write_bitstream_post" END { }
+  catch {write_debug_probes -quiet -force audioProc}
+  catch {file copy -force audioProc.ltx debug_nets.ltx}
+  close_msg_db -file write_bitstream.pb
+} RESULT]
+if {$rc} {
+  step_failed write_bitstream
+  return -code error $RESULT
+} else {
+  end_step write_bitstream
+  unset ACTIVE_STEP 
+}
+
+OPTRACE "write_bitstream misc" END { }
+OPTRACE "Phase: Write Bitstream" END { }
+OPTRACE "impl_1" END { }
diff --git a/proj/AudioProc.runs/impl_1/audioProc.vdi b/proj/AudioProc.runs/impl_1/audioProc.vdi
new file mode 100644
index 0000000000000000000000000000000000000000..bd34844f9ad09c66fafea4b298a0b292595a3f06
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc.vdi
@@ -0,0 +1,762 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:24:19 2025
+# Process ID: 382686
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1
+# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.vdi
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :2194.837 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :15605 MB
+#-----------------------------------------------------------
+source audioProc.tcl -notrace
+create_project: Time (s): cpu = 00:00:25 ; elapsed = 00:00:41 . Memory (MB): peak = 1680.555 ; gain = 325.840 ; free physical = 1461 ; free virtual = 14195
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+Command: link_design -top audioProc -part xc7a200tsbg484-1
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+INFO: [Project 1-454] Reading design checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1'
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2097.836 ; gain = 0.000 ; free physical = 1036 ; free virtual = 13771
+INFO: [Netlist 29-17] Analyzing 121 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2015.3
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst'
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst'
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst'
+INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc:56]
+INFO: [Timing 38-2] Deriving generated clocks [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc:56]
+get_clocks: Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 2743.359 ; gain = 552.930 ; free physical = 452 ; free virtual = 13206
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst'
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]
+INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.dcp'
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2743.359 ; gain = 0.000 ; free physical = 452 ; free virtual = 13206
+INFO: [Project 1-111] Unisim Transformation Summary:
+  A total of 2 instances were transformed.
+  IOBUF => IOBUF (IBUF, OBUFT): 2 instances
+
+14 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+link_design completed successfully
+link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:29 . Memory (MB): peak = 2743.359 ; gain = 1047.961 ; free physical = 452 ; free virtual = 13206
+Command: opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+Running DRC as a precondition to command opt_design
+
+Starting DRC Task
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Project 1-461] DRC finished with 0 Errors
+INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2753.266 ; gain = 9.906 ; free physical = 431 ; free virtual = 13186
+
+Starting Cache Timing Information Task
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Ending Cache Timing Information Task | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.15 . Memory (MB): peak = 2765.172 ; gain = 11.906 ; free physical = 431 ; free virtual = 13186
+
+Starting Logic Optimization Task
+
+Phase 1 Initialization
+
+Phase 1.1 Core Generation And Design Setup
+Phase 1.1 Core Generation And Design Setup | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894
+
+Phase 1.2 Setup Constraints And Sort Netlist
+Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894
+Phase 1 Initialization | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894
+
+Phase 2 Timer Update And Timing Data Collection
+
+Phase 2.1 Timer Update
+Phase 2.1 Timer Update | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894
+
+Phase 2.2 Timing Data Collection
+Phase 2.2 Timing Data Collection | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12899
+Phase 2 Timer Update And Timing Data Collection | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12899
+
+Phase 3 Retarget
+INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Opt 31-49] Retargeted 0 cell(s).
+Phase 3 Retarget | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12898
+Retarget | Checksum: 27a691c2e
+INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
+INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. 
+
+Phase 4 Constant propagation
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Phase 4 Constant propagation | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12898
+Constant propagation | Checksum: 27a691c2e
+INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
+
+Phase 5 Sweep
+Phase 5 Sweep | Checksum: 2f081e065
+
+Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Sweep | Checksum: 2f081e065
+INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells
+
+Phase 6 BUFG optimization
+INFO: [Opt 31-274] Optimized connectivity to 2 cascaded buffer cells
+Phase 6 BUFG optimization | Checksum: 254f45935
+
+Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.22 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+BUFG optimization | Checksum: 254f45935
+INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 2 cells.
+
+Phase 7 Shift Register Optimization
+INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
+Phase 7 Shift Register Optimization | Checksum: 254f45935
+
+Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.23 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Shift Register Optimization | Checksum: 254f45935
+INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
+
+Phase 8 Post Processing Netlist
+Phase 8 Post Processing Netlist | Checksum: 27a0b14a7
+
+Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.25 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Post Processing Netlist | Checksum: 27a0b14a7
+INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
+
+Phase 9 Finalization
+
+Phase 9.1 Finalizing Design Cores and Updating Shapes
+Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.3 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+
+Phase 9.2 Verifying Netlist Connectivity
+
+Starting Connectivity Check Task
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Phase 9.2 Verifying Netlist Connectivity | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Phase 9 Finalization | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Opt_design Change Summary
+=========================
+
+
+-------------------------------------------------------------------------------------------------------------------------
+|  Phase                        |  #Cells created  |  #Cells Removed  |  #Constrained objects preventing optimizations  |
+-------------------------------------------------------------------------------------------------------------------------
+|  Retarget                     |               0  |               0  |                                              1  |
+|  Constant propagation         |               0  |               0  |                                              0  |
+|  Sweep                        |               0  |               1  |                                              0  |
+|  BUFG optimization            |               0  |               2  |                                              0  |
+|  Shift Register Optimization  |               0  |               0  |                                              0  |
+|  Post Processing Netlist      |               0  |               0  |                                              0  |
+-------------------------------------------------------------------------------------------------------------------------
+
+
+Ending Logic Optimization Task | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.33 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+
+Starting Power Optimization Task
+INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
+Ending Power Optimization Task | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+
+Starting Final Cleanup Task
+Ending Final Cleanup Task | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+
+Starting Netlist Obfuscation Task
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Ending Netlist Obfuscation Task | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+INFO: [Common 17-83] Releasing license: Implementation
+34 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+opt_design completed successfully
+INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx
+Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt.
+report_drc completed successfully
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 213 ; free virtual = 12873
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 212 ; free virtual = 12873
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 212 ; free virtual = 12873
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 211 ; free virtual = 12872
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 211 ; free virtual = 12872
+Wrote Device Cache: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 210 ; free virtual = 12872
+Write Physdb Complete: Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.17 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 210 ; free virtual = 12872
+INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated.
+Command: place_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-83] Releasing license: Implementation
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+Running DRC as a precondition to command place_design
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs
+
+Starting Placer Task
+
+Phase 1 Placer Initialization
+
+Phase 1.1 Placer Initialization Netlist Sorting
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917
+Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1971e65b5
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917
+
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1d834e537
+
+Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.67 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 254 ; free virtual = 12915
+
+Phase 1.3 Build Placer Netlist Model
+Phase 1.3 Build Placer Netlist Model | Checksum: 24479b66e
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 250 ; free virtual = 12914
+
+Phase 1.4 Constrain Clocks/Macros
+Phase 1.4 Constrain Clocks/Macros | Checksum: 24479b66e
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 250 ; free virtual = 12914
+Phase 1 Placer Initialization | Checksum: 24479b66e
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12912
+
+Phase 2 Global Placement
+
+Phase 2.1 Floorplanning
+Phase 2.1 Floorplanning | Checksum: 1f0769a16
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913
+
+Phase 2.2 Update Timing before SLR Path Opt
+Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2d5cde647
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913
+
+Phase 2.3 Post-Processing in Floorplanning
+Phase 2.3 Post-Processing in Floorplanning | Checksum: 2d5cde647
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913
+
+Phase 2.4 Global Placement Core
+
+Phase 2.4.1 UpdateTiming Before Physical Synthesis
+Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 24e71af8c
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 214 ; free virtual = 12879
+
+Phase 2.4.2 Physical Synthesis In Placer
+INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 96 LUT instances to create LUTNM shape
+INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0
+INFO: [Physopt 32-1138] End 1 Pass. Optimized 44 nets or LUTs. Breaked 0 LUT, combined 44 existing LUTs and moved 0 existing LUT
+INFO: [Physopt 32-65] No nets found for high-fanout optimization.
+INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance.
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+INFO: [Physopt 32-670] No setup violation found.  DSP Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register to Pipeline Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  BRAM Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  URAM Register Optimization was not performed.
+INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3127.879 ; gain = 0.000 ; free physical = 218 ; free virtual = 12886
+
+Summary of Physical Synthesis Optimizations
+============================================
+
+
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  Optimization                                     |  Added Cells  |  Removed Cells  |  Optimized Cells/Nets  |  Dont Touch  |  Iterations  |  Elapsed   |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  LUT Combining                                    |            0  |             44  |                    44  |           0  |           1  |  00:00:00  |
+|  Retime                                           |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Very High Fanout                                 |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  DSP Register                                     |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register to Pipeline                       |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register                                   |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  BRAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  URAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Dynamic/Static Region Interface Net Replication  |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Total                                            |            0  |             44  |                    44  |           0  |           4  |  00:00:00  |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+
+
+Phase 2.4.2 Physical Synthesis In Placer | Checksum: 2d955f418
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 218 ; free virtual = 12886
+Phase 2.4 Global Placement Core | Checksum: 24d73e065
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883
+Phase 2 Global Placement | Checksum: 24d73e065
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883
+
+Phase 3 Detail Placement
+
+Phase 3.1 Commit Multi Column Macros
+Phase 3.1 Commit Multi Column Macros | Checksum: 23d657603
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883
+
+Phase 3.2 Commit Most Macros & LUTRAMs
+Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 22513e1c8
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880
+
+Phase 3.3 Area Swap Optimization
+Phase 3.3 Area Swap Optimization | Checksum: 1ea1af04a
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880
+
+Phase 3.4 Pipeline Register Optimization
+Phase 3.4 Pipeline Register Optimization | Checksum: 178715a17
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880
+
+Phase 3.5 Small Shape Detail Placement
+Phase 3.5 Small Shape Detail Placement | Checksum: 2d4f2065c
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877
+
+Phase 3.6 Re-assign LUT pins
+Phase 3.6 Re-assign LUT pins | Checksum: 1f22d608d
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877
+
+Phase 3.7 Pipeline Register Optimization
+Phase 3.7 Pipeline Register Optimization | Checksum: 146f8e4d1
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877
+Phase 3 Detail Placement | Checksum: 146f8e4d1
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877
+
+Phase 4 Post Placement Optimization and Clean-Up
+
+Phase 4.1 Post Commit Optimization
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+
+Phase 4.1.1 Post Placement Optimization
+Post Placement Optimization Initialization | Checksum: 236af2095
+
+Phase 4.1.1.1 BUFG Insertion
+
+Starting Physical Synthesis Task
+
+Phase 1 Physical Synthesis Initialization
+INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs
+INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.794 | TNS=0.000 |
+Phase 1 Physical Synthesis Initialization | Checksum: 2004c68b1
+
+Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876
+INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0.
+Ending Physical Synthesis Task | Checksum: 1bfcb37d3
+
+Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876
+Phase 4.1.1.1 BUFG Insertion | Checksum: 236af2095
+
+Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Phase 4.1.1.2 Post Placement Timing Optimization
+INFO: [Place 30-746] Post Placement Timing Summary WNS=0.794. For the most accurate timing information please run report_timing.
+Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+Phase 4.1 Post Commit Optimization | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Phase 4.2 Post Placement Cleanup
+Phase 4.2 Post Placement Cleanup | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Phase 4.3 Placer Reporting
+
+Phase 4.3.1 Print Estimated Congestion
+INFO: [Place 30-612] Post-Placement Estimated Congestion 
+ ____________________________________________________
+|           | Global Congestion | Short Congestion  |
+| Direction | Region Size       | Region Size       |
+|___________|___________________|___________________|
+|      North|                1x1|                1x1|
+|___________|___________________|___________________|
+|      South|                1x1|                1x1|
+|___________|___________________|___________________|
+|       East|                1x1|                1x1|
+|___________|___________________|___________________|
+|       West|                1x1|                1x1|
+|___________|___________________|___________________|
+
+Phase 4.3.1 Print Estimated Congestion | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+Phase 4.3 Placer Reporting | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Phase 4.4 Final Placement Cleanup
+Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1c155315a
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+Ending Placer Task | Checksum: c4fd0a1d
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+69 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+place_design completed successfully
+place_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 3140.688 ; gain = 25.594 ; free physical = 210 ; free virtual = 12876
+INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel.
+Running report generation with 3 threads.
+INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt
+report_control_sets: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 324 ; free virtual = 12891
+INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb
+INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt
+report_io: Time (s): cpu = 00:00:00.29 ; elapsed = 00:00:00.25 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 303 ; free virtual = 12870
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 303 ; free virtual = 12870
+Wrote PlaceDB: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12865
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12865
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867
+Write Physdb Complete: Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.41 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867
+INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated.
+Command: route_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+Running DRC as a precondition to command route_design
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+
+Starting Routing Task
+INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs
+
+Phase 1 Build RT Design
+Checksum: PlaceDB: 17894a90 ConstDB: 0 ShapeSum: 1558d429 RouteDB: 981aeb64
+Post Restoration Checksum: NetGraph: a8773583 | NumContArr: fe331ce0 | Constraints: c2a8fa9d | Timing: c2a8fa9d
+Phase 1 Build RT Design | Checksum: 32bfc479d
+
+Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657
+
+Phase 2 Router Initialization
+
+Phase 2.1 Fix Topology Constraints
+Phase 2.1 Fix Topology Constraints | Checksum: 32bfc479d
+
+Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657
+
+Phase 2.2 Pre Route Cleanup
+Phase 2.2 Pre Route Cleanup | Checksum: 32bfc479d
+
+Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657
+ Number of Nodes with overlaps = 0
+
+Phase 2.3 Update Timing
+Phase 2.3 Update Timing | Checksum: 2d1d4910a
+
+Time (s): cpu = 00:00:57 ; elapsed = 00:00:48 . Memory (MB): peak = 3387.594 ; gain = 222.895 ; free physical = 239 ; free virtual = 12612
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.803  | TNS=0.000  | WHS=-0.144 | THS=-22.944|
+
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0.000182205 %
+  Global Horizontal Routing Utilization  = 0.000165235 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 1211
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 1201
+  Number of Partially Routed Nets     = 10
+  Number of Node Overlaps             = 11
+
+Phase 2 Router Initialization | Checksum: 269f51fe2
+
+Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605
+
+Phase 3 Global Routing
+Phase 3 Global Routing | Checksum: 269f51fe2
+
+Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605
+
+Phase 4 Initial Routing
+
+Phase 4.1 Initial Net Routing Pass
+Phase 4.1 Initial Net Routing Pass | Checksum: 2c245566f
+
+Time (s): cpu = 00:00:59 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605
+Phase 4 Initial Routing | Checksum: 2c245566f
+
+Time (s): cpu = 00:00:59 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605
+
+Phase 5 Rip-up And Reroute
+
+Phase 5.1 Global Iteration 0
+ Number of Nodes with overlaps = 238
+ Number of Nodes with overlaps = 126
+ Number of Nodes with overlaps = 68
+ Number of Nodes with overlaps = 32
+ Number of Nodes with overlaps = 10
+ Number of Nodes with overlaps = 6
+ Number of Nodes with overlaps = 2
+ Number of Nodes with overlaps = 0
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.534  | TNS=0.000  | WHS=N/A    | THS=N/A    |
+
+Phase 5.1 Global Iteration 0 | Checksum: 2abe36016
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+Phase 5 Rip-up And Reroute | Checksum: 2abe36016
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 6 Delay and Skew Optimization
+
+Phase 6.1 Delay CleanUp
+
+Phase 6.1.1 Update Timing
+Phase 6.1.1 Update Timing | Checksum: 2efa28e2c
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.613  | TNS=0.000  | WHS=N/A    | THS=N/A    |
+
+Phase 6.1 Delay CleanUp | Checksum: 2efa28e2c
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 6.2 Clock Skew Optimization
+Phase 6.2 Clock Skew Optimization | Checksum: 2efa28e2c
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+Phase 6 Delay and Skew Optimization | Checksum: 2efa28e2c
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 7 Post Hold Fix
+
+Phase 7.1 Hold Fix Iter
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.613  | TNS=0.000  | WHS=0.107  | THS=0.000  |
+
+Phase 7.1 Hold Fix Iter | Checksum: 2486ccefa
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+Phase 7 Post Hold Fix | Checksum: 2486ccefa
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 8 Route finalize
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0.0942403 %
+  Global Horizontal Routing Utilization  = 0.118209 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 0
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 0
+  Number of Partially Routed Nets     = 0
+  Number of Node Overlaps             = 0
+
+Phase 8 Route finalize | Checksum: 2486ccefa
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 9 Verifying routed nets
+
+ Verification completed successfully
+Phase 9 Verifying routed nets | Checksum: 2486ccefa
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 10 Depositing Routes
+Phase 10 Depositing Routes | Checksum: 16786fc76
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 11 Post Process Routing
+Phase 11 Post Process Routing | Checksum: 16786fc76
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 12 Post Router Timing
+INFO: [Route 35-57] Estimated Timing Summary | WNS=0.613  | TNS=0.000  | WHS=0.107  | THS=0.000  |
+
+INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary.
+Phase 12 Post Router Timing | Checksum: 16786fc76
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+Total Elapsed time in route_design: 51.57 secs
+
+Phase 13 Post-Route Event Processing
+Phase 13 Post-Route Event Processing | Checksum: d2e3295b
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+INFO: [Route 35-16] Router Completed Successfully
+Ending Routing Task | Checksum: d2e3295b
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Routing Is Done.
+INFO: [Common 17-83] Releasing license: Implementation
+88 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+route_design completed successfully
+route_design: Time (s): cpu = 00:01:05 ; elapsed = 00:00:53 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx
+Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt.
+report_drc completed successfully
+INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx
+Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [DRC 23-133] Running Methodology with 4 threads
+INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt.
+report_methodology completed successfully
+INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation 
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
+INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel.
+Running report generation with 3 threads.
+INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt
+INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
+INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb
+INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
+INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx
+Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx
+Running Vector-less Activity Propagation...
+
+Finished Running Vector-less Activity Propagation
+108 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+report_power completed successfully
+INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt
+WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid.
+WARNING: [Device 21-2174] Failed to initialize Virtual grid.
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 306 ; free virtual = 12583
+Wrote PlaceDB: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.43 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 303 ; free virtual = 12583
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 303 ; free virtual = 12583
+Write Physdb Complete: Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.66 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 302 ; free virtual = 12582
+INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated.
+Command: write_bitstream -force audioProc.bit -bin_file
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+Running DRC as a precondition to command write_bitstream
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 4 threads
+WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design.  Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0.  It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
+
+ set_property CFGBVS value1 [current_design]
+ #where value1 is either VCCO or GND
+
+ set_property CONFIG_VOLTAGE value2 [current_design]
+ #where value2 is the voltage provided to configuration bank 0
+
+Refer to the device configuration user guide for more information.
+WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPOP-1] PREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function.  If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function.  If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.
+WARNING: [DRC DPOP-1] PREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function.  If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function.  If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.
+WARNING: [DRC DPOP-2] MREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function.  If this multiplier was inferred, it is suggested to describe an additional register stage after this function.  If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used.  If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions.
+WARNING: [DRC DPOP-2] MREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function.  If this multiplier was inferred, it is suggested to describe an additional register stage after this function.  If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used.  If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions.
+WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/__2/i_/O, cell leftFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.
+WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/__2/i_/O, cell rightFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.
+INFO: [Vivado 12-3199] DRC finished with 0 Errors, 13 Warnings
+INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information.
+INFO: [Designutils 20-2272] Running write_bitstream with 4 threads.
+Loading data files...
+Loading site data...
+Loading route data...
+Processing options...
+Creating bitmap...
+Creating bitstream...
+Writing bitstream ./audioProc.bit...
+Writing bitstream ./audioProc.bin...
+INFO: [Vivado 12-1842] Bitgen Completed Successfully.
+INFO: [Common 17-83] Releasing license: Implementation
+119 Infos, 19 Warnings, 0 Critical Warnings and 0 Errors encountered.
+write_bitstream completed successfully
+write_bitstream: Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 3774.523 ; gain = 323.512 ; free physical = 224 ; free virtual = 12235
+INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:27:35 2025...
diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..3390588d5da71a6f6866045d7ae5646edfab7b0e
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.pb differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..7a06aee68f5a1713c03a852484449f80bbcc98e6
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpt
@@ -0,0 +1,16 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+------------------------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Mon May 12 08:27:13 2025
+| Host         : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx
+| Design       : audioProc
+| Device       : 7a200t-sbg484
+| Speed File   : -1  PRODUCTION 1.23 2018-06-13
+| Design State : Routed
+------------------------------------------------------------------------------------------------------------------------------------------------------------
+
+Bus Skew Report
+
+No bus skew constraints
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..b6bbca8caac78ab1f5f672eba6f61693513e3a2a
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_bus_skew_routed.rpx differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..d771ae7b3fd13d3afac5c5999a1000ad1cc82e4a
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_clock_utilization_routed.rpt
@@ -0,0 +1,252 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Mon May 12 08:27:14 2025
+| Host         : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_clock_utilization -file audioProc_clock_utilization_routed.rpt
+| Design       : audioProc
+| Device       : 7a200t-sbg484
+| Speed File   : -1  PRODUCTION 1.23 2018-06-13
+| Design State : Routed
+---------------------------------------------------------------------------------------------------------------------------------------------
+
+Clock Utilization Report
+
+Table of Contents
+-----------------
+1. Clock Primitive Utilization
+2. Global Clock Resources
+3. Global Clock Source Details
+4. Clock Regions: Key Resource Utilization
+5. Clock Regions : Global Clock Summary
+6. Device Cell Placement Summary for Global Clock g0
+7. Device Cell Placement Summary for Global Clock g1
+8. Device Cell Placement Summary for Global Clock g2
+9. Device Cell Placement Summary for Global Clock g3
+10. Clock Region Cell Placement per Global Clock: Region X1Y2
+
+1. Clock Primitive Utilization
+------------------------------
+
++----------+------+-----------+-----+--------------+--------+
+| Type     | Used | Available | LOC | Clock Region | Pblock |
++----------+------+-----------+-----+--------------+--------+
+| BUFGCTRL |    4 |        32 |   0 |            0 |      0 |
+| BUFH     |    0 |       120 |   0 |            0 |      0 |
+| BUFIO    |    0 |        40 |   0 |            0 |      0 |
+| BUFMR    |    0 |        20 |   0 |            0 |      0 |
+| BUFR     |    0 |        40 |   0 |            0 |      0 |
+| MMCM     |    1 |        10 |   0 |            0 |      0 |
+| PLL      |    0 |        10 |   0 |            0 |      0 |
++----------+------+-----------+-----+--------------+--------+
+
+
+2. Global Clock Resources
+-------------------------
+
++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+
+| Global Id | Source Id | Driver Type/Pin | Constraint | Site          | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock              | Driver Pin               | Net                               |
++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+
+| g0        | src0      | BUFG/O          | None       | BUFGCTRL_X0Y1 | n/a          |                 1 |         773 |               0 |       10.000 | clk_out1_clk_wiz_0 | clk_1/inst/clkout1_buf/O | clk_1/inst/clk_out1               |
+| g1        | src1      | BUFG/O          | None       | BUFGCTRL_X0Y2 | n/a          |                 1 |         120 |               0 |       20.000 | clk_out4_clk_wiz_0 | clk_1/inst/clkout4_buf/O | clk_1/inst/clk_out4               |
+| g2        | src2      | BUFG/O          | None       | BUFGCTRL_X0Y3 | n/a          |                 1 |           1 |               0 |       10.000 | clkfbout_clk_wiz_0 | clk_1/inst/clkf_buf/O    | clk_1/inst/clkfbout_buf_clk_wiz_0 |
+| g3        | src3      | BUFG/O          | None       | BUFGCTRL_X0Y0 | n/a          |                 1 |           0 |               1 |       83.333 | clk_out3_clk_wiz_0 | clk_1/inst/clkout3_buf/O | clk_1/inst/clk_out3               |
++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+--------------------+--------------------------+-----------------------------------+
+* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
+** Non-Clock Loads column represents cell count of non-clock pin loads
+
+
+3. Global Clock Source Details
+------------------------------
+
++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+
+| Source Id | Global Id | Driver Type/Pin     | Constraint | Site            | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock       | Driver Pin                        | Net                           |
++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+
+| src0      | g0        | MMCME2_ADV/CLKOUT0  | None       | MMCME2_ADV_X1Y2 | X1Y2         |           1 |               0 |              10.000 | clk_out1_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT0  | clk_1/inst/clk_out1_clk_wiz_0 |
+| src1      | g1        | MMCME2_ADV/CLKOUT3  | None       | MMCME2_ADV_X1Y2 | X1Y2         |           1 |               0 |              20.000 | clk_out4_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT3  | clk_1/inst/clk_out4_clk_wiz_0 |
+| src2      | g2        | MMCME2_ADV/CLKFBOUT | None       | MMCME2_ADV_X1Y2 | X1Y2         |           1 |               0 |              10.000 | clkfbout_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKFBOUT | clk_1/inst/clkfbout_clk_wiz_0 |
+| src3      | g3        | MMCME2_ADV/CLKOUT2  | None       | MMCME2_ADV_X1Y2 | X1Y2         |           1 |               0 |              83.333 | clk_out3_clk_wiz_0 | clk_1/inst/mmcm_adv_inst/CLKOUT2  | clk_1/inst/clk_out3_clk_wiz_0 |
++-----------+-----------+---------------------+------------+-----------------+--------------+-------------+-----------------+---------------------+--------------------+-----------------------------------+-------------------------------+
+* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
+** Non-Clock Loads column represents cell count of non-clock pin loads
+
+
+4. Clock Regions: Key Resource Utilization
+------------------------------------------
+
++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+
+|                   | Global Clock |     BUFRs    |    BUFMRs    |    BUFIOs    |     MMCM     |      PLL     |      GT      |      PCI     |    ILOGIC    |    OLOGIC    |      FF      |     LUTM     |    RAMB18    |    RAMB36    |    DSP48E2   |
++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
+| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail |
++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
+| X0Y0              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     4 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2700 |    0 |   800 |    0 |    60 |    0 |    30 |    0 |    60 |
+| X1Y0              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     4 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2500 |    0 |   800 |    0 |    40 |    0 |    20 |    0 |    40 |
+| X0Y1              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  4200 |    0 |  1400 |    0 |   100 |    0 |    50 |    0 |   100 |
+| X1Y1              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  4000 |    0 |  1400 |    0 |    80 |    0 |    40 |    0 |    80 |
+| X0Y2              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  3600 |    0 |  1400 |    0 |   100 |    0 |    50 |    0 |   100 |
+| X1Y2              |    4 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    1 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |  893 |  4000 |  343 |  1400 |    0 |    80 |    0 |    40 |    0 |    80 |
+| X0Y3              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  3600 |    0 |  1400 |    0 |   100 |    0 |    50 |    0 |   100 |
+| X1Y3              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     0 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  4000 |    0 |  1400 |    0 |    80 |    0 |    40 |    0 |    80 |
+| X0Y4              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     4 |    0 |     1 |    0 |    50 |    0 |    50 |    0 |  2550 |    0 |   750 |    0 |    50 |    0 |    25 |    0 |    60 |
+| X1Y4              |    0 |    12 |    0 |     4 |    0 |     2 |    0 |     4 |    0 |     1 |    0 |     1 |    0 |     4 |    0 |     0 |    0 |    50 |    0 |    50 |    0 |  2500 |    0 |   800 |    0 |    40 |    0 |    20 |    0 |    40 |
++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
+* Global Clock column represents track count; while other columns represents cell counts
+
+
+5. Clock Regions : Global Clock Summary
+---------------------------------------
+
+All Modules
++----+----+----+
+|    | X0 | X1 |
++----+----+----+
+| Y4 |  0 |  0 |
+| Y3 |  0 |  0 |
+| Y2 |  0 |  0 |
+| Y1 |  0 |  0 |
+| Y0 |  0 |  0 |
++----+----+----+
+
+
+6. Device Cell Placement Summary for Global Clock g0
+----------------------------------------------------
+
++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+
+| Global Id | Driver Type/Pin | Driver Region (D) | Clock              | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net                 |
++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+
+| g0        | BUFG/O          | n/a               | clk_out1_clk_wiz_0 |      10.000 | {0.000 5.000} |         773 |        0 |              0 |        0 | clk_1/inst/clk_out1 |
++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+---------------------+
+* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources
+** IO Loads column represents load cell count of IO types
+*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc)
+**** GT Loads column represents load cell count of GT types
+
+
++----+----+------+-----------------------+
+|    | X0 | X1   | HORIZONTAL PROG DELAY |
++----+----+------+-----------------------+
+| Y4 |  0 |    0 |                     - |
+| Y3 |  0 |    0 |                     - |
+| Y2 |  0 |  773 |                     0 |
+| Y1 |  0 |    0 |                     - |
+| Y0 |  0 |    0 |                     - |
++----+----+------+-----------------------+
+
+
+7. Device Cell Placement Summary for Global Clock g1
+----------------------------------------------------
+
++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+
+| Global Id | Driver Type/Pin | Driver Region (D) | Clock              | Period (ns) | Waveform (ns)  | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net                 |
++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+
+| g1        | BUFG/O          | n/a               | clk_out4_clk_wiz_0 |      20.000 | {0.000 10.000} |         120 |        0 |              0 |        0 | clk_1/inst/clk_out4 |
++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+
+* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources
+** IO Loads column represents load cell count of IO types
+*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc)
+**** GT Loads column represents load cell count of GT types
+
+
++----+----+------+-----------------------+
+|    | X0 | X1   | HORIZONTAL PROG DELAY |
++----+----+------+-----------------------+
+| Y4 |  0 |    0 |                     - |
+| Y3 |  0 |    0 |                     - |
+| Y2 |  0 |  120 |                     0 |
+| Y1 |  0 |    0 |                     - |
+| Y0 |  0 |    0 |                     - |
++----+----+------+-----------------------+
+
+
+8. Device Cell Placement Summary for Global Clock g2
+----------------------------------------------------
+
++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+
+| Global Id | Driver Type/Pin | Driver Region (D) | Clock              | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net                               |
++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+
+| g2        | BUFG/O          | n/a               | clkfbout_clk_wiz_0 |      10.000 | {0.000 5.000} |           0 |        0 |              1 |        0 | clk_1/inst/clkfbout_buf_clk_wiz_0 |
++-----------+-----------------+-------------------+--------------------+-------------+---------------+-------------+----------+----------------+----------+-----------------------------------+
+* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources
+** IO Loads column represents load cell count of IO types
+*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc)
+**** GT Loads column represents load cell count of GT types
+
+
++----+----+----+-----------------------+
+|    | X0 | X1 | HORIZONTAL PROG DELAY |
++----+----+----+-----------------------+
+| Y4 |  0 |  0 |                     - |
+| Y3 |  0 |  0 |                     - |
+| Y2 |  0 |  1 |                     0 |
+| Y1 |  0 |  0 |                     - |
+| Y0 |  0 |  0 |                     - |
++----+----+----+-----------------------+
+
+
+9. Device Cell Placement Summary for Global Clock g3
+----------------------------------------------------
+
++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+
+| Global Id | Driver Type/Pin | Driver Region (D) | Clock              | Period (ns) | Waveform (ns)  | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net                 |
++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+
+| g3        | BUFG/O          | n/a               | clk_out3_clk_wiz_0 |      83.333 | {0.000 41.667} |           0 |        1 |              0 |        0 | clk_1/inst/clk_out3 |
++-----------+-----------------+-------------------+--------------------+-------------+----------------+-------------+----------+----------------+----------+---------------------+
+* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources
+** IO Loads column represents load cell count of IO types
+*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc)
+**** GT Loads column represents load cell count of GT types
+
+
++----+----+----+-----------------------+
+|    | X0 | X1 | HORIZONTAL PROG DELAY |
++----+----+----+-----------------------+
+| Y4 |  0 |  0 |                     - |
+| Y3 |  0 |  0 |                     - |
+| Y2 |  0 |  1 |                     0 |
+| Y1 |  0 |  0 |                     - |
+| Y0 |  0 |  0 |                     - |
++----+----+----+-----------------------+
+
+
+10. Clock Region Cell Placement per Global Clock: Region X1Y2
+-------------------------------------------------------------
+
++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+
+| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF  | Memory LUTs | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net                               |
++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+
+| g0        | n/a   | BUFG/O          | None       |         773 |               0 | 773 |           0 |    0 |   0 |  0 |    0 |   0 |       0 | clk_1/inst/clk_out1               |
+| g1        | n/a   | BUFG/O          | None       |         120 |               0 | 120 |           0 |    0 |   0 |  0 |    0 |   0 |       0 | clk_1/inst/clk_out4               |
+| g2        | n/a   | BUFG/O          | None       |           1 |               0 |   0 |           0 |    0 |   0 |  0 |    1 |   0 |       0 | clk_1/inst/clkfbout_buf_clk_wiz_0 |
+| g3        | n/a   | BUFG/O          | None       |           0 |               1 |   0 |           0 |    0 |   0 |  0 |    0 |   0 |       0 | clk_1/inst/clk_out3               |
++-----------+-------+-----------------+------------+-------------+-----------------+-----+-------------+------+-----+----+------+-----+---------+-----------------------------------+
+* Clock Loads column represents cell count of net connects that connect to a clock pin. Internal cell leaf pins are not considered
+** Non-Clock Loads column represents cell count of non-clock pin loads
+*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts
+
+
+
+# Location of BUFG Primitives 
+set_property LOC BUFGCTRL_X0Y3 [get_cells clk_1/inst/clkf_buf]
+set_property LOC BUFGCTRL_X0Y2 [get_cells clk_1/inst/clkout4_buf]
+set_property LOC BUFGCTRL_X0Y0 [get_cells clk_1/inst/clkout3_buf]
+set_property LOC BUFGCTRL_X0Y1 [get_cells clk_1/inst/clkout1_buf]
+
+# Location of IO Primitives which is load of clock spine
+set_property LOC IOB_X1Y118 [get_cells ac_mclk_OBUF_inst]
+
+# Location of clock ports
+set_property LOC IOB_X1Y124 [get_ports CLK100MHZ]
+
+# Clock net "clk_1/inst/clk_out4" driven by instance "clk_1/inst/clkout4_buf" located at site "BUFGCTRL_X0Y2"
+#startgroup
+create_pblock {CLKAG_clk_1/inst/clk_out4}
+add_cells_to_pblock [get_pblocks  {CLKAG_clk_1/inst/clk_out4}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out4"}]]]
+resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out4}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2}
+#endgroup
+
+# Clock net "clk_1/inst/clk_out1" driven by instance "clk_1/inst/clkout1_buf" located at site "BUFGCTRL_X0Y1"
+#startgroup
+create_pblock {CLKAG_clk_1/inst/clk_out1}
+add_cells_to_pblock [get_pblocks  {CLKAG_clk_1/inst/clk_out1}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_1/inst/clk_out1"}]]]
+resize_pblock [get_pblocks {CLKAG_clk_1/inst/clk_out1}] -add {CLOCKREGION_X1Y2:CLOCKREGION_X1Y2}
+#endgroup
diff --git a/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..77a548edda43e63dc41d17d52f398ba95759d2b6
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_control_sets_placed.rpt
@@ -0,0 +1,110 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Mon May 12 08:26:15 2025
+| Host         : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_control_sets -verbose -file audioProc_control_sets_placed.rpt
+| Design       : audioProc
+| Device       : xc7a200t
+---------------------------------------------------------------------------------------------------------------------------------------------
+
+Control Set Information
+
+Table of Contents
+-----------------
+1. Summary
+2. Histogram
+3. Flip-Flop Distribution
+4. Detailed Control Set Information
+
+1. Summary
+----------
+
++----------------------------------------------------------+-------+
+|                          Status                          | Count |
++----------------------------------------------------------+-------+
+| Total control sets                                       |    32 |
+|    Minimum number of control sets                        |    32 |
+|    Addition due to synthesis replication                 |     0 |
+|    Addition due to physical synthesis replication        |     0 |
+| Unused register locations in slices containing registers |    81 |
++----------------------------------------------------------+-------+
+* Control sets can be merged at opt_design using control_set_merge or merge_equivalent_drivers
+** Run report_qor_suggestions for automated merging and remapping suggestions
+
+
+2. Histogram
+------------
+
++--------------------+-------+
+|       Fanout       | Count |
++--------------------+-------+
+| Total control sets |    32 |
+| >= 0 to < 4        |     1 |
+| >= 4 to < 6        |    10 |
+| >= 6 to < 8        |     5 |
+| >= 8 to < 10       |     1 |
+| >= 10 to < 12      |     1 |
+| >= 12 to < 14      |     1 |
+| >= 14 to < 16      |     0 |
+| >= 16              |    13 |
++--------------------+-------+
+* Control sets can be remapped at either synth_design or opt_design
+
+
+3. Flip-Flop Distribution
+-------------------------
+
++--------------+-----------------------+------------------------+-----------------+--------------+
+| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices |
++--------------+-----------------------+------------------------+-----------------+--------------+
+| No           | No                    | No                     |              34 |           19 |
+| No           | No                    | Yes                    |              10 |            2 |
+| No           | Yes                   | No                     |              44 |           14 |
+| Yes          | No                    | No                     |              67 |           23 |
+| Yes          | No                    | Yes                    |             624 |          156 |
+| Yes          | Yes                   | No                     |             124 |           36 |
++--------------+-----------------------+------------------------+-----------------+--------------+
+
+
+4. Detailed Control Set Information
+-----------------------------------
+
++------------------------------------------------+--------------------------------------------------------------+---------------------------------------------------+------------------+----------------+--------------+
+|                  Clock Signal                  |                         Enable Signal                        |                  Set/Reset Signal                 | Slice Load Count | Bel Load Count | Bels / Slice |
++------------------------------------------------+--------------------------------------------------------------+---------------------------------------------------+------------------+----------------+--------------+
+|  clk_1/inst/clk_out1                           | dbuttons/IV[2]_i_1_n_0                                       |                                                   |                1 |              1 |         1.00 |
+|  clk_1/inst/clk_out4                           | initialize_audio/twi_controller/E[0]                         | audio_inout/SR[0]                                 |                2 |              4 |         2.00 |
+|  clk_1/inst/clk_out4                           | initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0    |                                                   |                2 |              4 |         2.00 |
+|  clk_1/inst/clk_out1                           | leftFir/firUnit_1/operativeUnit_1/SR_readAddress[3]_i_1_n_0  | audio_inout/SR[0]                                 |                1 |              4 |         4.00 |
+|  clk_1/inst/clk_out1                           | rightFir/firUnit_1/operativeUnit_1/SR_readAddress[3]_i_1_n_0 | audio_inout/SR[0]                                 |                1 |              4 |         4.00 |
+|  clk_1/inst/clk_out4                           | rstn_IBUF                                                    | initialize_audio/data_i[5]_i_1_n_0                |                2 |              4 |         2.00 |
+|  clk_1/inst/clk_out1                           | lrclkcnt[3]_i_2_n_0                                          | lrclkcnt[3]_i_1_n_0                               |                2 |              4 |         2.00 |
+|  rightFir/firUnit_1/controlUnit_1/SR_nextState |                                                              |                                                   |                2 |              5 |         2.50 |
+|  clk_1/inst/clk_out1                           | audio_inout/BCLK_Fall_int                                    | audio_inout/SR[0]                                 |                2 |              5 |         2.50 |
+|  leftFir/firUnit_1/controlUnit_1/SR_nextState  |                                                              |                                                   |                2 |              5 |         2.50 |
+|  clk_1/inst/clk_out1                           |                                                              | audio_inout/Cnt_Bclk[4]_i_1_n_0                   |                2 |              5 |         2.50 |
+|  clk_1/inst/clk_out4                           | rstn_IBUF                                                    |                                                   |                2 |              6 |         3.00 |
+|  clk_1/inst/clk_out1                           |                                                              |                                                   |                3 |              6 |         2.00 |
+|  clk_1/inst/clk_out4                           | initialize_audio/twi_controller/state_reg[3][0]              | audio_inout/SR[0]                                 |                3 |              7 |         2.33 |
+|  clk_1/inst/clk_out4                           | initialize_audio/twi_controller/sclCnt[6]_i_2_n_0            | initialize_audio/twi_controller/sclCnt[6]_i_1_n_0 |                3 |              7 |         2.33 |
+|  clk_1/inst/clk_out4                           |                                                              | initialize_audio/twi_controller/busFreeCnt0       |                3 |              7 |         2.33 |
+|  clk_1/inst/clk_out4                           | initialize_audio/twi_controller/dataByte[7]_i_1_n_0          |                                                   |                3 |              8 |         2.67 |
+|  clk_1/inst/clk_out1                           |                                                              | audio_inout/SR[0]                                 |                2 |             10 |         5.00 |
+|  clk_1/inst/clk_out1                           | dbuttons/cnt2                                                | dbuttons/cnt2[12]_i_1_n_0                         |                4 |             13 |         3.25 |
+|  clk_1/inst/clk_out1                           | leftFir/firUnit_1/controlUnit_1/Q[2]                         | audio_inout/SR[0]                                 |                4 |             16 |         4.00 |
+|  clk_1/inst/clk_out1                           | rightFir/firUnit_1/controlUnit_1/Q[2]                        | audio_inout/SR[0]                                 |                4 |             16 |         4.00 |
+|  clk_1/inst/clk_out4                           |                                                              |                                                   |               12 |             18 |         1.50 |
+|  clk_1/inst/clk_out4                           | initialize_audio/initWord[30]_i_1_n_0                        |                                                   |                5 |             23 |         4.60 |
+|  clk_1/inst/clk_out1                           | audio_inout/D_L_O_int                                        | audio_inout/SR[0]                                 |                6 |             24 |         4.00 |
+|  clk_1/inst/clk_out1                           | audio_inout/D_R_O_int[23]_i_1_n_0                            | audio_inout/SR[0]                                 |                5 |             24 |         4.80 |
+|  clk_1/inst/clk_out1                           | audio_inout/Data_Out_int[31]_i_1_n_0                         |                                                   |               10 |             25 |         2.50 |
+|  clk_1/inst/clk_out4                           |                                                              | initialize_audio/delaycnt0                        |                9 |             32 |         3.56 |
+|  clk_1/inst/clk_out1                           | audio_inout/p_4_in                                           | audio_inout/Data_In_int[31]_i_1_n_0               |                7 |             32 |         4.57 |
+|  clk_1/inst/clk_out1                           | rightFir/firUnit_1/operativeUnit_1/SR_sum[35]_i_1_n_0        | audio_inout/SR[0]                                 |                9 |             36 |         4.00 |
+|  clk_1/inst/clk_out1                           | leftFir/firUnit_1/operativeUnit_1/SR_sum[35]_i_1_n_0         | audio_inout/SR[0]                                 |               13 |             36 |         2.77 |
+|  clk_1/inst/clk_out1                           | leftFir/firUnit_1/controlUnit_1/Q[0]                         | audio_inout/SR[0]                                 |               59 |            256 |         4.34 |
+|  clk_1/inst/clk_out1                           | rightFir/firUnit_1/controlUnit_1/Q[0]                        | audio_inout/SR[0]                                 |               65 |            256 |         3.94 |
++------------------------------------------------+--------------------------------------------------------------+---------------------------------------------------+------------------+----------------+--------------+
+
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb
new file mode 100644
index 0000000000000000000000000000000000000000..7ad442055c36697bfffb8813a132f742b861b169
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.pb differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..ba3771ecbb6ad9084ebce10352c508b99b41d96d
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt
@@ -0,0 +1,102 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Mon May 12 08:26:05 2025
+| Host         : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx
+| Design       : audioProc
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
+| Design State : Synthesized
+---------------------------------------------------------------------------------------------------------------------------------------------
+
+Report DRC
+
+Table of Contents
+-----------------
+1. REPORT SUMMARY
+2. REPORT DETAILS
+
+1. REPORT SUMMARY
+-----------------
+            Netlist: netlist
+          Floorplan: design_1
+      Design limits: <entire design considered>
+           Ruledeck: default
+             Max violations: <unlimited>
+             Violations found: 11
++----------+----------+-----------------------------------------------------+------------+
+| Rule     | Severity | Description                                         | Violations |
++----------+----------+-----------------------------------------------------+------------+
+| CFGBVS-1 | Warning  | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1          |
+| DPIP-1   | Warning  | Input pipelining                                    | 6          |
+| DPOP-1   | Warning  | PREG Output pipelining                              | 2          |
+| DPOP-2   | Warning  | MREG Output pipelining                              | 2          |
++----------+----------+-----------------------------------------------------+------------+
+
+2. REPORT DETAILS
+-----------------
+CFGBVS-1#1 Warning
+Missing CFGBVS and CONFIG_VOLTAGE Design Properties  
+Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design.  Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0.  It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
+
+ set_property CFGBVS value1 [current_design]
+ #where value1 is either VCCO or GND
+
+ set_property CONFIG_VOLTAGE value2 [current_design]
+ #where value2 is the voltage provided to configuration bank 0
+
+Refer to the device configuration user guide for more information.
+Related violations: <none>
+
+DPIP-1#1 Warning
+Input pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#2 Warning
+Input pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#3 Warning
+Input pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#4 Warning
+Input pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#5 Warning
+Input pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#6 Warning
+Input pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPOP-1#1 Warning
+PREG Output pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function.  If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function.  If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.
+Related violations: <none>
+
+DPOP-1#2 Warning
+PREG Output pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function.  If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function.  If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.
+Related violations: <none>
+
+DPOP-2#1 Warning
+MREG Output pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function.  If this multiplier was inferred, it is suggested to describe an additional register stage after this function.  If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used.  If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions.
+Related violations: <none>
+
+DPOP-2#2 Warning
+MREG Output pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function.  If this multiplier was inferred, it is suggested to describe an additional register stage after this function.  If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used.  If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions.
+Related violations: <none>
+
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..272d1197018cd04bb9ee4f3d35246d179bad3d3a
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpx differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..2e33c0574e1e076ef032cadc08918310841c1b5b
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.pb differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..b2623beb1502e68f23712091386bd33324dcefba
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt
@@ -0,0 +1,113 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Mon May 12 08:27:10 2025
+| Host         : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx
+| Design       : audioProc
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
+| Design State : Fully Routed
+---------------------------------------------------------------------------------------------------------------------------------------------
+
+Report DRC
+
+Table of Contents
+-----------------
+1. REPORT SUMMARY
+2. REPORT DETAILS
+
+1. REPORT SUMMARY
+-----------------
+            Netlist: netlist
+          Floorplan: design_1
+      Design limits: <entire design considered>
+           Ruledeck: default
+             Max violations: <unlimited>
+             Violations found: 13
++----------+----------+-----------------------------------------------------+------------+
+| Rule     | Severity | Description                                         | Violations |
++----------+----------+-----------------------------------------------------+------------+
+| CFGBVS-1 | Warning  | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1          |
+| DPIP-1   | Warning  | Input pipelining                                    | 6          |
+| DPOP-1   | Warning  | PREG Output pipelining                              | 2          |
+| DPOP-2   | Warning  | MREG Output pipelining                              | 2          |
+| PDRC-153 | Warning  | Gated clock check                                   | 2          |
++----------+----------+-----------------------------------------------------+------------+
+
+2. REPORT DETAILS
+-----------------
+CFGBVS-1#1 Warning
+Missing CFGBVS and CONFIG_VOLTAGE Design Properties  
+Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design.  Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0.  It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
+
+ set_property CFGBVS value1 [current_design]
+ #where value1 is either VCCO or GND
+
+ set_property CONFIG_VOLTAGE value2 [current_design]
+ #where value2 is the voltage provided to configuration bank 0
+
+Refer to the device configuration user guide for more information.
+Related violations: <none>
+
+DPIP-1#1 Warning
+Input pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#2 Warning
+Input pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#3 Warning
+Input pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#4 Warning
+Input pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#5 Warning
+Input pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPIP-1#6 Warning
+Input pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance.
+Related violations: <none>
+
+DPOP-1#1 Warning
+PREG Output pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function.  If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function.  If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.
+Related violations: <none>
+
+DPOP-1#2 Warning
+PREG Output pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function.  If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function.  If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.
+Related violations: <none>
+
+DPOP-2#1 Warning
+MREG Output pipelining  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function.  If this multiplier was inferred, it is suggested to describe an additional register stage after this function.  If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used.  If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions.
+Related violations: <none>
+
+DPOP-2#2 Warning
+MREG Output pipelining  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function.  If this multiplier was inferred, it is suggested to describe an additional register stage after this function.  If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used.  If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions.
+Related violations: <none>
+
+PDRC-153#1 Warning
+Gated clock check  
+Net leftFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/__2/i_/O, cell leftFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.
+Related violations: <none>
+
+PDRC-153#2 Warning
+Gated clock check  
+Net rightFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/__2/i_/O, cell rightFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.
+Related violations: <none>
+
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..08c9b5d27b624947b73cc95a477237ad4b99bf41
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpx differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..a117d67127c98d8ab6e925257bd35ff93f09e87a
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_io_placed.rpt
@@ -0,0 +1,526 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+----------------------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version              : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date                      : Mon May 12 08:26:15 2025
+| Host                      : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command                   : report_io -file audioProc_io_placed.rpt
+| Design                    : audioProc
+| Device                    : xc7a200t
+| Speed File                : -1
+| Package                   : sbg484
+| Package Version           : FINAL 2012-06-12
+| Package Pin Delay Version : VERS. 2.0 2012-06-12
+----------------------------------------------------------------------------------------------------------------------------------------------------------
+
+IO Information
+
+Table of Contents
+-----------------
+1. Summary
+2. IO Assignments by Package Pin
+
+1. Summary
+----------
+
++---------------+
+| Total User IO |
++---------------+
+|            27 |
++---------------+
+
+
+2. IO Assignments by Package Pin
+--------------------------------
+
++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+| Pin Number | Signal Name  | Bank Type  | Pin Name                     | Use           | IO Standard | IO Bank | Drive (mA) | Slew | On-Chip Termination | Off-Chip Termination | Voltage | Constraint | Pull Type | DQS Bias | Vref | Signal Integrity | Pre Emphasis | Lvds Pre Emphasis | Equalization |
++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+| A1         |              | High Range | IO_L1N_T0_AD4N_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A2         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A3         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A4         |              |            | MGTPTXN0_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A5         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A6         |              |            | MGTPTXN2_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A7         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A8         |              |            | MGTPRXN0_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A9         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A10        |              |            | MGTPRXN2_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A11        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A12        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| A13        |              | High Range | IO_L10P_T1_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A14        |              | High Range | IO_L10N_T1_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A15        |              | High Range | IO_L9P_T1_DQS_16             | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A16        |              | High Range | IO_L9N_T1_DQS_16             | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A17        |              | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| A18        |              | High Range | IO_L17P_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A19        |              | High Range | IO_L17N_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A20        |              | High Range | IO_L16N_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A21        |              | High Range | IO_L21N_T3_DQS_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| A22        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AA1        |              | High Range | IO_L7P_T1_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA2        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AA3        |              | High Range | IO_L9N_T1_DQS_34             | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA4        |              | High Range | IO_L11N_T1_SRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA5        |              | High Range | IO_L10P_T1_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA6        |              | High Range | IO_L18N_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA7        |              | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| AA8        |              | High Range | IO_L22P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA9        |              | High Range | IO_L8P_T1_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA10       |              | High Range | IO_L9P_T1_DQS_13             | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA11       |              | High Range | IO_L9N_T1_DQS_13             | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA12       |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AA13       |              | High Range | IO_L3P_T0_DQS_13             | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA14       |              | High Range | IO_L5N_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA15       |              | High Range | IO_L4P_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA16       |              | High Range | IO_L1N_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA17       |              | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| AA18       |              | High Range | IO_L17P_T2_A14_D30_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA19       |              | High Range | IO_L15P_T2_DQS_RDWR_B_14     | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA20       |              | High Range | IO_L8P_T1_D11_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA21       |              | High Range | IO_L8N_T1_D12_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AA22       |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AB1        |              | High Range | IO_L7N_T1_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB2        |              | High Range | IO_L8N_T1_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB3        |              | High Range | IO_L8P_T1_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB4        |              | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| AB5        |              | High Range | IO_L10N_T1_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB6        |              | High Range | IO_L20N_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB7        |              | High Range | IO_L20P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB8        |              | High Range | IO_L22N_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB9        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AB10       |              | High Range | IO_L8N_T1_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB11       |              | High Range | IO_L7P_T1_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB12       |              | High Range | IO_L7N_T1_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB13       |              | High Range | IO_L3N_T0_DQS_13             | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB14       |              | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| AB15       |              | High Range | IO_L4N_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB16       |              | High Range | IO_L2P_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB17       |              | High Range | IO_L2N_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB18       |              | High Range | IO_L17N_T2_A13_D29_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB19       |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| AB20       |              | High Range | IO_L15N_T2_DQS_DOUT_CSO_B_14 | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB21       |              | High Range | IO_L10P_T1_D14_14            | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| AB22       |              | High Range | IO_L10N_T1_D15_14            | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B1         |              | High Range | IO_L1P_T0_AD4P_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B2         |              | High Range | IO_L2N_T0_AD12N_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B3         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B4         |              |            | MGTPTXP0_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B5         |              |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B6         |              |            | MGTPTXP2_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B7         |              |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B8         |              |            | MGTPRXP0_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B9         |              |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B10        |              |            | MGTPRXP2_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B11        |              |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B12        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B13        |              | High Range | IO_L8N_T1_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B14        |              | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| B15        |              | High Range | IO_L7P_T1_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B16        |              | High Range | IO_L7N_T1_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B17        |              | High Range | IO_L11P_T1_SRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B18        |              | High Range | IO_L11N_T1_SRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B19        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| B20        |              | High Range | IO_L16P_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B21        |              | High Range | IO_L21P_T3_DQS_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| B22        | BTNC         | High Range | IO_L20N_T3_16                | INPUT         | LVCMOS33    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| C1         |              | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |    1.50 |            |           |          |      |                  |              |                   |              |
+| C2         |              | High Range | IO_L2P_T0_AD12P_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C3         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C4         |              |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C5         |              |            | MGTPTXN1_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C6         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C7         |              |            | MGTPTXN3_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C8         |              |            | MGTAVTT                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C9         |              |            | MGTPRXN3_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C10        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C11        |              |            | MGTPRXN1_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C12        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C13        |              | High Range | IO_L8P_T1_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C14        |              | High Range | IO_L3P_T0_DQS_16             | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C15        |              | High Range | IO_L3N_T0_DQS_16             | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C16        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| C17        |              | High Range | IO_L12N_T1_MRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C18        |              | High Range | IO_L13P_T2_MRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C19        |              | High Range | IO_L13N_T2_MRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C20        |              | High Range | IO_L19N_T3_VREF_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| C21        |              | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| C22        | BTNL         | High Range | IO_L20P_T3_16                | INPUT         | LVCMOS33    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| D1         |              | High Range | IO_L3N_T0_DQS_AD5N_35        | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D2         |              | High Range | IO_L4N_T0_35                 | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D3         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D4         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D5         |              |            | MGTPTXP1_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D6         |              |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D7         |              |            | MGTPTXP3_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D8         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D9         |              |            | MGTPRXP3_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D10        |              |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D11        |              |            | MGTPRXP1_216                 | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D12        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D13        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| D14        | BTNR         | High Range | IO_L6P_T0_16                 | INPUT         | LVCMOS33    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| D15        |              | High Range | IO_L6N_T0_VREF_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D16        |              | High Range | IO_L5N_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D17        |              | High Range | IO_L12P_T1_MRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D18        |              | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| D19        |              | High Range | IO_L14N_T2_SRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D20        |              | High Range | IO_L19P_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D21        |              | High Range | IO_L23N_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| D22        | BTND         | High Range | IO_L22N_T3_16                | INPUT         | LVCMOS33    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| E1         |              | High Range | IO_L3P_T0_DQS_AD5P_35        | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E2         |              | High Range | IO_L4P_T0_35                 | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E3         |              | High Range | IO_L6N_T0_VREF_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E4         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E5         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E6         |              |            | MGTREFCLK0N_216              | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E7         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E8         |              |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E9         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E10        |              |            | MGTREFCLK1N_216              | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E11        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E12        |              | Dedicated  | VCCBATT_0                    | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E13        |              | High Range | IO_L4P_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E14        |              | High Range | IO_L4N_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E15        |              | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| E16        |              | High Range | IO_L5P_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E17        |              | High Range | IO_L2N_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E18        |              | High Range | IO_L15N_T2_DQS_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E19        |              | High Range | IO_L14P_T2_SRCC_16           | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E20        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| E21        |              | High Range | IO_L23P_T3_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| E22        | sw           | High Range | IO_L22P_T3_16                | INPUT         | LVCMOS33    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| F1         |              | High Range | IO_L5N_T0_AD13N_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F2         |              | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |    1.50 |            |           |          |      |                  |              |                   |              |
+| F3         |              | High Range | IO_L6P_T0_35                 | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F4         |              | High Range | IO_0_35                      | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F5         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F6         |              |            | MGTREFCLK0P_216              | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F7         |              |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F8         |              |            | MGTRREF_216                  | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F9         |              |            | MGTAVCC                      | Gigabit Power |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F10        |              |            | MGTREFCLK1P_216              | Gigabit       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F11        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F12        |              | Dedicated  | VCCO_0                       | VCCO          |             |       0 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| F13        |              | High Range | IO_L1P_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F14        |              | High Range | IO_L1N_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F15        | BTNU         | High Range | IO_0_16                      | INPUT         | LVCMOS33    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| F16        |              | High Range | IO_L2P_T0_16                 | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F17        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| F18        |              | High Range | IO_L15P_T2_DQS_16            | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F19        |              | High Range | IO_L18P_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F20        |              | High Range | IO_L18N_T2_16                | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F21        |              | High Range | IO_25_16                     | User IO       |             |      16 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| F22        |              | High Range | VCCO_16                      | VCCO          |             |      16 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| G1         |              | High Range | IO_L5P_T0_AD13P_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G2         |              | High Range | IO_L8N_T1_AD14N_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G3         |              | High Range | IO_L11N_T1_SRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G4         | rstn         | High Range | IO_L12N_T1_MRCC_35           | INPUT         | LVCMOS15    |      35 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| G5         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G6         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G7         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G8         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G9         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G10        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G11        |              | Dedicated  | DONE_0                       | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G12        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G13        |              | High Range | IO_L1N_T0_AD0N_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G14        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| G15        |              | High Range | IO_L2P_T0_AD8P_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G16        |              | High Range | IO_L2N_T0_AD8N_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G17        |              | High Range | IO_L4P_T0_15                 | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G18        |              | High Range | IO_L4N_T0_15                 | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G19        |              | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| G20        |              | High Range | IO_L8N_T1_AD10N_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| G21        | sw2          | High Range | IO_L24P_T3_16                | INPUT         | LVCMOS33    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| G22        | sw3          | High Range | IO_L24N_T3_16                | INPUT         | LVCMOS33    |      16 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| H1         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H2         |              | High Range | IO_L8P_T1_AD14P_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H3         |              | High Range | IO_L11P_T1_SRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H4         |              | High Range | IO_L12P_T1_MRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H5         |              | High Range | IO_L10N_T1_AD15N_35          | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H6         |              | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |    1.50 |            |           |          |      |                  |              |                   |              |
+| H7         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H8         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H9         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H10        |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H11        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H12        |              |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| H13        |              | High Range | IO_L1P_T0_AD0P_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H14        |              | High Range | IO_L3N_T0_DQS_AD1N_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H15        |              | High Range | IO_L5N_T0_AD9N_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H16        |              | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| H17        | sw4          | High Range | IO_L6P_T0_15                 | INPUT         | LVCMOS33    |      15 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| H18        |              | High Range | IO_L6N_T0_VREF_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H19        |              | High Range | IO_L12N_T1_MRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H20        |              | High Range | IO_L8P_T1_AD10P_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| H21        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| H22        |              | High Range | IO_L7N_T1_AD2N_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J1         |              | High Range | IO_L7N_T1_AD6N_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J2         |              | High Range | IO_L9N_T1_DQS_AD7N_35        | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J3         |              | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |    1.50 |            |           |          |      |                  |              |                   |              |
+| J4         |              | High Range | IO_L13N_T2_MRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J5         |              | High Range | IO_L10P_T1_AD15P_35          | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J6         |              | High Range | IO_L17N_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J7         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J8         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J9         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J10        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J11        |              |            | VCCBRAM                      | VCCBRAM       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J12        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J13        |              | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| J14        |              | High Range | IO_L3P_T0_DQS_AD1P_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J15        |              | High Range | IO_L5P_T0_AD9P_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J16        | sw5          | High Range | IO_0_15                      | INPUT         | LVCMOS33    |      15 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| J17        |              | High Range | IO_L21N_T3_DQS_A18_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J18        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| J19        |              | High Range | IO_L12P_T1_MRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J20        |              | High Range | IO_L11P_T1_SRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J21        |              | High Range | IO_L11N_T1_SRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| J22        |              | High Range | IO_L7P_T1_AD2P_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K1         |              | High Range | IO_L7P_T1_AD6P_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K2         |              | High Range | IO_L9P_T1_DQS_AD7P_35        | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K3         |              | High Range | IO_L14N_T2_SRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K4         |              | High Range | IO_L13P_T2_MRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K5         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K6         |              | High Range | IO_L17P_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K7         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K8         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K9         |              | Dedicated  | GNDADC_0                     | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K10        |              | Dedicated  | VCCADC_0                     | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K11        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K12        |              |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| K13        | sw6          | High Range | IO_L19P_T3_A22_15            | INPUT         | LVCMOS33    |      15 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| K14        |              | High Range | IO_L19N_T3_A21_VREF_15       | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K15        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| K16        |              | High Range | IO_L23N_T3_FWE_B_15          | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K17        |              | High Range | IO_L21P_T3_DQS_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K18        |              | High Range | IO_L13P_T2_MRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K19        |              | High Range | IO_L13N_T2_MRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K20        |              | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| K21        |              | High Range | IO_L9P_T1_DQS_AD3P_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| K22        |              | High Range | IO_L9N_T1_DQS_AD3N_15        | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L1         |              | High Range | IO_L15N_T2_DQS_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L2         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L3         |              | High Range | IO_L14P_T2_SRCC_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L4         |              | High Range | IO_L18N_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L5         |              | High Range | IO_L18P_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L6         |              | High Range | IO_25_35                     | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L7         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L8         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| L9         |              | Dedicated  | VREFN_0                      | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L10        |              | Dedicated  | VP_0                         | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L11        |              |            | VCCBRAM                      | VCCBRAM       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L12        |              | Dedicated  | CCLK_0                       | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L13        |              | High Range | IO_L20N_T3_A19_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L14        |              | High Range | IO_L22P_T3_A17_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L15        |              | High Range | IO_L22N_T3_A16_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L16        |              | High Range | IO_L23P_T3_FOE_B_15          | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L17        |              | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| L18        |              | High Range | IO_L16N_T2_A27_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L19        |              | High Range | IO_L14P_T2_SRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L20        |              | High Range | IO_L14N_T2_SRCC_15           | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L21        |              | High Range | IO_L10N_T1_AD11N_15          | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| L22        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M1         |              | High Range | IO_L15P_T2_DQS_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M2         |              | High Range | IO_L16N_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M3         |              | High Range | IO_L16P_T2_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M4         |              | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |    1.50 |            |           |          |      |                  |              |                   |              |
+| M5         |              | High Range | IO_L23N_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M6         |              | High Range | IO_L23P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M7         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M8         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M9         |              | Dedicated  | VN_0                         | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M10        |              | Dedicated  | VREFP_0                      | XADC          |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M11        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M12        |              |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| M13        |              | High Range | IO_L20P_T3_A20_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M14        |              | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| M15        |              | High Range | IO_L24P_T3_RS1_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M16        |              | High Range | IO_L24N_T3_RS0_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M17        | sw7          | High Range | IO_25_15                     | INPUT         | LVCMOS33    |      15 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| M18        |              | High Range | IO_L16P_T2_A28_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M19        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| M20        |              | High Range | IO_L18N_T2_A23_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M21        |              | High Range | IO_L10P_T1_AD11P_15          | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| M22        |              | High Range | IO_L15N_T2_DQS_ADV_B_15      | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N1         |              | High Range | VCCO_35                      | VCCO          |             |      35 |            |      |                     |                      |    1.50 |            |           |          |      |                  |              |                   |              |
+| N2         |              | High Range | IO_L22N_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N3         |              | High Range | IO_L19N_T3_VREF_35           | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N4         |              | High Range | IO_L19P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N5         |              | High Range | IO_L24N_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N6         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N7         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N8         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N9         |              | Dedicated  | DXN_0                        | Temp Sensor   |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N10        |              | Dedicated  | DXP_0                        | Temp Sensor   |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N11        |              |            | VCCBRAM                      | VCCBRAM       |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N12        |              | Dedicated  | PROGRAM_B_0                  | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N13        |              | High Range | IO_L23P_T3_A03_D19_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N14        |              | High Range | IO_L23N_T3_A02_D18_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N15        |              | High Range | IO_25_14                     | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N16        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| N17        |              | High Range | IO_L21P_T3_DQS_14            | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N18        |              | High Range | IO_L17P_T2_A26_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N19        |              | High Range | IO_L17N_T2_A25_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N20        |              | High Range | IO_L18P_T2_A24_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| N21        |              | High Range | VCCO_15                      | VCCO          |             |      15 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| N22        |              | High Range | IO_L15P_T2_DQS_15            | User IO       |             |      15 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P1         |              | High Range | IO_L20N_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P2         |              | High Range | IO_L22P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P3         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P4         |              | High Range | IO_L21N_T3_DQS_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P5         |              | High Range | IO_L21P_T3_DQS_35            | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P6         |              | High Range | IO_L24P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P7         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P8         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P9         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P10        |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P11        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P12        |              |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| P13        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| P14        |              | High Range | IO_L19P_T3_A10_D26_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P15        |              | High Range | IO_L22P_T3_A05_D21_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P16        |              | High Range | IO_L24P_T3_A01_D17_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P17        |              | High Range | IO_L21N_T3_DQS_A06_D22_14    | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P18        |              | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| P19        |              | High Range | IO_L5P_T0_D06_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P20        |              | High Range | IO_0_14                      | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P21        |              | High Range | IO_L2P_T0_D02_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| P22        |              | High Range | IO_L1P_T0_D00_MOSI_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R1         |              | High Range | IO_L20P_T3_35                | User IO       |             |      35 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R2         |              | High Range | IO_L3N_T0_DQS_34             | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R3         |              | High Range | IO_L3P_T0_DQS_34             | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R4         | CLK100MHZ    | High Range | IO_L13P_T2_MRCC_34           | INPUT         | LVCMOS33    |      34 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| R5         |              | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| R6         |              | High Range | IO_L17P_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R7         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R8         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R9         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R10        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R11        |              |            | VCCAUX                       | VCCAUX        |             |         |            |      |                     |                      |    1.80 |            |           |          |      |                  |              |                   |              |
+| R12        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R13        |              | Dedicated  | TDI_0                        | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R14        |              | High Range | IO_L19N_T3_A09_D25_VREF_14   | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R15        |              | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| R16        |              | High Range | IO_L22N_T3_A04_D20_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R17        |              | High Range | IO_L24N_T3_A00_D16_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R18        |              | High Range | IO_L20P_T3_A08_D24_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R19        |              | High Range | IO_L5N_T0_D07_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R20        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| R21        |              | High Range | IO_L2N_T0_D03_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| R22        |              | High Range | IO_L1N_T0_D01_DIN_14         | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T1         |              | High Range | IO_L1P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T2         |              | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| T3         |              | High Range | IO_0_34                      | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T4         | ac_adc_sdata | High Range | IO_L13N_T2_MRCC_34           | INPUT         | LVCMOS33    |      34 |            |      |                     |                 NONE |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T5         | ac_bclk      | High Range | IO_L14P_T2_SRCC_34           | OUTPUT        | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T6         |              | High Range | IO_L17N_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T7         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T8         |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T9         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T10        |              |            | VCCINT                       | VCCINT        |             |         |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T11        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T12        |              | Dedicated  | VCCO_0                       | VCCO          |             |       0 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| T13        |              | Dedicated  | TMS_0                        | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T14        |              | High Range | IO_L15P_T2_DQS_13            | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T15        |              | High Range | IO_L15N_T2_DQS_13            | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T16        | led2         | High Range | IO_L17P_T2_13                | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| T17        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| T18        |              | High Range | IO_L20N_T3_A07_D23_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T19        |              | High Range | IO_L6P_T0_FCS_B_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T20        |              | High Range | IO_L6N_T0_D08_VREF_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T21        |              | High Range | IO_L4P_T0_D04_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| T22        |              | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| U1         |              | High Range | IO_L1N_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U2         |              | High Range | IO_L2P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U3         |              | High Range | IO_L6P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U4         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| U5         | ac_lrclk     | High Range | IO_L14N_T2_SRCC_34           | OUTPUT        | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| U6         | ac_mclk      | High Range | IO_L16P_T2_34                | OUTPUT        | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| U7         |              | High Range | IO_25_34                     | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U8         |              | Dedicated  | CFGBVS_0                     | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U9         |              | Dedicated  | M2_0                         | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U10        |              | Dedicated  | M1_0                         | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U11        |              | Dedicated  | M0_0                         | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U12        |              | Dedicated  | INIT_B_0                     | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U13        |              | Dedicated  | TDO_0                        | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U14        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| U15        |              | High Range | IO_L14P_T2_SRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U16        | led3         | High Range | IO_L17N_T2_13                | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| U17        |              | High Range | IO_L18P_T2_A12_D28_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U18        |              | High Range | IO_L18N_T2_A11_D27_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U19        |              | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| U20        |              | High Range | IO_L11P_T1_SRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U21        |              | High Range | IO_L4N_T0_D05_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| U22        |              | High Range | IO_L3P_T0_DQS_PUDC_B_14      | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V1         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V2         |              | High Range | IO_L2N_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V3         |              | High Range | IO_L6N_T0_VREF_34            | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V4         |              | High Range | IO_L12P_T1_MRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V5         | sda          | High Range | IO_L16N_T2_34                | BIDIR         | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| V6         |              | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| V7         |              | High Range | IO_L19P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V8         |              | High Range | IO_L21N_T3_DQS_34            | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V9         |              | High Range | IO_L21P_T3_DQS_34            | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V10        |              | High Range | IO_L10P_T1_13                | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V11        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V12        |              | Dedicated  | TCK_0                        | Config        |             |       0 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V13        |              | High Range | IO_L13P_T2_MRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V14        |              | High Range | IO_L13N_T2_MRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V15        | led4         | High Range | IO_L14N_T2_SRCC_13           | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| V16        |              | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| V17        |              | High Range | IO_L16P_T2_CSI_B_14          | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V18        |              | High Range | IO_L14P_T2_SRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V19        |              | High Range | IO_L14N_T2_SRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V20        |              | High Range | IO_L11N_T1_SRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| V21        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| V22        |              | High Range | IO_L3N_T0_DQS_EMCCLK_14      | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W1         |              | High Range | IO_L5P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W2         |              | High Range | IO_L4P_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W3         |              | High Range | VCCO_34                      | VCCO          |             |      34 |            |      |                     |                      |    3.30 |            |           |          |      |                  |              |                   |              |
+| W4         |              | High Range | IO_L12N_T1_MRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W5         | scl          | High Range | IO_L15N_T2_DQS_34            | BIDIR         | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| W6         | ac_dac_sdata | High Range | IO_L15P_T2_DQS_34            | OUTPUT        | LVCMOS33    |      34 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| W7         |              | High Range | IO_L19N_T3_VREF_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W8         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| W9         |              | High Range | IO_L24P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W10        |              | High Range | IO_L10N_T1_13                | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W11        |              | High Range | IO_L12P_T1_MRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W12        |              | High Range | IO_L12N_T1_MRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W13        |              | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| W14        |              | High Range | IO_L6P_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W15        | led6         | High Range | IO_L16P_T2_13                | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| W16        | led5         | High Range | IO_L16N_T2_13                | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| W17        |              | High Range | IO_L16N_T2_A15_D31_14        | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W18        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| W19        |              | High Range | IO_L12P_T1_MRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W20        |              | High Range | IO_L12N_T1_MRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W21        |              | High Range | IO_L7P_T1_D09_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| W22        |              | High Range | IO_L7N_T1_D10_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y1         |              | High Range | IO_L5N_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y2         |              | High Range | IO_L4N_T0_34                 | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y3         |              | High Range | IO_L9P_T1_DQS_34             | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y4         |              | High Range | IO_L11P_T1_SRCC_34           | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y5         |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| Y6         |              | High Range | IO_L18P_T2_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y7         |              | High Range | IO_L23N_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y8         |              | High Range | IO_L23P_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y9         |              | High Range | IO_L24N_T3_34                | User IO       |             |      34 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y10        |              | High Range | VCCO_13                      | VCCO          |             |      13 |            |      |                     |                      |    2.50 |            |           |          |      |                  |              |                   |              |
+| Y11        |              | High Range | IO_L11P_T1_SRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y12        |              | High Range | IO_L11N_T1_SRCC_13           | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y13        | led7         | High Range | IO_L5P_T0_13                 | OUTPUT        | LVCMOS25    |      13 |         12 | SLOW |                     |            FP_VTT_50 |         | FIXED      |           |          |      | NONE             |              |                   |              |
+| Y14        |              | High Range | IO_L6N_T0_VREF_13            | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y15        |              |            | GND                          | GND           |             |         |            |      |                     |                      |     0.0 |            |           |          |      |                  |              |                   |              |
+| Y16        |              | High Range | IO_L1P_T0_13                 | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y17        |              | High Range | IO_0_13                      | User IO       |             |      13 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y18        |              | High Range | IO_L13P_T2_MRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y19        |              | High Range | IO_L13N_T2_MRCC_14           | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y20        |              | High Range | VCCO_14                      | VCCO          |             |      14 |            |      |                     |                      |   any** |            |           |          |      |                  |              |                   |              |
+| Y21        |              | High Range | IO_L9P_T1_DQS_14             | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
+| Y22        |              | High Range | IO_L9N_T1_DQS_D13_14         | User IO       |             |      14 |            |      |                     |                      |         |            |           |          |      |                  |              |                   |              |
++------------+--------------+------------+------------------------------+---------------+-------------+---------+------------+------+---------------------+----------------------+---------+------------+-----------+----------+------+------------------+--------------+-------------------+--------------+
+* Default value
+** Special VCCO requirements may apply. Please consult the device family datasheet for specific guideline on VCCO requirements.
+
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..c51bad5c93dab857a948718691ec62d9b80b6ca0
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.pb differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..cc6bf38e91659d233264eff55e1f71d44d9b3159
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt
@@ -0,0 +1,628 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Mon May 12 08:27:12 2025
+| Host         : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx
+| Design       : audioProc
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
+| Design State : Fully Routed
+-----------------------------------------------------------------------------------------------------------------------------------------------------------------
+
+Report Methodology
+
+Table of Contents
+-----------------
+1. REPORT SUMMARY
+2. REPORT DETAILS
+
+1. REPORT SUMMARY
+-----------------
+            Netlist: netlist
+          Floorplan: design_1
+      Design limits: <entire design considered>
+             Max violations: <unlimited>
+             Violations found: 118
++-----------+----------+--------------------------------+------------+
+| Rule      | Severity | Description                    | Violations |
++-----------+----------+--------------------------------+------------+
+| DPIR-1    | Warning  | Asynchronous driver check      | 96         |
+| TIMING-18 | Warning  | Missing input or output delay  | 11         |
+| TIMING-20 | Warning  | Non-clocked latch              | 10         |
+| LATCH-1   | Advisory | Existing latches in the design | 1          |
++-----------+----------+--------------------------------+------------+
+
+2. REPORT DETAILS
+-----------------
+DPIR-1#1 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[0] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#2 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[10] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#3 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[11] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#4 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[12] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#5 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[13] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#6 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[14] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#7 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[15] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#8 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[16] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#9 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[17] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#10 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[18] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#11 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[19] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#12 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[1] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#13 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[20] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#14 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[21] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#15 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[22] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#16 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[23] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#17 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[24] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#18 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[25] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#19 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[26] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#20 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[27] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#21 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[28] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#22 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[29] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#23 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[2] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#24 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[30] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#25 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[31] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#26 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[32] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#27 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[33] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#28 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[34] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#29 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[35] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#30 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[36] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#31 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[37] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#32 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[38] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#33 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[39] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#34 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[3] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#35 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[40] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#36 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[41] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#37 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[42] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#38 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[43] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#39 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[44] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#40 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[45] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#41 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[46] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#42 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#43 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[4] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#44 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[5] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#45 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[6] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#46 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[7] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#47 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[8] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#48 Warning
+Asynchronous driver check  
+DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input pin leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[9] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#49 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[0] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#50 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[10] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#51 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[11] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#52 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[12] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#53 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[13] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#54 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[14] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#55 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[15] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#56 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[16] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#57 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[17] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#58 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[18] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#59 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[19] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#60 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[1] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#61 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[20] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#62 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[21] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#63 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[22] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#64 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[23] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#65 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[24] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#66 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[25] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#67 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[26] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#68 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[27] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#69 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[28] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#70 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[29] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#71 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[2] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#72 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[30] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#73 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[31] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#74 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[32] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#75 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[33] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#76 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[34] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#77 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[35] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#78 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[36] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#79 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[37] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#80 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[38] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#81 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[39] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#82 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[3] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#83 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[40] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#84 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[41] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#85 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[42] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#86 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[43] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#87 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[44] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#88 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[45] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#89 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[46] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#90 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#91 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[4] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#92 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[5] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#93 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[6] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#94 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[7] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#95 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[8] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+DPIR-1#96 Warning
+Asynchronous driver check  
+DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input pin rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[9] is connected to registers with an asynchronous reset. This is preventing the possibility of merging these registers in to the DSP Block since the DSP block registers only possess synchronous reset capability.  It is suggested to recode or change these registers to remove the reset or use a synchronous reset to get the best optimization for performance, power and area.
+Related violations: <none>
+
+TIMING-18#1 Warning
+Missing input or output delay  
+An input delay is missing on BTNC relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#2 Warning
+Missing input or output delay  
+An input delay is missing on ac_adc_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#3 Warning
+Missing input or output delay  
+An input delay is missing on rstn relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#4 Warning
+Missing input or output delay  
+An input delay is missing on sw2 relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#5 Warning
+Missing input or output delay  
+An input delay is missing on sw3 relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#6 Warning
+Missing input or output delay  
+An input delay is missing on sw4 relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#7 Warning
+Missing input or output delay  
+An input delay is missing on sw5 relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#8 Warning
+Missing input or output delay  
+An input delay is missing on sw7 relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#9 Warning
+Missing input or output delay  
+An output delay is missing on ac_bclk relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#10 Warning
+Missing input or output delay  
+An output delay is missing on ac_dac_sdata relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-18#11 Warning
+Missing input or output delay  
+An output delay is missing on ac_lrclk relative to the rising and/or falling clock edge(s) of CLK100MHZ.
+Related violations: <none>
+
+TIMING-20#1 Warning
+Non-clocked latch  
+The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]/G is not reached by a timing clock
+Related violations: <none>
+
+TIMING-20#2 Warning
+Non-clocked latch  
+The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]/G is not reached by a timing clock
+Related violations: <none>
+
+TIMING-20#3 Warning
+Non-clocked latch  
+The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]/G is not reached by a timing clock
+Related violations: <none>
+
+TIMING-20#4 Warning
+Non-clocked latch  
+The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]/G is not reached by a timing clock
+Related violations: <none>
+
+TIMING-20#5 Warning
+Non-clocked latch  
+The latch leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4] cannot be properly analyzed as its control pin leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]/G is not reached by a timing clock
+Related violations: <none>
+
+TIMING-20#6 Warning
+Non-clocked latch  
+The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[0]/G is not reached by a timing clock
+Related violations: <none>
+
+TIMING-20#7 Warning
+Non-clocked latch  
+The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[1]/G is not reached by a timing clock
+Related violations: <none>
+
+TIMING-20#8 Warning
+Non-clocked latch  
+The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[2]/G is not reached by a timing clock
+Related violations: <none>
+
+TIMING-20#9 Warning
+Non-clocked latch  
+The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[3]/G is not reached by a timing clock
+Related violations: <none>
+
+TIMING-20#10 Warning
+Non-clocked latch  
+The latch rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4] cannot be properly analyzed as its control pin rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_nextState_reg[4]/G is not reached by a timing clock
+Related violations: <none>
+
+LATCH-1#1 Advisory
+Existing latches in the design  
+There are 10 latches found in the design. Inferred latches are often the result of HDL coding mistakes, such as incomplete if or case statements.
+Related violations: <none>
+
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..b9b9451440f43d5ba7cd64f0662f9bef25c503f6
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpx differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_opt.dcp b/proj/AudioProc.runs/impl_1/audioProc_opt.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..d6e3e72ca4f634458966e958f795ab4b347914c8
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_opt.dcp differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_placed.dcp b/proj/AudioProc.runs/impl_1/audioProc_placed.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..bd287d079c14fe469d27781795b963517c3cbfba
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_placed.dcp differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..73ea597b9f8d75d85192dc4b2e14c77a6c4fd09f
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpt
@@ -0,0 +1,161 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+-------------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version     : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date             : Mon May 12 08:27:13 2025
+| Host             : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command          : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx
+| Design           : audioProc
+| Device           : xc7a200tsbg484-1
+| Design State     : routed
+| Grade            : commercial
+| Process          : typical
+| Characterization : Production
+-------------------------------------------------------------------------------------------------------------------------------------------------
+
+Power Report
+
+Table of Contents
+-----------------
+1. Summary
+1.1 On-Chip Components
+1.2 Power Supply Summary
+1.3 Confidence Level
+2. Settings
+2.1 Environment
+2.2 Clock Constraints
+3. Detailed Reports
+3.1 By Hierarchy
+
+1. Summary
+----------
+
++--------------------------+--------------+
+| Total On-Chip Power (W)  | 0.251        |
+| Design Power Budget (W)  | Unspecified* |
+| Power Budget Margin (W)  | NA           |
+| Dynamic (W)              | 0.099        |
+| Device Static (W)        | 0.151        |
+| Effective TJA (C/W)      | 3.3          |
+| Max Ambient (C)          | 84.2         |
+| Junction Temperature (C) | 25.8         |
+| Confidence Level         | Low          |
+| Setting File             | ---          |
+| Simulation Activity File | ---          |
+| Design Nets Matched      | NA           |
++--------------------------+--------------+
+* Specify Design Power Budget using, set_operating_conditions -design_power_budget <value in Watts>
+
+
+1.1 On-Chip Components
+----------------------
+
++----------------+-----------+----------+-----------+-----------------+
+| On-Chip        | Power (W) | Used     | Available | Utilization (%) |
++----------------+-----------+----------+-----------+-----------------+
+| Clocks         |     0.003 |        7 |       --- |             --- |
+| Slice Logic    |     0.001 |     1617 |       --- |             --- |
+|   LUT as Logic |    <0.001 |      531 |    133800 |            0.40 |
+|   CARRY4       |    <0.001 |       20 |     33450 |            0.06 |
+|   Register     |    <0.001 |      903 |    267600 |            0.34 |
+|   F7/F8 Muxes  |    <0.001 |       96 |    133800 |            0.07 |
+|   Others       |     0.000 |       23 |       --- |             --- |
+| Signals        |     0.001 |     1213 |       --- |             --- |
+| MMCM           |     0.085 |        1 |        10 |           10.00 |
+| DSPs           |     0.002 |        2 |       740 |            0.27 |
+| I/O            |     0.007 |       22 |       285 |            7.72 |
+| Static Power   |     0.151 |          |           |                 |
+| Total          |     0.251 |          |           |                 |
++----------------+-----------+----------+-----------+-----------------+
+
+
+1.2 Power Supply Summary
+------------------------
+
++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+
+| Source    | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | Powerup (A) | Budget (A)  | Margin (A) |
++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+
+| Vccint    |       1.000 |     0.039 |       0.008 |      0.031 |       NA    | Unspecified | NA         |
+| Vccaux    |       1.800 |     0.078 |       0.047 |      0.031 |       NA    | Unspecified | NA         |
+| Vcco33    |       3.300 |     0.006 |       0.001 |      0.005 |       NA    | Unspecified | NA         |
+| Vcco25    |       2.500 |     0.006 |       0.001 |      0.005 |       NA    | Unspecified | NA         |
+| Vcco18    |       1.800 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vcco15    |       1.500 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vcco135   |       1.350 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vcco12    |       1.200 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vccaux_io |       1.800 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vccbram   |       1.000 |     0.001 |       0.000 |      0.001 |       NA    | Unspecified | NA         |
+| MGTAVcc   |       1.000 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| MGTAVtt   |       1.200 |     0.000 |       0.000 |      0.000 |       NA    | Unspecified | NA         |
+| Vccadc    |       1.800 |     0.020 |       0.000 |      0.020 |       NA    | Unspecified | NA         |
++-----------+-------------+-----------+-------------+------------+-------------+-------------+------------+
+
+
+1.3 Confidence Level
+--------------------
+
++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+
+| User Input Data             | Confidence | Details                                                | Action                                                                                                     |
++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+
+| Design implementation state | High       | Design is routed                                       |                                                                                                            |
+| Clock nodes activity        | High       | User specified more than 95% of clocks                 |                                                                                                            |
+| I/O nodes activity          | Low        | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view   |
+| Internal nodes activity     | Medium     | User specified less than 25% of internal nodes         | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views |
+| Device models               | High       | Device models are Production                           |                                                                                                            |
+|                             |            |                                                        |                                                                                                            |
+| Overall confidence level    | Low        |                                                        |                                                                                                            |
++-----------------------------+------------+--------------------------------------------------------+------------------------------------------------------------------------------------------------------------+
+
+
+2. Settings
+-----------
+
+2.1 Environment
+---------------
+
++-----------------------+--------------------------+
+| Ambient Temp (C)      | 25.0                     |
+| ThetaJA (C/W)         | 3.3                      |
+| Airflow (LFM)         | 250                      |
+| Heat Sink             | medium (Medium Profile)  |
+| ThetaSA (C/W)         | 4.6                      |
+| Board Selection       | medium (10"x10")         |
+| # of Board Layers     | 12to15 (12 to 15 Layers) |
+| Board Temperature (C) | 25.0                     |
++-----------------------+--------------------------+
+
+
+2.2 Clock Constraints
+---------------------
+
++--------------------+-------------------------------+-----------------+
+| Clock              | Domain                        | Constraint (ns) |
++--------------------+-------------------------------+-----------------+
+| CLK100MHZ          | CLK100MHZ                     |            10.0 |
+| clk_out1_clk_wiz_0 | clk_1/inst/clk_out1_clk_wiz_0 |            10.0 |
+| clk_out3_clk_wiz_0 | clk_1/inst/clk_out3_clk_wiz_0 |            83.3 |
+| clk_out4_clk_wiz_0 | clk_1/inst/clk_out4_clk_wiz_0 |            20.0 |
+| clkfbout_clk_wiz_0 | clk_1/inst/clkfbout_clk_wiz_0 |            10.0 |
++--------------------+-------------------------------+-----------------+
+
+
+3. Detailed Reports
+-------------------
+
+3.1 By Hierarchy
+----------------
+
++-----------------------+-----------+
+| Name                  | Power (W) |
++-----------------------+-----------+
+| audioProc             |     0.099 |
+|   clk_1               |     0.086 |
+|     inst              |     0.086 |
+|   leftFir             |     0.002 |
+|     firUnit_1         |     0.002 |
+|       operativeUnit_1 |     0.002 |
+|   rightFir            |     0.002 |
+|     firUnit_1         |     0.002 |
+|       operativeUnit_1 |     0.002 |
++-----------------------+-----------+
+
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..68241796c4e410305c91bd91285274ab89fe294c
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_power_routed.rpx differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..939f3d598693d12429ca71be3f1fd941c4405e62
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_power_summary_routed.pb differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.pb b/proj/AudioProc.runs/impl_1/audioProc_route_status.pb
new file mode 100644
index 0000000000000000000000000000000000000000..3ce7d52e579049b70af0eb58705abebcd5156caa
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_route_status.pb differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..4e101bf919a718d0984fa8a100b74b992b5590e1
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_route_status.rpt
@@ -0,0 +1,11 @@
+Design Route Status
+                                               :      # nets :
+   ------------------------------------------- : ----------- :
+   # of logical nets.......................... :        1781 :
+       # of nets not needing routing.......... :         557 :
+           # of internally routed nets........ :         557 :
+       # of routable nets..................... :        1224 :
+           # of fully routed nets............. :        1224 :
+       # of nets with routing errors.......... :           0 :
+   ------------------------------------------- : ----------- :
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc_routed.dcp b/proj/AudioProc.runs/impl_1/audioProc_routed.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..b4ce50237038ae9b13f53dc7413b6e9cc0b00df1
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_routed.dcp differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..2575ef04138d5f83cf1bfb17c0fd7c1ec3a0cb7c
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.pb differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..1676f1e97f4b0902c1642ee01a7ad6a08cf607e8
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpt
@@ -0,0 +1,3010 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Mon May 12 08:27:12 2025
+| Host         : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation
+| Design       : audioProc
+| Device       : 7a200t-sbg484
+| Speed File   : -1  PRODUCTION 1.23 2018-06-13
+| Design State : Routed
+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
+
+Timing Summary Report
+
+------------------------------------------------------------------------------------------------
+| Timer Settings
+| --------------
+------------------------------------------------------------------------------------------------
+
+  Enable Multi Corner Analysis               :  Yes
+  Enable Pessimism Removal                   :  Yes
+  Pessimism Removal Resolution               :  Nearest Common Node
+  Enable Input Delay Default Clock           :  No
+  Enable Preset / Clear Arcs                 :  No
+  Disable Flight Delays                      :  No
+  Ignore I/O Paths                           :  No
+  Timing Early Launch at Borrowing Latches   :  No
+  Borrow Time for Max Delay Exceptions       :  Yes
+  Merge Timing Exceptions                    :  Yes
+  Inter-SLR Compensation                     :  Conservative
+
+  Corner  Analyze    Analyze    
+  Name    Max Paths  Min Paths  
+  ------  ---------  ---------  
+  Slow    Yes        Yes        
+  Fast    Yes        Yes        
+
+
+------------------------------------------------------------------------------------------------
+| Report Methodology
+| ------------------
+------------------------------------------------------------------------------------------------
+
+Rule       Severity  Description                     Violations  
+---------  --------  ------------------------------  ----------  
+DPIR-1     Warning   Asynchronous driver check       96          
+TIMING-18  Warning   Missing input or output delay   11          
+TIMING-20  Warning   Non-clocked latch               10          
+LATCH-1    Advisory  Existing latches in the design  1           
+
+Note: This report is based on the most recent report_methodology run and may not be up-to-date. Run report_methodology on the current design for the latest report.
+
+
+
+check_timing report
+
+Table of Contents
+-----------------
+1. checking no_clock (50)
+2. checking constant_clock (0)
+3. checking pulse_width_clock (0)
+4. checking unconstrained_internal_endpoints (10)
+5. checking no_input_delay (10)
+6. checking no_output_delay (5)
+7. checking multiple_clock (0)
+8. checking generated_clocks (0)
+9. checking loops (0)
+10. checking partial_input_delay (0)
+11. checking partial_output_delay (0)
+12. checking latch_loops (0)
+
+1. checking no_clock (50)
+-------------------------
+ There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]/Q (HIGH)
+
+ There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]/Q (HIGH)
+
+ There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]/Q (HIGH)
+
+ There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]/Q (HIGH)
+
+ There are 5 register/latch pins with no clock driven by root clock pin: leftFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]/Q (HIGH)
+
+ There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[0]/Q (HIGH)
+
+ There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[1]/Q (HIGH)
+
+ There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[2]/Q (HIGH)
+
+ There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[3]/Q (HIGH)
+
+ There are 5 register/latch pins with no clock driven by root clock pin: rightFir/firUnit_1/controlUnit_1/FSM_onehot_SR_currentState_reg[4]/Q (HIGH)
+
+
+2. checking constant_clock (0)
+------------------------------
+ There are 0 register/latch pins with constant_clock.
+
+
+3. checking pulse_width_clock (0)
+---------------------------------
+ There are 0 register/latch pins which need pulse_width check
+
+
+4. checking unconstrained_internal_endpoints (10)
+-------------------------------------------------
+ There are 10 pins that are not constrained for maximum delay. (HIGH)
+
+ There are 0 pins that are not constrained for maximum delay due to constant clock.
+
+
+5. checking no_input_delay (10)
+-------------------------------
+ There are 10 input ports with no input delay specified. (HIGH)
+
+ There are 0 input ports with no input delay but user has a false path constraint.
+
+
+6. checking no_output_delay (5)
+-------------------------------
+ There are 5 ports with no output delay specified. (HIGH)
+
+ There are 0 ports with no output delay but user has a false path constraint
+
+ There are 0 ports with no output delay but with a timing clock defined on it or propagating through it
+
+
+7. checking multiple_clock (0)
+------------------------------
+ There are 0 register/latch pins with multiple clocks.
+
+
+8. checking generated_clocks (0)
+--------------------------------
+ There are 0 generated clocks that are not connected to a clock source.
+
+
+9. checking loops (0)
+---------------------
+ There are 0 combinational loops in the design.
+
+
+10. checking partial_input_delay (0)
+------------------------------------
+ There are 0 input ports with partial input delay specified.
+
+
+11. checking partial_output_delay (0)
+-------------------------------------
+ There are 0 ports with partial output delay specified.
+
+
+12. checking latch_loops (0)
+----------------------------
+ There are 0 combinational latch loops in the design through latch input
+
+
+
+------------------------------------------------------------------------------------------------
+| Design Timing Summary
+| ---------------------
+------------------------------------------------------------------------------------------------
+
+    WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints     WPWS(ns)     TPWS(ns)  TPWS Failing Endpoints  TPWS Total Endpoints  
+    -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------     --------     --------  ----------------------  --------------------  
+      0.617        0.000                      0                 1788        0.109        0.000                      0                 1788        3.000        0.000                       0                   903  
+
+
+All user specified timing constraints are met.
+
+
+------------------------------------------------------------------------------------------------
+| Clock Summary
+| -------------
+------------------------------------------------------------------------------------------------
+
+Clock                 Waveform(ns)       Period(ns)      Frequency(MHz)
+-----                 ------------       ----------      --------------
+CLK100MHZ             {0.000 5.000}      10.000          100.000         
+  clk_out1_clk_wiz_0  {0.000 5.000}      10.000          100.000         
+  clk_out3_clk_wiz_0  {0.000 41.667}     83.333          12.000          
+  clk_out4_clk_wiz_0  {0.000 10.000}     20.000          50.000          
+  clkfbout_clk_wiz_0  {0.000 5.000}      10.000          100.000         
+
+
+------------------------------------------------------------------------------------------------
+| Intra Clock Table
+| -----------------
+------------------------------------------------------------------------------------------------
+
+Clock                     WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints     WPWS(ns)     TPWS(ns)  TPWS Failing Endpoints  TPWS Total Endpoints  
+-----                     -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------     --------     --------  ----------------------  --------------------  
+CLK100MHZ                                                                                                                                                               3.000        0.000                       0                     1  
+  clk_out1_clk_wiz_0        0.617        0.000                      0                 1567        0.134        0.000                      0                 1567        4.500        0.000                       0                   775  
+  clk_out3_clk_wiz_0                                                                                                                                                   81.178        0.000                       0                     2  
+  clk_out4_clk_wiz_0       14.589        0.000                      0                  221        0.109        0.000                      0                  221        9.500        0.000                       0                   122  
+  clkfbout_clk_wiz_0                                                                                                                                                    7.845        0.000                       0                     3  
+
+
+------------------------------------------------------------------------------------------------
+| Inter Clock Table
+| -----------------
+------------------------------------------------------------------------------------------------
+
+From Clock    To Clock          WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints  
+----------    --------          -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------  
+
+
+------------------------------------------------------------------------------------------------
+| Other Path Groups Table
+| -----------------------
+------------------------------------------------------------------------------------------------
+
+Path Group    From Clock    To Clock          WNS(ns)      TNS(ns)  TNS Failing Endpoints  TNS Total Endpoints      WHS(ns)      THS(ns)  THS Failing Endpoints  THS Total Endpoints  
+----------    ----------    --------          -------      -------  ---------------------  -------------------      -------      -------  ---------------------  -------------------  
+
+
+------------------------------------------------------------------------------------------------
+| Timing Details
+| --------------
+------------------------------------------------------------------------------------------------
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  CLK100MHZ
+  To Clock:  CLK100MHZ
+
+Setup :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+Hold  :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+PW    :            0  Failing Endpoints,  Worst Slack        3.000ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         CLK100MHZ
+Waveform(ns):       { 0.000 5.000 }
+Period(ns):         10.000
+Sources:            { CLK100MHZ }
+
+Check Type        Corner  Lib Pin            Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period        n/a     MMCME2_ADV/CLKIN1  n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKIN1
+Max Period        n/a     MMCME2_ADV/CLKIN1  n/a            100.000       10.000      90.000     MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKIN1
+Low Pulse Width   Slow    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKIN1
+Low Pulse Width   Fast    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKIN1
+High Pulse Width  Slow    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKIN1
+High Pulse Width  Fast    MMCME2_ADV/CLKIN1  n/a            2.000         5.000       3.000      MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKIN1
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clk_out1_clk_wiz_0
+  To Clock:  clk_out1_clk_wiz_0
+
+Setup :            0  Failing Endpoints,  Worst Slack        0.617ns,  Total Violation        0.000ns
+Hold  :            0  Failing Endpoints,  Worst Slack        0.134ns,  Total Violation        0.000ns
+PW    :            0  Failing Endpoints,  Worst Slack        4.500ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             0.617ns  (required time - arrival time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.295ns  (logic 6.059ns (65.188%)  route 3.236ns (34.812%))
+  Logic Levels:           7  (CARRY4=3 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.114ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.657ns = ( 8.343 - 10.000 ) 
+    Source Clock Delay      (SCD):    -0.990ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.829    -0.990    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X156Y108       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y108       FDCE (Prop_fdce_C_Q)         0.456    -0.534 r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.549     1.015    rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X149Y103       LUT6 (Prop_lut6_I2_O)        0.124     1.139 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O
+                         net (fo=1, routed)           0.000     1.139    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0
+    SLICE_X149Y103       MUXF7 (Prop_muxf7_I1_O)      0.245     1.384 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O
+                         net (fo=1, routed)           0.000     1.384    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0
+    SLICE_X149Y103       MUXF8 (Prop_muxf8_I0_O)      0.104     1.488 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O
+                         net (fo=15, routed)          0.761     2.249    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15]
+    DSP48_X8Y40          DSP48E1 (Prop_dsp48e1_A[23]_P[20])
+                                                      4.033     6.282 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20]
+                         net (fo=2, routed)           0.925     7.208    rightFir/firUnit_1/operativeUnit_1/L[20]
+    SLICE_X152Y105       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.657     7.865 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.865    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X152Y106       CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117     7.982 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.982    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0
+    SLICE_X152Y107       CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     8.305 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[1]
+                         net (fo=1, routed)           0.000     8.305    rightFir/firUnit_1/operativeUnit_1/p_0_in[13]
+    SLICE_X152Y107       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.635     8.343    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X152Y107       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/C
+                         clock pessimism              0.554     8.896    
+                         clock uncertainty           -0.084     8.813    
+    SLICE_X152Y107       FDCE (Setup_fdce_C_D)        0.109     8.922    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]
+  -------------------------------------------------------------------
+                         required time                          8.922    
+                         arrival time                          -8.305    
+  -------------------------------------------------------------------
+                         slack                                  0.617    
+
+Slack (MET) :             0.625ns  (required time - arrival time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.287ns  (logic 6.051ns (65.158%)  route 3.236ns (34.842%))
+  Logic Levels:           7  (CARRY4=3 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.114ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.657ns = ( 8.343 - 10.000 ) 
+    Source Clock Delay      (SCD):    -0.990ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.829    -0.990    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X156Y108       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y108       FDCE (Prop_fdce_C_Q)         0.456    -0.534 r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.549     1.015    rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X149Y103       LUT6 (Prop_lut6_I2_O)        0.124     1.139 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O
+                         net (fo=1, routed)           0.000     1.139    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0
+    SLICE_X149Y103       MUXF7 (Prop_muxf7_I1_O)      0.245     1.384 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O
+                         net (fo=1, routed)           0.000     1.384    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0
+    SLICE_X149Y103       MUXF8 (Prop_muxf8_I0_O)      0.104     1.488 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O
+                         net (fo=15, routed)          0.761     2.249    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15]
+    DSP48_X8Y40          DSP48E1 (Prop_dsp48e1_A[23]_P[20])
+                                                      4.033     6.282 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20]
+                         net (fo=2, routed)           0.925     7.208    rightFir/firUnit_1/operativeUnit_1/L[20]
+    SLICE_X152Y105       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.657     7.865 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.865    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X152Y106       CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117     7.982 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.982    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0
+    SLICE_X152Y107       CARRY4 (Prop_carry4_CI_O[3])
+                                                      0.315     8.297 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[3]
+                         net (fo=1, routed)           0.000     8.297    rightFir/firUnit_1/operativeUnit_1/p_0_in[15]
+    SLICE_X152Y107       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.635     8.343    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X152Y107       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/C
+                         clock pessimism              0.554     8.896    
+                         clock uncertainty           -0.084     8.813    
+    SLICE_X152Y107       FDCE (Setup_fdce_C_D)        0.109     8.922    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]
+  -------------------------------------------------------------------
+                         required time                          8.922    
+                         arrival time                          -8.297    
+  -------------------------------------------------------------------
+                         slack                                  0.625    
+
+Slack (MET) :             0.701ns  (required time - arrival time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.211ns  (logic 5.975ns (64.871%)  route 3.236ns (35.129%))
+  Logic Levels:           7  (CARRY4=3 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.114ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.657ns = ( 8.343 - 10.000 ) 
+    Source Clock Delay      (SCD):    -0.990ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.829    -0.990    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X156Y108       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y108       FDCE (Prop_fdce_C_Q)         0.456    -0.534 r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.549     1.015    rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X149Y103       LUT6 (Prop_lut6_I2_O)        0.124     1.139 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O
+                         net (fo=1, routed)           0.000     1.139    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0
+    SLICE_X149Y103       MUXF7 (Prop_muxf7_I1_O)      0.245     1.384 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O
+                         net (fo=1, routed)           0.000     1.384    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0
+    SLICE_X149Y103       MUXF8 (Prop_muxf8_I0_O)      0.104     1.488 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O
+                         net (fo=15, routed)          0.761     2.249    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15]
+    DSP48_X8Y40          DSP48E1 (Prop_dsp48e1_A[23]_P[20])
+                                                      4.033     6.282 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20]
+                         net (fo=2, routed)           0.925     7.208    rightFir/firUnit_1/operativeUnit_1/L[20]
+    SLICE_X152Y105       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.657     7.865 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.865    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X152Y106       CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117     7.982 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.982    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0
+    SLICE_X152Y107       CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     8.221 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[2]
+                         net (fo=1, routed)           0.000     8.221    rightFir/firUnit_1/operativeUnit_1/p_0_in[14]
+    SLICE_X152Y107       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.635     8.343    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X152Y107       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]/C
+                         clock pessimism              0.554     8.896    
+                         clock uncertainty           -0.084     8.813    
+    SLICE_X152Y107       FDCE (Setup_fdce_C_D)        0.109     8.922    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[14]
+  -------------------------------------------------------------------
+                         required time                          8.922    
+                         arrival time                          -8.221    
+  -------------------------------------------------------------------
+                         slack                                  0.701    
+
+Slack (MET) :             0.721ns  (required time - arrival time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.191ns  (logic 5.955ns (64.794%)  route 3.236ns (35.206%))
+  Logic Levels:           7  (CARRY4=3 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.114ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.657ns = ( 8.343 - 10.000 ) 
+    Source Clock Delay      (SCD):    -0.990ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.829    -0.990    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X156Y108       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y108       FDCE (Prop_fdce_C_Q)         0.456    -0.534 r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.549     1.015    rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X149Y103       LUT6 (Prop_lut6_I2_O)        0.124     1.139 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O
+                         net (fo=1, routed)           0.000     1.139    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0
+    SLICE_X149Y103       MUXF7 (Prop_muxf7_I1_O)      0.245     1.384 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O
+                         net (fo=1, routed)           0.000     1.384    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0
+    SLICE_X149Y103       MUXF8 (Prop_muxf8_I0_O)      0.104     1.488 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O
+                         net (fo=15, routed)          0.761     2.249    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15]
+    DSP48_X8Y40          DSP48E1 (Prop_dsp48e1_A[23]_P[20])
+                                                      4.033     6.282 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20]
+                         net (fo=2, routed)           0.925     7.208    rightFir/firUnit_1/operativeUnit_1/L[20]
+    SLICE_X152Y105       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.657     7.865 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.865    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X152Y106       CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.117     7.982 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.982    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0
+    SLICE_X152Y107       CARRY4 (Prop_carry4_CI_O[0])
+                                                      0.219     8.201 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[0]
+                         net (fo=1, routed)           0.000     8.201    rightFir/firUnit_1/operativeUnit_1/p_0_in[12]
+    SLICE_X152Y107       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.635     8.343    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X152Y107       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]/C
+                         clock pessimism              0.554     8.896    
+                         clock uncertainty           -0.084     8.813    
+    SLICE_X152Y107       FDCE (Setup_fdce_C_D)        0.109     8.922    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[12]
+  -------------------------------------------------------------------
+                         required time                          8.922    
+                         arrival time                          -8.201    
+  -------------------------------------------------------------------
+                         slack                                  0.721    
+
+Slack (MET) :             0.735ns  (required time - arrival time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.178ns  (logic 5.942ns (64.745%)  route 3.236ns (35.255%))
+  Logic Levels:           6  (CARRY4=2 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.113ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.656ns = ( 8.344 - 10.000 ) 
+    Source Clock Delay      (SCD):    -0.990ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.829    -0.990    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X156Y108       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y108       FDCE (Prop_fdce_C_Q)         0.456    -0.534 r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.549     1.015    rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X149Y103       LUT6 (Prop_lut6_I2_O)        0.124     1.139 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O
+                         net (fo=1, routed)           0.000     1.139    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0
+    SLICE_X149Y103       MUXF7 (Prop_muxf7_I1_O)      0.245     1.384 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O
+                         net (fo=1, routed)           0.000     1.384    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0
+    SLICE_X149Y103       MUXF8 (Prop_muxf8_I0_O)      0.104     1.488 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O
+                         net (fo=15, routed)          0.761     2.249    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15]
+    DSP48_X8Y40          DSP48E1 (Prop_dsp48e1_A[23]_P[20])
+                                                      4.033     6.282 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20]
+                         net (fo=2, routed)           0.925     7.208    rightFir/firUnit_1/operativeUnit_1/L[20]
+    SLICE_X152Y105       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.657     7.865 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.865    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X152Y106       CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.323     8.188 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/O[1]
+                         net (fo=1, routed)           0.000     8.188    rightFir/firUnit_1/operativeUnit_1/p_0_in[9]
+    SLICE_X152Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.636     8.344    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X152Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]/C
+                         clock pessimism              0.554     8.897    
+                         clock uncertainty           -0.084     8.814    
+    SLICE_X152Y106       FDCE (Setup_fdce_C_D)        0.109     8.923    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[9]
+  -------------------------------------------------------------------
+                         required time                          8.923    
+                         arrival time                          -8.188    
+  -------------------------------------------------------------------
+                         slack                                  0.735    
+
+Slack (MET) :             0.743ns  (required time - arrival time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.170ns  (logic 5.934ns (64.714%)  route 3.236ns (35.286%))
+  Logic Levels:           6  (CARRY4=2 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.113ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.656ns = ( 8.344 - 10.000 ) 
+    Source Clock Delay      (SCD):    -0.990ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.829    -0.990    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X156Y108       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y108       FDCE (Prop_fdce_C_Q)         0.456    -0.534 r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.549     1.015    rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X149Y103       LUT6 (Prop_lut6_I2_O)        0.124     1.139 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O
+                         net (fo=1, routed)           0.000     1.139    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0
+    SLICE_X149Y103       MUXF7 (Prop_muxf7_I1_O)      0.245     1.384 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O
+                         net (fo=1, routed)           0.000     1.384    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0
+    SLICE_X149Y103       MUXF8 (Prop_muxf8_I0_O)      0.104     1.488 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O
+                         net (fo=15, routed)          0.761     2.249    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15]
+    DSP48_X8Y40          DSP48E1 (Prop_dsp48e1_A[23]_P[20])
+                                                      4.033     6.282 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20]
+                         net (fo=2, routed)           0.925     7.208    rightFir/firUnit_1/operativeUnit_1/L[20]
+    SLICE_X152Y105       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.657     7.865 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.865    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X152Y106       CARRY4 (Prop_carry4_CI_O[3])
+                                                      0.315     8.180 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/O[3]
+                         net (fo=1, routed)           0.000     8.180    rightFir/firUnit_1/operativeUnit_1/p_0_in[11]
+    SLICE_X152Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.636     8.344    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X152Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]/C
+                         clock pessimism              0.554     8.897    
+                         clock uncertainty           -0.084     8.814    
+    SLICE_X152Y106       FDCE (Setup_fdce_C_D)        0.109     8.923    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]
+  -------------------------------------------------------------------
+                         required time                          8.923    
+                         arrival time                          -8.180    
+  -------------------------------------------------------------------
+                         slack                                  0.743    
+
+Slack (MET) :             0.769ns  (required time - arrival time)
+  Source:                 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.185ns  (logic 6.198ns (67.481%)  route 2.987ns (32.519%))
+  Logic Levels:           8  (CARRY4=4 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.659ns = ( 8.341 - 10.000 ) 
+    Source Clock Delay      (SCD):    -1.066ns
+    Clock Pessimism Removal (CPR):    0.569ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.753    -1.066    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X145Y110       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X145Y110       FDCE (Prop_fdce_C_Q)         0.456    -0.610 r  leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.538     0.928    leftFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X137Y104       LUT6 (Prop_lut6_I2_O)        0.124     1.052 r  leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_122/O
+                         net (fo=1, routed)           0.000     1.052    leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_122_n_0
+    SLICE_X137Y104       MUXF7 (Prop_muxf7_I1_O)      0.245     1.297 r  leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59/O
+                         net (fo=1, routed)           0.000     1.297    leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59_n_0
+    SLICE_X137Y104       MUXF8 (Prop_muxf8_I0_O)      0.104     1.401 r  leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_28/O
+                         net (fo=1, routed)           0.656     2.057    leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[0]
+    DSP48_X7Y42          DSP48E1 (Prop_dsp48e1_A[0]_P[16])
+                                                      4.033     6.090 r  leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[16]
+                         net (fo=2, routed)           0.793     6.883    leftFir/firUnit_1/operativeUnit_1/L[16]
+    SLICE_X145Y106       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.674     7.557 r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.557    leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]_i_1_n_0
+    SLICE_X145Y107       CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.114     7.671 r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.671    leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X145Y108       CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.114     7.785 r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.785    leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0
+    SLICE_X145Y109       CARRY4 (Prop_carry4_CI_O[1])
+                                                      0.334     8.119 r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[1]
+                         net (fo=1, routed)           0.000     8.119    leftFir/firUnit_1/operativeUnit_1/p_0_in[13]
+    SLICE_X145Y109       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.633     8.341    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X145Y109       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]/C
+                         clock pessimism              0.569     8.909    
+                         clock uncertainty           -0.084     8.826    
+    SLICE_X145Y109       FDCE (Setup_fdce_C_D)        0.062     8.888    leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[13]
+  -------------------------------------------------------------------
+                         required time                          8.888    
+                         arrival time                          -8.119    
+  -------------------------------------------------------------------
+                         slack                                  0.769    
+
+Slack (MET) :             0.790ns  (required time - arrival time)
+  Source:                 leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.164ns  (logic 6.177ns (67.406%)  route 2.987ns (32.594%))
+  Logic Levels:           8  (CARRY4=4 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.025ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.659ns = ( 8.341 - 10.000 ) 
+    Source Clock Delay      (SCD):    -1.066ns
+    Clock Pessimism Removal (CPR):    0.569ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.753    -1.066    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X145Y110       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X145Y110       FDCE (Prop_fdce_C_Q)         0.456    -0.610 r  leftFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.538     0.928    leftFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X137Y104       LUT6 (Prop_lut6_I2_O)        0.124     1.052 r  leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_122/O
+                         net (fo=1, routed)           0.000     1.052    leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_122_n_0
+    SLICE_X137Y104       MUXF7 (Prop_muxf7_I1_O)      0.245     1.297 r  leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59/O
+                         net (fo=1, routed)           0.000     1.297    leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_59_n_0
+    SLICE_X137Y104       MUXF8 (Prop_muxf8_I0_O)      0.104     1.401 r  leftFir/firUnit_1/operativeUnit_1/SC_addResult_i_28/O
+                         net (fo=1, routed)           0.656     2.057    leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[0]
+    DSP48_X7Y42          DSP48E1 (Prop_dsp48e1_A[0]_P[16])
+                                                      4.033     6.090 r  leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[16]
+                         net (fo=2, routed)           0.793     6.883    leftFir/firUnit_1/operativeUnit_1/L[16]
+    SLICE_X145Y106       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.674     7.557 r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.557    leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[3]_i_1_n_0
+    SLICE_X145Y107       CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.114     7.671 r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.671    leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X145Y108       CARRY4 (Prop_carry4_CI_CO[3])
+                                                      0.114     7.785 r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.785    leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1_n_0
+    SLICE_X145Y109       CARRY4 (Prop_carry4_CI_O[3])
+                                                      0.313     8.098 r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]_i_1/O[3]
+                         net (fo=1, routed)           0.000     8.098    leftFir/firUnit_1/operativeUnit_1/p_0_in[15]
+    SLICE_X145Y109       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.633     8.341    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X145Y109       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]/C
+                         clock pessimism              0.569     8.909    
+                         clock uncertainty           -0.084     8.826    
+    SLICE_X145Y109       FDCE (Setup_fdce_C_D)        0.062     8.888    leftFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[15]
+  -------------------------------------------------------------------
+                         required time                          8.888    
+                         arrival time                          -8.098    
+  -------------------------------------------------------------------
+                         slack                                  0.790    
+
+Slack (MET) :             0.819ns  (required time - arrival time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.094ns  (logic 5.858ns (64.419%)  route 3.236ns (35.581%))
+  Logic Levels:           6  (CARRY4=2 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.113ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.656ns = ( 8.344 - 10.000 ) 
+    Source Clock Delay      (SCD):    -0.990ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.829    -0.990    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X156Y108       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y108       FDCE (Prop_fdce_C_Q)         0.456    -0.534 r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.549     1.015    rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X149Y103       LUT6 (Prop_lut6_I2_O)        0.124     1.139 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O
+                         net (fo=1, routed)           0.000     1.139    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0
+    SLICE_X149Y103       MUXF7 (Prop_muxf7_I1_O)      0.245     1.384 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O
+                         net (fo=1, routed)           0.000     1.384    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0
+    SLICE_X149Y103       MUXF8 (Prop_muxf8_I0_O)      0.104     1.488 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O
+                         net (fo=15, routed)          0.761     2.249    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15]
+    DSP48_X8Y40          DSP48E1 (Prop_dsp48e1_A[23]_P[20])
+                                                      4.033     6.282 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20]
+                         net (fo=2, routed)           0.925     7.208    rightFir/firUnit_1/operativeUnit_1/L[20]
+    SLICE_X152Y105       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.657     7.865 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.865    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X152Y106       CARRY4 (Prop_carry4_CI_O[2])
+                                                      0.239     8.104 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/O[2]
+                         net (fo=1, routed)           0.000     8.104    rightFir/firUnit_1/operativeUnit_1/p_0_in[10]
+    SLICE_X152Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.636     8.344    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X152Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]/C
+                         clock pessimism              0.554     8.897    
+                         clock uncertainty           -0.084     8.814    
+    SLICE_X152Y106       FDCE (Setup_fdce_C_D)        0.109     8.923    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[10]
+  -------------------------------------------------------------------
+                         required time                          8.923    
+                         arrival time                          -8.104    
+  -------------------------------------------------------------------
+                         slack                                  0.819    
+
+Slack (MET) :             0.839ns  (required time - arrival time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            10.000ns  (clk_out1_clk_wiz_0 rise@10.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        9.074ns  (logic 5.838ns (64.340%)  route 3.236ns (35.660%))
+  Logic Levels:           6  (CARRY4=2 DSP48E1=1 LUT6=1 MUXF7=1 MUXF8=1)
+  Clock Path Skew:        -0.113ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.656ns = ( 8.344 - 10.000 ) 
+    Source Clock Delay      (SCD):    -0.990ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.084ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.151ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.829    -0.990    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X156Y108       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y108       FDCE (Prop_fdce_C_Q)         0.456    -0.534 r  rightFir/firUnit_1/operativeUnit_1/SR_readAddress_reg[1]/Q
+                         net (fo=79, routed)          1.549     1.015    rightFir/firUnit_1/operativeUnit_1/SR_readAddress[1]
+    SLICE_X149Y103       LUT6 (Prop_lut6_I2_O)        0.124     1.139 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62/O
+                         net (fo=1, routed)           0.000     1.139    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_62_n_0
+    SLICE_X149Y103       MUXF7 (Prop_muxf7_I1_O)      0.245     1.384 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29/O
+                         net (fo=1, routed)           0.000     1.384    rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_29_n_0
+    SLICE_X149Y103       MUXF8 (Prop_muxf8_I0_O)      0.104     1.488 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult_i_13/O
+                         net (fo=15, routed)          0.761     2.249    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister[0]_0[15]
+    DSP48_X8Y40          DSP48E1 (Prop_dsp48e1_A[23]_P[20])
+                                                      4.033     6.282 r  rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[20]
+                         net (fo=2, routed)           0.925     7.208    rightFir/firUnit_1/operativeUnit_1/L[20]
+    SLICE_X152Y105       CARRY4 (Prop_carry4_S[1]_CO[3])
+                                                      0.657     7.865 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1/CO[3]
+                         net (fo=1, routed)           0.000     7.865    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[7]_i_1_n_0
+    SLICE_X152Y106       CARRY4 (Prop_carry4_CI_O[0])
+                                                      0.219     8.084 r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[11]_i_1/O[0]
+                         net (fo=1, routed)           0.000     8.084    rightFir/firUnit_1/operativeUnit_1/p_0_in[8]
+    SLICE_X152Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                     10.000    10.000 r  
+    R4                                                0.000    10.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    10.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    11.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    12.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -7.674     4.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           1.723     6.616    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.091     6.707 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         1.636     8.344    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X152Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]/C
+                         clock pessimism              0.554     8.897    
+                         clock uncertainty           -0.084     8.814    
+    SLICE_X152Y106       FDCE (Setup_fdce_C_D)        0.109     8.923    rightFir/firUnit_1/operativeUnit_1/SR_filteredSample_reg[8]
+  -------------------------------------------------------------------
+                         required time                          8.923    
+                         arrival time                          -8.084    
+  -------------------------------------------------------------------
+                         slack                                  0.839    
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             0.134ns  (arrival time - required time)
+  Source:                 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.209ns  (logic 0.141ns (67.433%)  route 0.068ns (32.567%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.000ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.925ns
+    Source Clock Delay      (SCD):    -0.683ns
+    Clock Pessimism Removal (CPR):    -0.242ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.617    -0.683    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X143Y110       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X143Y110       FDCE (Prop_fdce_C_Q)         0.141    -0.542 r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/Q
+                         net (fo=2, routed)           0.068    -0.474    leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[14]
+    SLICE_X143Y110       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.889    -0.925    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X143Y110       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/C
+                         clock pessimism              0.242    -0.683    
+    SLICE_X143Y110       FDCE (Hold_fdce_C_D)         0.075    -0.608    leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]
+  -------------------------------------------------------------------
+                         required time                          0.608    
+                         arrival time                          -0.474    
+  -------------------------------------------------------------------
+                         slack                                  0.134    
+
+Slack (MET) :             0.142ns  (arrival time - required time)
+  Source:                 audio_inout/Data_Out_int_reg[9]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            audio_inout/Data_Out_int_reg[10]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.300ns  (logic 0.186ns (62.061%)  route 0.114ns (37.939%))
+  Logic Levels:           1  (LUT6=1)
+  Clock Path Skew:        0.037ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.925ns
+    Source Clock Delay      (SCD):    -0.682ns
+    Clock Pessimism Removal (CPR):    -0.280ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.618    -0.682    audio_inout/clk_out1
+    SLICE_X155Y112       FDRE                                         r  audio_inout/Data_Out_int_reg[9]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X155Y112       FDRE (Prop_fdre_C_Q)         0.141    -0.541 r  audio_inout/Data_Out_int_reg[9]/Q
+                         net (fo=1, routed)           0.114    -0.427    audio_inout/Data_Out_int_reg_n_0_[9]
+    SLICE_X152Y112       LUT6 (Prop_lut6_I4_O)        0.045    -0.382 r  audio_inout/Data_Out_int[10]_i_1/O
+                         net (fo=1, routed)           0.000    -0.382    audio_inout/Data_Out_int[10]_i_1_n_0
+    SLICE_X152Y112       FDRE                                         r  audio_inout/Data_Out_int_reg[10]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.889    -0.925    audio_inout/clk_out1
+    SLICE_X152Y112       FDRE                                         r  audio_inout/Data_Out_int_reg[10]/C
+                         clock pessimism              0.280    -0.645    
+    SLICE_X152Y112       FDRE (Hold_fdre_C_D)         0.121    -0.524    audio_inout/Data_Out_int_reg[10]
+  -------------------------------------------------------------------
+                         required time                          0.524    
+                         arrival time                          -0.382    
+  -------------------------------------------------------------------
+                         slack                                  0.142    
+
+Slack (MET) :             0.143ns  (arrival time - required time)
+  Source:                 audio_inout/Data_Out_int_reg[23]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            audio_inout/Data_Out_int_reg[24]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.301ns  (logic 0.186ns (61.698%)  route 0.115ns (38.302%))
+  Logic Levels:           1  (LUT6=1)
+  Clock Path Skew:        0.038ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.922ns
+    Source Clock Delay      (SCD):    -0.680ns
+    Clock Pessimism Removal (CPR):    -0.280ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.620    -0.680    audio_inout/clk_out1
+    SLICE_X153Y107       FDRE                                         r  audio_inout/Data_Out_int_reg[23]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X153Y107       FDRE (Prop_fdre_C_Q)         0.141    -0.539 r  audio_inout/Data_Out_int_reg[23]/Q
+                         net (fo=1, routed)           0.115    -0.423    audio_inout/Data_Out_int_reg_n_0_[23]
+    SLICE_X154Y108       LUT6 (Prop_lut6_I3_O)        0.045    -0.378 r  audio_inout/Data_Out_int[24]_i_1/O
+                         net (fo=1, routed)           0.000    -0.378    audio_inout/Data_Out_int[24]_i_1_n_0
+    SLICE_X154Y108       FDRE                                         r  audio_inout/Data_Out_int_reg[24]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.892    -0.922    audio_inout/clk_out1
+    SLICE_X154Y108       FDRE                                         r  audio_inout/Data_Out_int_reg[24]/C
+                         clock pessimism              0.280    -0.642    
+    SLICE_X154Y108       FDRE (Hold_fdre_C_D)         0.120    -0.522    audio_inout/Data_Out_int_reg[24]
+  -------------------------------------------------------------------
+                         required time                          0.522    
+                         arrival time                          -0.378    
+  -------------------------------------------------------------------
+                         slack                                  0.143    
+
+Slack (MET) :             0.159ns  (arrival time - required time)
+  Source:                 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][7]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][7]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.253ns  (logic 0.141ns (55.700%)  route 0.112ns (44.300%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.016ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.948ns
+    Source Clock Delay      (SCD):    -0.705ns
+    Clock Pessimism Removal (CPR):    -0.259ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.595    -0.705    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X138Y106       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][7]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X138Y106       FDCE (Prop_fdce_C_Q)         0.141    -0.564 r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8][7]/Q
+                         net (fo=2, routed)           0.112    -0.452    leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[8]_15[7]
+    SLICE_X137Y105       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][7]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.866    -0.948    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X137Y105       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][7]/C
+                         clock pessimism              0.259    -0.689    
+    SLICE_X137Y105       FDCE (Hold_fdce_C_D)         0.078    -0.611    leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[9][7]
+  -------------------------------------------------------------------
+                         required time                          0.611    
+                         arrival time                          -0.452    
+  -------------------------------------------------------------------
+                         slack                                  0.159    
+
+Slack (MET) :             0.160ns  (arrival time - required time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11][1]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][1]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.268ns  (logic 0.141ns (52.702%)  route 0.127ns (47.298%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.038ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.894ns
+    Source Clock Delay      (SCD):    -0.652ns
+    Clock Pessimism Removal (CPR):    -0.280ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.648    -0.652    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X161Y103       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11][1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X161Y103       FDCE (Prop_fdce_C_Q)         0.141    -0.511 r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11][1]/Q
+                         net (fo=2, routed)           0.127    -0.384    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[11]_3[1]
+    SLICE_X159Y103       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][1]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.920    -0.894    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X159Y103       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][1]/C
+                         clock pessimism              0.280    -0.614    
+    SLICE_X159Y103       FDCE (Hold_fdce_C_D)         0.070    -0.544    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[12][1]
+  -------------------------------------------------------------------
+                         required time                          0.544    
+                         arrival time                          -0.384    
+  -------------------------------------------------------------------
+                         slack                                  0.160    
+
+Slack (MET) :             0.168ns  (arrival time - required time)
+  Source:                 audio_inout/D_L_O_int_reg[1]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            audio_inout/Data_Out_int_reg[8]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.297ns  (logic 0.186ns (62.622%)  route 0.111ns (37.378%))
+  Logic Levels:           1  (LUT6=1)
+  Clock Path Skew:        0.037ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.925ns
+    Source Clock Delay      (SCD):    -0.682ns
+    Clock Pessimism Removal (CPR):    -0.280ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.618    -0.682    audio_inout/clk_out1
+    SLICE_X153Y112       FDRE                                         r  audio_inout/D_L_O_int_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X153Y112       FDRE (Prop_fdre_C_Q)         0.141    -0.541 r  audio_inout/D_L_O_int_reg[1]/Q
+                         net (fo=1, routed)           0.111    -0.430    audio_inout/in_audioL[1]
+    SLICE_X155Y112       LUT6 (Prop_lut6_I1_O)        0.045    -0.385 r  audio_inout/Data_Out_int[8]_i_1/O
+                         net (fo=1, routed)           0.000    -0.385    audio_inout/Data_Out_int[8]_i_1_n_0
+    SLICE_X155Y112       FDRE                                         r  audio_inout/Data_Out_int_reg[8]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.889    -0.925    audio_inout/clk_out1
+    SLICE_X155Y112       FDRE                                         r  audio_inout/Data_Out_int_reg[8]/C
+                         clock pessimism              0.280    -0.645    
+    SLICE_X155Y112       FDRE (Hold_fdre_C_D)         0.092    -0.553    audio_inout/Data_Out_int_reg[8]
+  -------------------------------------------------------------------
+                         required time                          0.553    
+                         arrival time                          -0.385    
+  -------------------------------------------------------------------
+                         slack                                  0.168    
+
+Slack (MET) :             0.172ns  (arrival time - required time)
+  Source:                 leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][4]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.232ns  (logic 0.164ns (70.680%)  route 0.068ns (29.320%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.000ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.923ns
+    Source Clock Delay      (SCD):    -0.681ns
+    Clock Pessimism Removal (CPR):    -0.242ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.619    -0.681    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X148Y107       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X148Y107       FDCE (Prop_fdce_C_Q)         0.164    -0.517 r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][4]/Q
+                         net (fo=2, routed)           0.068    -0.449    leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[4]
+    SLICE_X148Y107       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.891    -0.923    leftFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X148Y107       FDCE                                         r  leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][4]/C
+                         clock pessimism              0.242    -0.681    
+    SLICE_X148Y107       FDCE (Hold_fdce_C_D)         0.060    -0.621    leftFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][4]
+  -------------------------------------------------------------------
+                         required time                          0.621    
+                         arrival time                          -0.449    
+  -------------------------------------------------------------------
+                         slack                                  0.172    
+
+Slack (MET) :             0.172ns  (arrival time - required time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][11]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.232ns  (logic 0.164ns (70.680%)  route 0.068ns (29.320%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.000ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.921ns
+    Source Clock Delay      (SCD):    -0.679ns
+    Clock Pessimism Removal (CPR):    -0.242ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.621    -0.679    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X154Y104       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X154Y104       FDCE (Prop_fdce_C_Q)         0.164    -0.515 r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][11]/Q
+                         net (fo=2, routed)           0.068    -0.447    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[11]
+    SLICE_X154Y104       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][11]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.893    -0.921    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X154Y104       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][11]/C
+                         clock pessimism              0.242    -0.679    
+    SLICE_X154Y104       FDCE (Hold_fdce_C_D)         0.060    -0.619    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][11]
+  -------------------------------------------------------------------
+                         required time                          0.619    
+                         arrival time                          -0.447    
+  -------------------------------------------------------------------
+                         slack                                  0.172    
+
+Slack (MET) :             0.173ns  (arrival time - required time)
+  Source:                 rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/C
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.265ns  (logic 0.141ns (53.133%)  route 0.124ns (46.867%))
+  Logic Levels:           0  
+  Clock Path Skew:        0.017ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.921ns
+    Source Clock Delay      (SCD):    -0.680ns
+    Clock Pessimism Removal (CPR):    -0.258ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.620    -0.680    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X155Y107       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X155Y107       FDCE (Prop_fdce_C_Q)         0.141    -0.539 r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][14]/Q
+                         net (fo=2, routed)           0.124    -0.414    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0]_1[14]
+    SLICE_X154Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.893    -0.921    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X154Y106       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]/C
+                         clock pessimism              0.258    -0.663    
+    SLICE_X154Y106       FDCE (Hold_fdce_C_D)         0.075    -0.588    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[1][14]
+  -------------------------------------------------------------------
+                         required time                          0.588    
+                         arrival time                          -0.414    
+  -------------------------------------------------------------------
+                         slack                                  0.173    
+
+Slack (MET) :             0.175ns  (arrival time - required time)
+  Source:                 audio_inout/D_R_O_int_reg[15]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Destination:            rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]/D
+                            (rising edge-triggered cell FDCE clocked by clk_out1_clk_wiz_0  {rise@0.000ns fall@5.000ns period=10.000ns})
+  Path Group:             clk_out1_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out1_clk_wiz_0 rise@0.000ns - clk_out1_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.305ns  (logic 0.186ns (60.913%)  route 0.119ns (39.087%))
+  Logic Levels:           1  (LUT2=1)
+  Clock Path Skew:        0.038ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.921ns
+    Source Clock Delay      (SCD):    -0.679ns
+    Clock Pessimism Removal (CPR):    -0.280ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.621    -0.679    audio_inout/clk_out1
+    SLICE_X153Y106       FDRE                                         r  audio_inout/D_R_O_int_reg[15]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X153Y106       FDRE (Prop_fdre_C_Q)         0.141    -0.538 r  audio_inout/D_R_O_int_reg[15]/Q
+                         net (fo=2, routed)           0.119    -0.418    audio_inout/D_R_O_int_reg[22]_0[3]
+    SLICE_X155Y105       LUT2 (Prop_lut2_I0_O)        0.045    -0.373 r  audio_inout/I_inputSample_IBUF[7]_inst_i_1/O
+                         net (fo=1, routed)           0.000    -0.373    rightFir/firUnit_1/operativeUnit_1/I_inputSample[7]
+    SLICE_X155Y105       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out1_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT0)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT0
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out1_clk_wiz_0
+    BUFGCTRL_X0Y1        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout1_buf/O
+                         net (fo=773, routed)         0.893    -0.921    rightFir/firUnit_1/operativeUnit_1/clk_out1
+    SLICE_X155Y105       FDCE                                         r  rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]/C
+                         clock pessimism              0.280    -0.641    
+    SLICE_X155Y105       FDCE (Hold_fdce_C_D)         0.092    -0.549    rightFir/firUnit_1/operativeUnit_1/SR_shiftRegister_reg[0][7]
+  -------------------------------------------------------------------
+                         required time                          0.549    
+                         arrival time                          -0.373    
+  -------------------------------------------------------------------
+                         slack                                  0.175    
+
+
+
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         clk_out1_clk_wiz_0
+Waveform(ns):       { 0.000 5.000 }
+Period(ns):         10.000
+Sources:            { clk_1/inst/mmcm_adv_inst/CLKOUT0 }
+
+Check Type        Corner  Lib Pin             Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period        n/a     BUFG/I              n/a            2.155         10.000      7.845      BUFGCTRL_X0Y1    clk_1/inst/clkout1_buf/I
+Min Period        n/a     MMCME2_ADV/CLKOUT0  n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKOUT0
+Min Period        n/a     FDRE/C              n/a            1.000         10.000      9.000      SLICE_X151Y112   lrclkD1_reg/C
+Min Period        n/a     FDRE/C              n/a            1.000         10.000      9.000      SLICE_X151Y112   lrclkD2_reg/C
+Min Period        n/a     FDRE/C              n/a            1.000         10.000      9.000      SLICE_X150Y111   lrclkcnt_reg[0]/C
+Min Period        n/a     FDRE/C              n/a            1.000         10.000      9.000      SLICE_X150Y111   lrclkcnt_reg[1]/C
+Min Period        n/a     FDRE/C              n/a            1.000         10.000      9.000      SLICE_X151Y111   lrclkcnt_reg[2]/C
+Min Period        n/a     FDRE/C              n/a            1.000         10.000      9.000      SLICE_X151Y111   lrclkcnt_reg[3]/C
+Min Period        n/a     FDRE/C              n/a            1.000         10.000      9.000      SLICE_X151Y112   pulse48kHz_reg/C
+Min Period        n/a     FDRE/C              n/a            1.000         10.000      9.000      SLICE_X152Y115   audio_inout/BCLK_int_reg/C
+Max Period        n/a     MMCME2_ADV/CLKOUT0  n/a            213.360       10.000      203.360    MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKOUT0
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y112   lrclkD1_reg/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y112   lrclkD1_reg/C
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y112   lrclkD2_reg/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y112   lrclkD2_reg/C
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X150Y111   lrclkcnt_reg[0]/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X150Y111   lrclkcnt_reg[0]/C
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X150Y111   lrclkcnt_reg[1]/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X150Y111   lrclkcnt_reg[1]/C
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y111   lrclkcnt_reg[2]/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y111   lrclkcnt_reg[2]/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y112   lrclkD1_reg/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y112   lrclkD1_reg/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y112   lrclkD2_reg/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y112   lrclkD2_reg/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X150Y111   lrclkcnt_reg[0]/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X150Y111   lrclkcnt_reg[0]/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X150Y111   lrclkcnt_reg[1]/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X150Y111   lrclkcnt_reg[1]/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y111   lrclkcnt_reg[2]/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         5.000       4.500      SLICE_X151Y111   lrclkcnt_reg[2]/C
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clk_out3_clk_wiz_0
+  To Clock:  clk_out3_clk_wiz_0
+
+Setup :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+Hold  :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+PW    :            0  Failing Endpoints,  Worst Slack       81.178ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         clk_out3_clk_wiz_0
+Waveform(ns):       { 0.000 41.667 }
+Period(ns):         83.333
+Sources:            { clk_1/inst/mmcm_adv_inst/CLKOUT2 }
+
+Check Type  Corner  Lib Pin             Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period  n/a     BUFG/I              n/a            2.155         83.333      81.178     BUFGCTRL_X0Y0    clk_1/inst/clkout3_buf/I
+Min Period  n/a     MMCME2_ADV/CLKOUT2  n/a            1.249         83.333      82.084     MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKOUT2
+Max Period  n/a     MMCME2_ADV/CLKOUT2  n/a            213.360       83.333      130.027    MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKOUT2
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clk_out4_clk_wiz_0
+  To Clock:  clk_out4_clk_wiz_0
+
+Setup :            0  Failing Endpoints,  Worst Slack       14.589ns,  Total Violation        0.000ns
+Hold  :            0  Failing Endpoints,  Worst Slack        0.109ns,  Total Violation        0.000ns
+PW    :            0  Failing Endpoints,  Worst Slack        9.500ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Max Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             14.589ns  (required time - arrival time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[0]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/FSM_gray_state_reg[1]/CE
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        5.080ns  (logic 1.151ns (22.659%)  route 3.929ns (77.341%))
+  Logic Levels:           4  (LUT2=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.032ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.588ns = ( 18.412 - 20.000 ) 
+    Source Clock Delay      (SCD):    -1.003ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.816    -1.003    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.456    -0.547 f  initialize_audio/twi_controller/sclCnt_reg[0]/Q
+                         net (fo=7, routed)           0.741     0.194    initialize_audio/twi_controller/sclCnt[0]
+    SLICE_X157Y128       LUT6 (Prop_lut6_I2_O)        0.124     0.318 f  initialize_audio/twi_controller/sclCnt[6]_i_5/O
+                         net (fo=2, routed)           1.061     1.379    initialize_audio/twi_controller/sclCnt[6]_i_5_n_0
+    SLICE_X158Y121       LUT2 (Prop_lut2_I1_O)        0.124     1.503 r  initialize_audio/twi_controller/sclCnt[6]_i_4/O
+                         net (fo=15, routed)          0.782     2.285    initialize_audio/twi_controller/sclCnt[6]_i_4_n_0
+    SLICE_X159Y117       LUT4 (Prop_lut4_I3_O)        0.120     2.405 r  initialize_audio/twi_controller/FSM_gray_state[3]_i_3/O
+                         net (fo=1, routed)           0.812     3.217    initialize_audio/twi_controller/FSM_gray_state[3]_i_3_n_0
+    SLICE_X159Y118       LUT6 (Prop_lut6_I0_O)        0.327     3.544 r  initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O
+                         net (fo=4, routed)           0.533     4.077    initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0
+    SLICE_X159Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[1]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.704    18.412    initialize_audio/twi_controller/clk_out4
+    SLICE_X159Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[1]/C
+                         clock pessimism              0.554    18.965    
+                         clock uncertainty           -0.094    18.871    
+    SLICE_X159Y116       FDRE (Setup_fdre_C_CE)      -0.205    18.666    initialize_audio/twi_controller/FSM_gray_state_reg[1]
+  -------------------------------------------------------------------
+                         required time                         18.666    
+                         arrival time                          -4.077    
+  -------------------------------------------------------------------
+                         slack                                 14.589    
+
+Slack (MET) :             14.589ns  (required time - arrival time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[0]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/FSM_gray_state_reg[3]/CE
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        5.080ns  (logic 1.151ns (22.659%)  route 3.929ns (77.341%))
+  Logic Levels:           4  (LUT2=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.032ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.588ns = ( 18.412 - 20.000 ) 
+    Source Clock Delay      (SCD):    -1.003ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.816    -1.003    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.456    -0.547 f  initialize_audio/twi_controller/sclCnt_reg[0]/Q
+                         net (fo=7, routed)           0.741     0.194    initialize_audio/twi_controller/sclCnt[0]
+    SLICE_X157Y128       LUT6 (Prop_lut6_I2_O)        0.124     0.318 f  initialize_audio/twi_controller/sclCnt[6]_i_5/O
+                         net (fo=2, routed)           1.061     1.379    initialize_audio/twi_controller/sclCnt[6]_i_5_n_0
+    SLICE_X158Y121       LUT2 (Prop_lut2_I1_O)        0.124     1.503 r  initialize_audio/twi_controller/sclCnt[6]_i_4/O
+                         net (fo=15, routed)          0.782     2.285    initialize_audio/twi_controller/sclCnt[6]_i_4_n_0
+    SLICE_X159Y117       LUT4 (Prop_lut4_I3_O)        0.120     2.405 r  initialize_audio/twi_controller/FSM_gray_state[3]_i_3/O
+                         net (fo=1, routed)           0.812     3.217    initialize_audio/twi_controller/FSM_gray_state[3]_i_3_n_0
+    SLICE_X159Y118       LUT6 (Prop_lut6_I0_O)        0.327     3.544 r  initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O
+                         net (fo=4, routed)           0.533     4.077    initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0
+    SLICE_X159Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[3]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.704    18.412    initialize_audio/twi_controller/clk_out4
+    SLICE_X159Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[3]/C
+                         clock pessimism              0.554    18.965    
+                         clock uncertainty           -0.094    18.871    
+    SLICE_X159Y116       FDRE (Setup_fdre_C_CE)      -0.205    18.666    initialize_audio/twi_controller/FSM_gray_state_reg[3]
+  -------------------------------------------------------------------
+                         required time                         18.666    
+                         arrival time                          -4.077    
+  -------------------------------------------------------------------
+                         slack                                 14.589    
+
+Slack (MET) :             14.619ns  (required time - arrival time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[0]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/FSM_gray_state_reg[0]/CE
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        5.052ns  (logic 1.151ns (22.783%)  route 3.901ns (77.217%))
+  Logic Levels:           4  (LUT2=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.030ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.586ns = ( 18.414 - 20.000 ) 
+    Source Clock Delay      (SCD):    -1.003ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.816    -1.003    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.456    -0.547 f  initialize_audio/twi_controller/sclCnt_reg[0]/Q
+                         net (fo=7, routed)           0.741     0.194    initialize_audio/twi_controller/sclCnt[0]
+    SLICE_X157Y128       LUT6 (Prop_lut6_I2_O)        0.124     0.318 f  initialize_audio/twi_controller/sclCnt[6]_i_5/O
+                         net (fo=2, routed)           1.061     1.379    initialize_audio/twi_controller/sclCnt[6]_i_5_n_0
+    SLICE_X158Y121       LUT2 (Prop_lut2_I1_O)        0.124     1.503 r  initialize_audio/twi_controller/sclCnt[6]_i_4/O
+                         net (fo=15, routed)          0.782     2.285    initialize_audio/twi_controller/sclCnt[6]_i_4_n_0
+    SLICE_X159Y117       LUT4 (Prop_lut4_I3_O)        0.120     2.405 r  initialize_audio/twi_controller/FSM_gray_state[3]_i_3/O
+                         net (fo=1, routed)           0.812     3.217    initialize_audio/twi_controller/FSM_gray_state[3]_i_3_n_0
+    SLICE_X159Y118       LUT6 (Prop_lut6_I0_O)        0.327     3.544 r  initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O
+                         net (fo=4, routed)           0.506     4.049    initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0
+    SLICE_X160Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[0]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.706    18.414    initialize_audio/twi_controller/clk_out4
+    SLICE_X160Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[0]/C
+                         clock pessimism              0.554    18.967    
+                         clock uncertainty           -0.094    18.873    
+    SLICE_X160Y116       FDRE (Setup_fdre_C_CE)      -0.205    18.668    initialize_audio/twi_controller/FSM_gray_state_reg[0]
+  -------------------------------------------------------------------
+                         required time                         18.668    
+                         arrival time                          -4.049    
+  -------------------------------------------------------------------
+                         slack                                 14.619    
+
+Slack (MET) :             14.619ns  (required time - arrival time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[0]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/FSM_gray_state_reg[2]/CE
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        5.052ns  (logic 1.151ns (22.783%)  route 3.901ns (77.217%))
+  Logic Levels:           4  (LUT2=1 LUT4=1 LUT6=2)
+  Clock Path Skew:        -0.030ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.586ns = ( 18.414 - 20.000 ) 
+    Source Clock Delay      (SCD):    -1.003ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.816    -1.003    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.456    -0.547 f  initialize_audio/twi_controller/sclCnt_reg[0]/Q
+                         net (fo=7, routed)           0.741     0.194    initialize_audio/twi_controller/sclCnt[0]
+    SLICE_X157Y128       LUT6 (Prop_lut6_I2_O)        0.124     0.318 f  initialize_audio/twi_controller/sclCnt[6]_i_5/O
+                         net (fo=2, routed)           1.061     1.379    initialize_audio/twi_controller/sclCnt[6]_i_5_n_0
+    SLICE_X158Y121       LUT2 (Prop_lut2_I1_O)        0.124     1.503 r  initialize_audio/twi_controller/sclCnt[6]_i_4/O
+                         net (fo=15, routed)          0.782     2.285    initialize_audio/twi_controller/sclCnt[6]_i_4_n_0
+    SLICE_X159Y117       LUT4 (Prop_lut4_I3_O)        0.120     2.405 r  initialize_audio/twi_controller/FSM_gray_state[3]_i_3/O
+                         net (fo=1, routed)           0.812     3.217    initialize_audio/twi_controller/FSM_gray_state[3]_i_3_n_0
+    SLICE_X159Y118       LUT6 (Prop_lut6_I0_O)        0.327     3.544 r  initialize_audio/twi_controller/FSM_gray_state[3]_i_1/O
+                         net (fo=4, routed)           0.506     4.049    initialize_audio/twi_controller/FSM_gray_state[3]_i_1_n_0
+    SLICE_X160Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[2]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.706    18.414    initialize_audio/twi_controller/clk_out4
+    SLICE_X160Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[2]/C
+                         clock pessimism              0.554    18.967    
+                         clock uncertainty           -0.094    18.873    
+    SLICE_X160Y116       FDRE (Setup_fdre_C_CE)      -0.205    18.668    initialize_audio/twi_controller/FSM_gray_state_reg[2]
+  -------------------------------------------------------------------
+                         required time                         18.668    
+                         arrival time                          -4.049    
+  -------------------------------------------------------------------
+                         slack                                 14.619    
+
+Slack (MET) :             14.696ns  (required time - arrival time)
+  Source:                 initialize_audio/delaycnt_reg[4]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/state_reg[1]/CE
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        4.965ns  (logic 0.952ns (19.176%)  route 4.013ns (80.824%))
+  Logic Levels:           4  (LUT4=2 LUT5=1 LUT6=1)
+  Clock Path Skew:        -0.040ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.584ns = ( 18.416 - 20.000 ) 
+    Source Clock Delay      (SCD):    -0.991ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.828    -0.991    initialize_audio/clk_out4
+    SLICE_X156Y109       FDRE                                         r  initialize_audio/delaycnt_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y109       FDRE (Prop_fdre_C_Q)         0.456    -0.535 f  initialize_audio/delaycnt_reg[4]/Q
+                         net (fo=3, routed)           0.833     0.298    initialize_audio/delaycnt_reg_n_0_[4]
+    SLICE_X157Y110       LUT4 (Prop_lut4_I0_O)        0.124     0.422 f  initialize_audio/initA[6]_i_13/O
+                         net (fo=1, routed)           0.877     1.299    initialize_audio/initA[6]_i_13_n_0
+    SLICE_X157Y110       LUT5 (Prop_lut5_I4_O)        0.124     1.423 r  initialize_audio/initA[6]_i_9/O
+                         net (fo=1, routed)           0.781     2.204    initialize_audio/initA[6]_i_9_n_0
+    SLICE_X157Y113       LUT4 (Prop_lut4_I1_O)        0.124     2.328 r  initialize_audio/initA[6]_i_4/O
+                         net (fo=4, routed)           0.805     3.133    initialize_audio/twi_controller/initEn_reg
+    SLICE_X158Y113       LUT6 (Prop_lut6_I1_O)        0.124     3.257 r  initialize_audio/twi_controller/state[3]_i_1/O
+                         net (fo=4, routed)           0.717     3.974    initialize_audio/twi_controller_n_6
+    SLICE_X160Y113       FDSE                                         r  initialize_audio/state_reg[1]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.708    18.416    initialize_audio/clk_out4
+    SLICE_X160Y113       FDSE                                         r  initialize_audio/state_reg[1]/C
+                         clock pessimism              0.554    18.969    
+                         clock uncertainty           -0.094    18.875    
+    SLICE_X160Y113       FDSE (Setup_fdse_C_CE)      -0.205    18.670    initialize_audio/state_reg[1]
+  -------------------------------------------------------------------
+                         required time                         18.670    
+                         arrival time                          -3.974    
+  -------------------------------------------------------------------
+                         slack                                 14.696    
+
+Slack (MET) :             14.712ns  (required time - arrival time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[0]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/dataByte_reg[1]/CE
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        4.960ns  (logic 0.952ns (19.193%)  route 4.008ns (80.807%))
+  Logic Levels:           4  (LUT2=2 LUT6=2)
+  Clock Path Skew:        -0.029ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.585ns = ( 18.415 - 20.000 ) 
+    Source Clock Delay      (SCD):    -1.003ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.816    -1.003    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.456    -0.547 f  initialize_audio/twi_controller/sclCnt_reg[0]/Q
+                         net (fo=7, routed)           0.741     0.194    initialize_audio/twi_controller/sclCnt[0]
+    SLICE_X157Y128       LUT6 (Prop_lut6_I2_O)        0.124     0.318 f  initialize_audio/twi_controller/sclCnt[6]_i_5/O
+                         net (fo=2, routed)           1.061     1.379    initialize_audio/twi_controller/sclCnt[6]_i_5_n_0
+    SLICE_X158Y121       LUT2 (Prop_lut2_I1_O)        0.124     1.503 r  initialize_audio/twi_controller/sclCnt[6]_i_4/O
+                         net (fo=15, routed)          1.002     2.505    initialize_audio/twi_controller/sclCnt[6]_i_4_n_0
+    SLICE_X158Y117       LUT6 (Prop_lut6_I3_O)        0.124     2.629 r  initialize_audio/twi_controller/dataByte[7]_i_3/O
+                         net (fo=4, routed)           0.478     3.107    initialize_audio/twi_controller/dataByte0
+    SLICE_X158Y117       LUT2 (Prop_lut2_I0_O)        0.124     3.231 r  initialize_audio/twi_controller/dataByte[7]_i_1/O
+                         net (fo=8, routed)           0.727     3.957    initialize_audio/twi_controller/dataByte[7]_i_1_n_0
+    SLICE_X161Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[1]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.707    18.415    initialize_audio/twi_controller/clk_out4
+    SLICE_X161Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[1]/C
+                         clock pessimism              0.554    18.968    
+                         clock uncertainty           -0.094    18.874    
+    SLICE_X161Y115       FDRE (Setup_fdre_C_CE)      -0.205    18.669    initialize_audio/twi_controller/dataByte_reg[1]
+  -------------------------------------------------------------------
+                         required time                         18.669    
+                         arrival time                          -3.957    
+  -------------------------------------------------------------------
+                         slack                                 14.712    
+
+Slack (MET) :             14.712ns  (required time - arrival time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[0]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/dataByte_reg[6]/CE
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        4.960ns  (logic 0.952ns (19.193%)  route 4.008ns (80.807%))
+  Logic Levels:           4  (LUT2=2 LUT6=2)
+  Clock Path Skew:        -0.029ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.585ns = ( 18.415 - 20.000 ) 
+    Source Clock Delay      (SCD):    -1.003ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.816    -1.003    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.456    -0.547 f  initialize_audio/twi_controller/sclCnt_reg[0]/Q
+                         net (fo=7, routed)           0.741     0.194    initialize_audio/twi_controller/sclCnt[0]
+    SLICE_X157Y128       LUT6 (Prop_lut6_I2_O)        0.124     0.318 f  initialize_audio/twi_controller/sclCnt[6]_i_5/O
+                         net (fo=2, routed)           1.061     1.379    initialize_audio/twi_controller/sclCnt[6]_i_5_n_0
+    SLICE_X158Y121       LUT2 (Prop_lut2_I1_O)        0.124     1.503 r  initialize_audio/twi_controller/sclCnt[6]_i_4/O
+                         net (fo=15, routed)          1.002     2.505    initialize_audio/twi_controller/sclCnt[6]_i_4_n_0
+    SLICE_X158Y117       LUT6 (Prop_lut6_I3_O)        0.124     2.629 r  initialize_audio/twi_controller/dataByte[7]_i_3/O
+                         net (fo=4, routed)           0.478     3.107    initialize_audio/twi_controller/dataByte0
+    SLICE_X158Y117       LUT2 (Prop_lut2_I0_O)        0.124     3.231 r  initialize_audio/twi_controller/dataByte[7]_i_1/O
+                         net (fo=8, routed)           0.727     3.957    initialize_audio/twi_controller/dataByte[7]_i_1_n_0
+    SLICE_X161Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[6]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.707    18.415    initialize_audio/twi_controller/clk_out4
+    SLICE_X161Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[6]/C
+                         clock pessimism              0.554    18.968    
+                         clock uncertainty           -0.094    18.874    
+    SLICE_X161Y115       FDRE (Setup_fdre_C_CE)      -0.205    18.669    initialize_audio/twi_controller/dataByte_reg[6]
+  -------------------------------------------------------------------
+                         required time                         18.669    
+                         arrival time                          -3.957    
+  -------------------------------------------------------------------
+                         slack                                 14.712    
+
+Slack (MET) :             14.712ns  (required time - arrival time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[0]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/dataByte_reg[7]/CE
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        4.960ns  (logic 0.952ns (19.193%)  route 4.008ns (80.807%))
+  Logic Levels:           4  (LUT2=2 LUT6=2)
+  Clock Path Skew:        -0.029ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.585ns = ( 18.415 - 20.000 ) 
+    Source Clock Delay      (SCD):    -1.003ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.816    -1.003    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.456    -0.547 f  initialize_audio/twi_controller/sclCnt_reg[0]/Q
+                         net (fo=7, routed)           0.741     0.194    initialize_audio/twi_controller/sclCnt[0]
+    SLICE_X157Y128       LUT6 (Prop_lut6_I2_O)        0.124     0.318 f  initialize_audio/twi_controller/sclCnt[6]_i_5/O
+                         net (fo=2, routed)           1.061     1.379    initialize_audio/twi_controller/sclCnt[6]_i_5_n_0
+    SLICE_X158Y121       LUT2 (Prop_lut2_I1_O)        0.124     1.503 r  initialize_audio/twi_controller/sclCnt[6]_i_4/O
+                         net (fo=15, routed)          1.002     2.505    initialize_audio/twi_controller/sclCnt[6]_i_4_n_0
+    SLICE_X158Y117       LUT6 (Prop_lut6_I3_O)        0.124     2.629 r  initialize_audio/twi_controller/dataByte[7]_i_3/O
+                         net (fo=4, routed)           0.478     3.107    initialize_audio/twi_controller/dataByte0
+    SLICE_X158Y117       LUT2 (Prop_lut2_I0_O)        0.124     3.231 r  initialize_audio/twi_controller/dataByte[7]_i_1/O
+                         net (fo=8, routed)           0.727     3.957    initialize_audio/twi_controller/dataByte[7]_i_1_n_0
+    SLICE_X161Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[7]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.707    18.415    initialize_audio/twi_controller/clk_out4
+    SLICE_X161Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[7]/C
+                         clock pessimism              0.554    18.968    
+                         clock uncertainty           -0.094    18.874    
+    SLICE_X161Y115       FDRE (Setup_fdre_C_CE)      -0.205    18.669    initialize_audio/twi_controller/dataByte_reg[7]
+  -------------------------------------------------------------------
+                         required time                         18.669    
+                         arrival time                          -3.957    
+  -------------------------------------------------------------------
+                         slack                                 14.712    
+
+Slack (MET) :             14.772ns  (required time - arrival time)
+  Source:                 initialize_audio/delaycnt_reg[4]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/initA_reg[0]/CE
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        4.891ns  (logic 0.952ns (19.463%)  route 3.939ns (80.537%))
+  Logic Levels:           4  (LUT4=2 LUT5=2)
+  Clock Path Skew:        -0.038ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.582ns = ( 18.418 - 20.000 ) 
+    Source Clock Delay      (SCD):    -0.991ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.828    -0.991    initialize_audio/clk_out4
+    SLICE_X156Y109       FDRE                                         r  initialize_audio/delaycnt_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y109       FDRE (Prop_fdre_C_Q)         0.456    -0.535 f  initialize_audio/delaycnt_reg[4]/Q
+                         net (fo=3, routed)           0.833     0.298    initialize_audio/delaycnt_reg_n_0_[4]
+    SLICE_X157Y110       LUT4 (Prop_lut4_I0_O)        0.124     0.422 f  initialize_audio/initA[6]_i_13/O
+                         net (fo=1, routed)           0.877     1.299    initialize_audio/initA[6]_i_13_n_0
+    SLICE_X157Y110       LUT5 (Prop_lut5_I4_O)        0.124     1.423 r  initialize_audio/initA[6]_i_9/O
+                         net (fo=1, routed)           0.781     2.204    initialize_audio/initA[6]_i_9_n_0
+    SLICE_X157Y113       LUT4 (Prop_lut4_I1_O)        0.124     2.328 r  initialize_audio/initA[6]_i_4/O
+                         net (fo=4, routed)           0.822     3.150    initialize_audio/twi_controller/initEn_reg
+    SLICE_X158Y113       LUT5 (Prop_lut5_I1_O)        0.124     3.274 r  initialize_audio/twi_controller/initA[6]_i_2/O
+                         net (fo=7, routed)           0.627     3.900    initialize_audio/twi_controller_n_8
+    SLICE_X160Y111       FDRE                                         r  initialize_audio/initA_reg[0]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.710    18.418    initialize_audio/clk_out4
+    SLICE_X160Y111       FDRE                                         r  initialize_audio/initA_reg[0]/C
+                         clock pessimism              0.554    18.971    
+                         clock uncertainty           -0.094    18.877    
+    SLICE_X160Y111       FDRE (Setup_fdre_C_CE)      -0.205    18.672    initialize_audio/initA_reg[0]
+  -------------------------------------------------------------------
+                         required time                         18.672    
+                         arrival time                          -3.900    
+  -------------------------------------------------------------------
+                         slack                                 14.772    
+
+Slack (MET) :             14.772ns  (required time - arrival time)
+  Source:                 initialize_audio/delaycnt_reg[4]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/initA_reg[2]/CE
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Setup (Max at Slow Process Corner)
+  Requirement:            20.000ns  (clk_out4_clk_wiz_0 rise@20.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        4.891ns  (logic 0.952ns (19.463%)  route 3.939ns (80.537%))
+  Logic Levels:           4  (LUT4=2 LUT5=2)
+  Clock Path Skew:        -0.038ns (DCD - SCD + CPR)
+    Destination Clock Delay (DCD):    -1.582ns = ( 18.418 - 20.000 ) 
+    Source Clock Delay      (SCD):    -0.991ns
+    Clock Pessimism Removal (CPR):    0.554ns
+  Clock Uncertainty:      0.094ns  ((TSJ^2 + DJ^2)^1/2) / 2 + PE
+    Total System Jitter     (TSJ):    0.071ns
+    Discrete Jitter          (DJ):    0.174ns
+    Phase Error              (PE):    0.000ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.475     1.475 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.233     2.708    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.432    -4.724 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.808    -2.915    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.096    -2.819 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.828    -0.991    initialize_audio/clk_out4
+    SLICE_X156Y109       FDRE                                         r  initialize_audio/delaycnt_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y109       FDRE (Prop_fdre_C_Q)         0.456    -0.535 f  initialize_audio/delaycnt_reg[4]/Q
+                         net (fo=3, routed)           0.833     0.298    initialize_audio/delaycnt_reg_n_0_[4]
+    SLICE_X157Y110       LUT4 (Prop_lut4_I0_O)        0.124     0.422 f  initialize_audio/initA[6]_i_13/O
+                         net (fo=1, routed)           0.877     1.299    initialize_audio/initA[6]_i_13_n_0
+    SLICE_X157Y110       LUT5 (Prop_lut5_I4_O)        0.124     1.423 r  initialize_audio/initA[6]_i_9/O
+                         net (fo=1, routed)           0.781     2.204    initialize_audio/initA[6]_i_9_n_0
+    SLICE_X157Y113       LUT4 (Prop_lut4_I1_O)        0.124     2.328 r  initialize_audio/initA[6]_i_4/O
+                         net (fo=4, routed)           0.822     3.150    initialize_audio/twi_controller/initEn_reg
+    SLICE_X158Y113       LUT5 (Prop_lut5_I1_O)        0.124     3.274 r  initialize_audio/twi_controller/initA[6]_i_2/O
+                         net (fo=7, routed)           0.627     3.900    initialize_audio/twi_controller_n_8
+    SLICE_X160Y111       FDRE                                         r  initialize_audio/initA_reg[2]/CE
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                     20.000    20.000 r  
+    R4                                                0.000    20.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000    20.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         1.405    21.405 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           1.162    22.567    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -7.674    14.893 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           1.723    16.616    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.091    16.707 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         1.710    18.418    initialize_audio/clk_out4
+    SLICE_X160Y111       FDRE                                         r  initialize_audio/initA_reg[2]/C
+                         clock pessimism              0.554    18.971    
+                         clock uncertainty           -0.094    18.877    
+    SLICE_X160Y111       FDRE (Setup_fdre_C_CE)      -0.205    18.672    initialize_audio/initA_reg[2]
+  -------------------------------------------------------------------
+                         required time                         18.672    
+                         arrival time                          -3.900    
+  -------------------------------------------------------------------
+                         slack                                 14.772    
+
+
+
+
+
+Min Delay Paths
+--------------------------------------------------------------------------------------
+Slack (MET) :             0.109ns  (arrival time - required time)
+  Source:                 initialize_audio/twi_controller/dataByte_reg[4]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/dataByte_reg[5]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.242ns  (logic 0.186ns (76.827%)  route 0.056ns (23.173%))
+  Logic Levels:           1  (LUT4=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.900ns
+    Source Clock Delay      (SCD):    -0.657ns
+    Clock Pessimism Removal (CPR):    -0.256ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.643    -0.657    initialize_audio/twi_controller/clk_out4
+    SLICE_X159Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[4]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X159Y115       FDRE (Prop_fdre_C_Q)         0.141    -0.516 r  initialize_audio/twi_controller/dataByte_reg[4]/Q
+                         net (fo=1, routed)           0.056    -0.460    initialize_audio/twi_controller/dataByte[4]
+    SLICE_X158Y115       LUT4 (Prop_lut4_I0_O)        0.045    -0.415 r  initialize_audio/twi_controller/dataByte[5]_i_1/O
+                         net (fo=1, routed)           0.000    -0.415    initialize_audio/twi_controller/p_1_in[5]
+    SLICE_X158Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[5]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.914    -0.900    initialize_audio/twi_controller/clk_out4
+    SLICE_X158Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[5]/C
+                         clock pessimism              0.256    -0.644    
+    SLICE_X158Y115       FDRE (Hold_fdre_C_D)         0.120    -0.524    initialize_audio/twi_controller/dataByte_reg[5]
+  -------------------------------------------------------------------
+                         required time                          0.524    
+                         arrival time                          -0.415    
+  -------------------------------------------------------------------
+                         slack                                  0.109    
+
+Slack (MET) :             0.178ns  (arrival time - required time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[2]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/sclCnt_reg[4]/D
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.283ns  (logic 0.186ns (65.639%)  route 0.097ns (34.361%))
+  Logic Levels:           1  (LUT5=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.906ns
+    Source Clock Delay      (SCD):    -0.663ns
+    Clock Pessimism Removal (CPR):    -0.256ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.637    -0.663    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.141    -0.522 r  initialize_audio/twi_controller/sclCnt_reg[2]/Q
+                         net (fo=5, routed)           0.097    -0.424    initialize_audio/twi_controller/sclCnt[2]
+    SLICE_X157Y128       LUT5 (Prop_lut5_I1_O)        0.045    -0.379 r  initialize_audio/twi_controller/sclCnt[4]_i_1/O
+                         net (fo=1, routed)           0.000    -0.379    initialize_audio/twi_controller/sclCnt[4]_i_1_n_0
+    SLICE_X157Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.908    -0.906    initialize_audio/twi_controller/clk_out4
+    SLICE_X157Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[4]/C
+                         clock pessimism              0.256    -0.650    
+    SLICE_X157Y128       FDSE (Hold_fdse_C_D)         0.092    -0.558    initialize_audio/twi_controller/sclCnt_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.558    
+                         arrival time                          -0.379    
+  -------------------------------------------------------------------
+                         slack                                  0.178    
+
+Slack (MET) :             0.180ns  (arrival time - required time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[2]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/sclCnt_reg[3]/D
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.284ns  (logic 0.186ns (65.408%)  route 0.098ns (34.592%))
+  Logic Levels:           1  (LUT4=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.906ns
+    Source Clock Delay      (SCD):    -0.663ns
+    Clock Pessimism Removal (CPR):    -0.256ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.637    -0.663    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.141    -0.522 r  initialize_audio/twi_controller/sclCnt_reg[2]/Q
+                         net (fo=5, routed)           0.098    -0.423    initialize_audio/twi_controller/sclCnt[2]
+    SLICE_X157Y128       LUT4 (Prop_lut4_I0_O)        0.045    -0.378 r  initialize_audio/twi_controller/sclCnt[3]_i_1/O
+                         net (fo=1, routed)           0.000    -0.378    initialize_audio/twi_controller/sclCnt01_in[3]
+    SLICE_X157Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[3]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.908    -0.906    initialize_audio/twi_controller/clk_out4
+    SLICE_X157Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[3]/C
+                         clock pessimism              0.256    -0.650    
+    SLICE_X157Y128       FDSE (Hold_fdse_C_D)         0.091    -0.559    initialize_audio/twi_controller/sclCnt_reg[3]
+  -------------------------------------------------------------------
+                         required time                          0.559    
+                         arrival time                          -0.378    
+  -------------------------------------------------------------------
+                         slack                                  0.180    
+
+Slack (MET) :             0.187ns  (arrival time - required time)
+  Source:                 initialize_audio/twi_controller/sclCnt_reg[1]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/sclCnt_reg[5]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.292ns  (logic 0.186ns (63.646%)  route 0.106ns (36.354%))
+  Logic Levels:           1  (LUT6=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.906ns
+    Source Clock Delay      (SCD):    -0.663ns
+    Clock Pessimism Removal (CPR):    -0.256ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.637    -0.663    initialize_audio/twi_controller/clk_out4
+    SLICE_X156Y128       FDSE                                         r  initialize_audio/twi_controller/sclCnt_reg[1]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X156Y128       FDSE (Prop_fdse_C_Q)         0.141    -0.522 r  initialize_audio/twi_controller/sclCnt_reg[1]/Q
+                         net (fo=6, routed)           0.106    -0.416    initialize_audio/twi_controller/sclCnt[1]
+    SLICE_X157Y128       LUT6 (Prop_lut6_I2_O)        0.045    -0.371 r  initialize_audio/twi_controller/sclCnt[5]_i_1/O
+                         net (fo=1, routed)           0.000    -0.371    initialize_audio/twi_controller/sclCnt01_in[5]
+    SLICE_X157Y128       FDRE                                         r  initialize_audio/twi_controller/sclCnt_reg[5]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.908    -0.906    initialize_audio/twi_controller/clk_out4
+    SLICE_X157Y128       FDRE                                         r  initialize_audio/twi_controller/sclCnt_reg[5]/C
+                         clock pessimism              0.256    -0.650    
+    SLICE_X157Y128       FDRE (Hold_fdre_C_D)         0.092    -0.558    initialize_audio/twi_controller/sclCnt_reg[5]
+  -------------------------------------------------------------------
+                         required time                          0.558    
+                         arrival time                          -0.371    
+  -------------------------------------------------------------------
+                         slack                                  0.187    
+
+Slack (MET) :             0.189ns  (arrival time - required time)
+  Source:                 initialize_audio/twi_controller/dataByte_reg[0]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/dataByte_reg[1]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.318ns  (logic 0.186ns (58.415%)  route 0.132ns (41.585%))
+  Logic Levels:           1  (LUT4=1)
+  Clock Path Skew:        0.038ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.899ns
+    Source Clock Delay      (SCD):    -0.657ns
+    Clock Pessimism Removal (CPR):    -0.280ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.643    -0.657    initialize_audio/twi_controller/clk_out4
+    SLICE_X159Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X159Y115       FDRE (Prop_fdre_C_Q)         0.141    -0.516 r  initialize_audio/twi_controller/dataByte_reg[0]/Q
+                         net (fo=3, routed)           0.132    -0.383    initialize_audio/twi_controller/dataByte_reg_n_0_[0]
+    SLICE_X161Y115       LUT4 (Prop_lut4_I0_O)        0.045    -0.338 r  initialize_audio/twi_controller/dataByte[1]_i_1/O
+                         net (fo=1, routed)           0.000    -0.338    initialize_audio/twi_controller/p_1_in[1]
+    SLICE_X161Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[1]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.915    -0.899    initialize_audio/twi_controller/clk_out4
+    SLICE_X161Y115       FDRE                                         r  initialize_audio/twi_controller/dataByte_reg[1]/C
+                         clock pessimism              0.280    -0.619    
+    SLICE_X161Y115       FDRE (Hold_fdre_C_D)         0.091    -0.528    initialize_audio/twi_controller/dataByte_reg[1]
+  -------------------------------------------------------------------
+                         required time                          0.528    
+                         arrival time                          -0.338    
+  -------------------------------------------------------------------
+                         slack                                  0.189    
+
+Slack (MET) :             0.198ns  (arrival time - required time)
+  Source:                 initialize_audio/initWord_reg[30]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/data_i_reg[6]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.304ns  (logic 0.209ns (68.711%)  route 0.095ns (31.289%))
+  Logic Levels:           1  (LUT6=1)
+  Clock Path Skew:        0.015ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.898ns
+    Source Clock Delay      (SCD):    -0.656ns
+    Clock Pessimism Removal (CPR):    -0.257ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.644    -0.656    initialize_audio/clk_out4
+    SLICE_X162Y114       FDRE                                         r  initialize_audio/initWord_reg[30]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X162Y114       FDRE (Prop_fdre_C_Q)         0.164    -0.492 r  initialize_audio/initWord_reg[30]/Q
+                         net (fo=1, routed)           0.095    -0.397    initialize_audio/data0[6]
+    SLICE_X161Y114       LUT6 (Prop_lut6_I2_O)        0.045    -0.352 r  initialize_audio/data_i[6]_i_1/O
+                         net (fo=1, routed)           0.000    -0.352    initialize_audio/data_i[6]_i_1_n_0
+    SLICE_X161Y114       FDRE                                         r  initialize_audio/data_i_reg[6]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.916    -0.898    initialize_audio/clk_out4
+    SLICE_X161Y114       FDRE                                         r  initialize_audio/data_i_reg[6]/C
+                         clock pessimism              0.257    -0.641    
+    SLICE_X161Y114       FDRE (Hold_fdre_C_D)         0.091    -0.550    initialize_audio/data_i_reg[6]
+  -------------------------------------------------------------------
+                         required time                          0.550    
+                         arrival time                          -0.352    
+  -------------------------------------------------------------------
+                         slack                                  0.198    
+
+Slack (MET) :             0.199ns  (arrival time - required time)
+  Source:                 initialize_audio/twi_controller/busFreeCnt_reg[2]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/busFreeCnt_reg[4]/D
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.304ns  (logic 0.209ns (68.859%)  route 0.095ns (31.141%))
+  Logic Levels:           1  (LUT5=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.907ns
+    Source Clock Delay      (SCD):    -0.663ns
+    Clock Pessimism Removal (CPR):    -0.257ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.637    -0.663    initialize_audio/twi_controller/clk_out4
+    SLICE_X158Y127       FDSE                                         r  initialize_audio/twi_controller/busFreeCnt_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X158Y127       FDSE (Prop_fdse_C_Q)         0.164    -0.499 r  initialize_audio/twi_controller/busFreeCnt_reg[2]/Q
+                         net (fo=5, routed)           0.095    -0.404    initialize_audio/twi_controller/sel0[2]
+    SLICE_X159Y127       LUT5 (Prop_lut5_I3_O)        0.045    -0.359 r  initialize_audio/twi_controller/busFreeCnt[4]_i_1/O
+                         net (fo=1, routed)           0.000    -0.359    initialize_audio/twi_controller/busFreeCnt00_in[4]
+    SLICE_X159Y127       FDSE                                         r  initialize_audio/twi_controller/busFreeCnt_reg[4]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.907    -0.907    initialize_audio/twi_controller/clk_out4
+    SLICE_X159Y127       FDSE                                         r  initialize_audio/twi_controller/busFreeCnt_reg[4]/C
+                         clock pessimism              0.257    -0.650    
+    SLICE_X159Y127       FDSE (Hold_fdse_C_D)         0.092    -0.558    initialize_audio/twi_controller/busFreeCnt_reg[4]
+  -------------------------------------------------------------------
+                         required time                          0.558    
+                         arrival time                          -0.359    
+  -------------------------------------------------------------------
+                         slack                                  0.199    
+
+Slack (MET) :             0.201ns  (arrival time - required time)
+  Source:                 initialize_audio/twi_controller/busFreeCnt_reg[2]/C
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/busFreeCnt_reg[3]/D
+                            (rising edge-triggered cell FDSE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.305ns  (logic 0.209ns (68.633%)  route 0.096ns (31.367%))
+  Logic Levels:           1  (LUT4=1)
+  Clock Path Skew:        0.013ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.907ns
+    Source Clock Delay      (SCD):    -0.663ns
+    Clock Pessimism Removal (CPR):    -0.257ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.637    -0.663    initialize_audio/twi_controller/clk_out4
+    SLICE_X158Y127       FDSE                                         r  initialize_audio/twi_controller/busFreeCnt_reg[2]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X158Y127       FDSE (Prop_fdse_C_Q)         0.164    -0.499 r  initialize_audio/twi_controller/busFreeCnt_reg[2]/Q
+                         net (fo=5, routed)           0.096    -0.403    initialize_audio/twi_controller/sel0[2]
+    SLICE_X159Y127       LUT4 (Prop_lut4_I0_O)        0.045    -0.358 r  initialize_audio/twi_controller/busFreeCnt[3]_i_1/O
+                         net (fo=1, routed)           0.000    -0.358    initialize_audio/twi_controller/busFreeCnt00_in[3]
+    SLICE_X159Y127       FDSE                                         r  initialize_audio/twi_controller/busFreeCnt_reg[3]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.907    -0.907    initialize_audio/twi_controller/clk_out4
+    SLICE_X159Y127       FDSE                                         r  initialize_audio/twi_controller/busFreeCnt_reg[3]/C
+                         clock pessimism              0.257    -0.650    
+    SLICE_X159Y127       FDSE (Hold_fdse_C_D)         0.091    -0.559    initialize_audio/twi_controller/busFreeCnt_reg[3]
+  -------------------------------------------------------------------
+                         required time                          0.559    
+                         arrival time                          -0.358    
+  -------------------------------------------------------------------
+                         slack                                  0.201    
+
+Slack (MET) :             0.207ns  (arrival time - required time)
+  Source:                 initialize_audio/initWord_reg[17]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/data_i_reg[1]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.314ns  (logic 0.209ns (66.656%)  route 0.105ns (33.344%))
+  Logic Levels:           1  (LUT6=1)
+  Clock Path Skew:        0.015ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.898ns
+    Source Clock Delay      (SCD):    -0.656ns
+    Clock Pessimism Removal (CPR):    -0.257ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.644    -0.656    initialize_audio/clk_out4
+    SLICE_X162Y113       FDRE                                         r  initialize_audio/initWord_reg[17]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X162Y113       FDRE (Prop_fdre_C_Q)         0.164    -0.492 r  initialize_audio/initWord_reg[17]/Q
+                         net (fo=2, routed)           0.105    -0.387    initialize_audio/data1[1]
+    SLICE_X161Y114       LUT6 (Prop_lut6_I5_O)        0.045    -0.342 r  initialize_audio/data_i[1]_i_1/O
+                         net (fo=1, routed)           0.000    -0.342    initialize_audio/data_i[1]_i_1_n_0
+    SLICE_X161Y114       FDRE                                         r  initialize_audio/data_i_reg[1]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.916    -0.898    initialize_audio/clk_out4
+    SLICE_X161Y114       FDRE                                         r  initialize_audio/data_i_reg[1]/C
+                         clock pessimism              0.257    -0.641    
+    SLICE_X161Y114       FDRE (Hold_fdre_C_D)         0.092    -0.549    initialize_audio/data_i_reg[1]
+  -------------------------------------------------------------------
+                         required time                          0.549    
+                         arrival time                          -0.342    
+  -------------------------------------------------------------------
+                         slack                                  0.207    
+
+Slack (MET) :             0.208ns  (arrival time - required time)
+  Source:                 initialize_audio/twi_controller/FSM_gray_state_reg[0]/C
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Destination:            initialize_audio/twi_controller/FSM_gray_state_reg[1]/D
+                            (rising edge-triggered cell FDRE clocked by clk_out4_clk_wiz_0  {rise@0.000ns fall@10.000ns period=20.000ns})
+  Path Group:             clk_out4_clk_wiz_0
+  Path Type:              Hold (Min at Fast Process Corner)
+  Requirement:            0.000ns  (clk_out4_clk_wiz_0 rise@0.000ns - clk_out4_clk_wiz_0 rise@0.000ns)
+  Data Path Delay:        0.335ns  (logic 0.186ns (55.563%)  route 0.149ns (44.437%))
+  Logic Levels:           1  (LUT6=1)
+  Clock Path Skew:        0.036ns (DCD - SCD - CPR)
+    Destination Clock Delay (DCD):    -0.901ns
+    Source Clock Delay      (SCD):    -0.657ns
+    Clock Pessimism Removal (CPR):    -0.280ns
+
+    Location             Delay type                Incr(ns)  Path(ns)    Netlist Resource(s)
+  -------------------------------------------------------------------    -------------------
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.243     0.243 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.440     0.683    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -2.540    -1.856 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.531    -1.325    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.026    -1.299 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.643    -0.657    initialize_audio/twi_controller/clk_out4
+    SLICE_X160Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[0]/C
+  -------------------------------------------------------------------    -------------------
+    SLICE_X160Y116       FDRE (Prop_fdre_C_Q)         0.141    -0.516 r  initialize_audio/twi_controller/FSM_gray_state_reg[0]/Q
+                         net (fo=26, routed)          0.149    -0.367    initialize_audio/twi_controller/state[0]
+    SLICE_X159Y116       LUT6 (Prop_lut6_I5_O)        0.045    -0.322 r  initialize_audio/twi_controller/FSM_gray_state[1]_i_1/O
+                         net (fo=1, routed)           0.000    -0.322    initialize_audio/twi_controller/FSM_gray_state[1]_i_1_n_0
+    SLICE_X159Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[1]/D
+  -------------------------------------------------------------------    -------------------
+
+                         (clock clk_out4_clk_wiz_0 rise edge)
+                                                      0.000     0.000 r  
+    R4                                                0.000     0.000 r  CLK100MHZ (IN)
+                         net (fo=0)                   0.000     0.000    clk_1/inst/clk_in1
+    R4                   IBUF (Prop_ibuf_I_O)         0.431     0.431 r  clk_1/inst/clkin1_ibufg/O
+                         net (fo=1, routed)           0.480     0.911    clk_1/inst/clk_in1_clk_wiz_0
+    MMCME2_ADV_X1Y2      MMCME2_ADV (Prop_mmcme2_adv_CLKIN1_CLKOUT3)
+                                                     -3.332    -2.421 r  clk_1/inst/mmcm_adv_inst/CLKOUT3
+                         net (fo=1, routed)           0.579    -1.843    clk_1/inst/clk_out4_clk_wiz_0
+    BUFGCTRL_X0Y2        BUFG (Prop_bufg_I_O)         0.029    -1.814 r  clk_1/inst/clkout4_buf/O
+                         net (fo=120, routed)         0.913    -0.901    initialize_audio/twi_controller/clk_out4
+    SLICE_X159Y116       FDRE                                         r  initialize_audio/twi_controller/FSM_gray_state_reg[1]/C
+                         clock pessimism              0.280    -0.621    
+    SLICE_X159Y116       FDRE (Hold_fdre_C_D)         0.091    -0.530    initialize_audio/twi_controller/FSM_gray_state_reg[1]
+  -------------------------------------------------------------------
+                         required time                          0.530    
+                         arrival time                          -0.322    
+  -------------------------------------------------------------------
+                         slack                                  0.208    
+
+
+
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         clk_out4_clk_wiz_0
+Waveform(ns):       { 0.000 10.000 }
+Period(ns):         20.000
+Sources:            { clk_1/inst/mmcm_adv_inst/CLKOUT3 }
+
+Check Type        Corner  Lib Pin             Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period        n/a     BUFG/I              n/a            2.155         20.000      17.845     BUFGCTRL_X0Y2    clk_1/inst/clkout4_buf/I
+Min Period        n/a     MMCME2_ADV/CLKOUT3  n/a            1.249         20.000      18.751     MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKOUT3
+Min Period        n/a     FDRE/C              n/a            1.000         20.000      19.000     SLICE_X159Y114   initialize_audio/data_i_reg[0]/C
+Min Period        n/a     FDRE/C              n/a            1.000         20.000      19.000     SLICE_X161Y114   initialize_audio/data_i_reg[1]/C
+Min Period        n/a     FDRE/C              n/a            1.000         20.000      19.000     SLICE_X159Y114   initialize_audio/data_i_reg[2]/C
+Min Period        n/a     FDRE/C              n/a            1.000         20.000      19.000     SLICE_X159Y113   initialize_audio/data_i_reg[3]/C
+Min Period        n/a     FDRE/C              n/a            1.000         20.000      19.000     SLICE_X160Y115   initialize_audio/data_i_reg[4]/C
+Min Period        n/a     FDRE/C              n/a            1.000         20.000      19.000     SLICE_X159Y113   initialize_audio/data_i_reg[5]/C
+Min Period        n/a     FDRE/C              n/a            1.000         20.000      19.000     SLICE_X161Y114   initialize_audio/data_i_reg[6]/C
+Min Period        n/a     FDRE/C              n/a            1.000         20.000      19.000     SLICE_X161Y114   initialize_audio/data_i_reg[7]/C
+Max Period        n/a     MMCME2_ADV/CLKOUT3  n/a            213.360       20.000      193.360    MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKOUT3
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y114   initialize_audio/data_i_reg[0]/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y114   initialize_audio/data_i_reg[0]/C
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X161Y114   initialize_audio/data_i_reg[1]/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X161Y114   initialize_audio/data_i_reg[1]/C
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y114   initialize_audio/data_i_reg[2]/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y114   initialize_audio/data_i_reg[2]/C
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y113   initialize_audio/data_i_reg[3]/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y113   initialize_audio/data_i_reg[3]/C
+Low Pulse Width   Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X160Y115   initialize_audio/data_i_reg[4]/C
+Low Pulse Width   Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X160Y115   initialize_audio/data_i_reg[4]/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y114   initialize_audio/data_i_reg[0]/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y114   initialize_audio/data_i_reg[0]/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X161Y114   initialize_audio/data_i_reg[1]/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X161Y114   initialize_audio/data_i_reg[1]/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y114   initialize_audio/data_i_reg[2]/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y114   initialize_audio/data_i_reg[2]/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y113   initialize_audio/data_i_reg[3]/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X159Y113   initialize_audio/data_i_reg[3]/C
+High Pulse Width  Slow    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X160Y115   initialize_audio/data_i_reg[4]/C
+High Pulse Width  Fast    FDRE/C              n/a            0.500         10.000      9.500      SLICE_X160Y115   initialize_audio/data_i_reg[4]/C
+
+
+
+---------------------------------------------------------------------------------------------------
+From Clock:  clkfbout_clk_wiz_0
+  To Clock:  clkfbout_clk_wiz_0
+
+Setup :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+Hold  :           NA  Failing Endpoints,  Worst Slack           NA  ,  Total Violation           NA
+PW    :            0  Failing Endpoints,  Worst Slack        7.845ns,  Total Violation        0.000ns
+---------------------------------------------------------------------------------------------------
+
+
+Pulse Width Checks
+--------------------------------------------------------------------------------------
+Clock Name:         clkfbout_clk_wiz_0
+Waveform(ns):       { 0.000 5.000 }
+Period(ns):         10.000
+Sources:            { clk_1/inst/mmcm_adv_inst/CLKFBOUT }
+
+Check Type  Corner  Lib Pin              Reference Pin  Required(ns)  Actual(ns)  Slack(ns)  Location         Pin
+Min Period  n/a     BUFG/I               n/a            2.155         10.000      7.845      BUFGCTRL_X0Y3    clk_1/inst/clkf_buf/I
+Min Period  n/a     MMCME2_ADV/CLKFBOUT  n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKFBOUT
+Min Period  n/a     MMCME2_ADV/CLKFBIN   n/a            1.249         10.000      8.751      MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKFBIN
+Max Period  n/a     MMCME2_ADV/CLKFBIN   n/a            100.000       10.000      90.000     MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKFBIN
+Max Period  n/a     MMCME2_ADV/CLKFBOUT  n/a            213.360       10.000      203.360    MMCME2_ADV_X1Y2  clk_1/inst/mmcm_adv_inst/CLKFBOUT
+
+
+
diff --git a/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx
new file mode 100644
index 0000000000000000000000000000000000000000..2871cabb115ade06c5d824b6e06dd88ea7af75ad
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_timing_summary_routed.rpx differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb
new file mode 100644
index 0000000000000000000000000000000000000000..6bf38ddf52649c8defe8e82e86e9d9f890205207
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.pb differ
diff --git a/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..798fc84f17d3b0634274efc44b9e57173fe24e43
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/audioProc_utilization_placed.rpt
@@ -0,0 +1,229 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Mon May 12 08:26:15 2025
+| Host         : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb
+| Design       : audioProc
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
+| Design State : Fully Placed
+---------------------------------------------------------------------------------------------------------------------------------------------
+
+Utilization Design Information
+
+Table of Contents
+-----------------
+1. Slice Logic
+1.1 Summary of Registers by Type
+2. Slice Logic Distribution
+3. Memory
+4. DSP
+5. IO and GT Specific
+6. Clocking
+7. Specific Feature
+8. Primitives
+9. Black Boxes
+10. Instantiated Netlists
+
+1. Slice Logic
+--------------
+
++-------------------------+------+-------+------------+-----------+-------+
+|        Site Type        | Used | Fixed | Prohibited | Available | Util% |
++-------------------------+------+-------+------------+-----------+-------+
+| Slice LUTs              |  531 |     0 |        800 |    133800 |  0.40 |
+|   LUT as Logic          |  531 |     0 |        800 |    133800 |  0.40 |
+|   LUT as Memory         |    0 |     0 |          0 |     46200 |  0.00 |
+| Slice Registers         |  903 |     0 |       1600 |    267600 |  0.34 |
+|   Register as Flip Flop |  893 |     0 |       1600 |    267600 |  0.33 |
+|   Register as Latch     |   10 |     0 |       1600 |    267600 | <0.01 |
+| F7 Muxes                |   64 |     0 |        400 |     66900 |  0.10 |
+| F8 Muxes                |   32 |     0 |        200 |     33450 |  0.10 |
++-------------------------+------+-------+------------+-----------+-------+
+* Warning! LUT value is adjusted to account for LUT combining.
+
+
+1.1 Summary of Registers by Type
+--------------------------------
+
++-------+--------------+-------------+--------------+
+| Total | Clock Enable | Synchronous | Asynchronous |
++-------+--------------+-------------+--------------+
+| 0     |            _ |           - |            - |
+| 0     |            _ |           - |          Set |
+| 0     |            _ |           - |        Reset |
+| 0     |            _ |         Set |            - |
+| 0     |            _ |       Reset |            - |
+| 0     |          Yes |           - |            - |
+| 2     |          Yes |           - |          Set |
+| 642   |          Yes |           - |        Reset |
+| 20    |          Yes |         Set |            - |
+| 239   |          Yes |       Reset |            - |
++-------+--------------+-------------+--------------+
+
+
+2. Slice Logic Distribution
+---------------------------
+
++--------------------------------------------+------+-------+------------+-----------+-------+
+|                  Site Type                 | Used | Fixed | Prohibited | Available | Util% |
++--------------------------------------------+------+-------+------------+-----------+-------+
+| Slice                                      |  263 |     0 |        200 |     33450 |  0.79 |
+|   SLICEL                                   |  162 |     0 |            |           |       |
+|   SLICEM                                   |  101 |     0 |            |           |       |
+| LUT as Logic                               |  531 |     0 |        800 |    133800 |  0.40 |
+|   using O5 output only                     |    0 |       |            |           |       |
+|   using O6 output only                     |  487 |       |            |           |       |
+|   using O5 and O6                          |   44 |       |            |           |       |
+| LUT as Memory                              |    0 |     0 |          0 |     46200 |  0.00 |
+|   LUT as Distributed RAM                   |    0 |     0 |            |           |       |
+|     using O5 output only                   |    0 |       |            |           |       |
+|     using O6 output only                   |    0 |       |            |           |       |
+|     using O5 and O6                        |    0 |       |            |           |       |
+|   LUT as Shift Register                    |    0 |     0 |            |           |       |
+|     using O5 output only                   |    0 |       |            |           |       |
+|     using O6 output only                   |    0 |       |            |           |       |
+|     using O5 and O6                        |    0 |       |            |           |       |
+| Slice Registers                            |  903 |     0 |       1600 |    267600 |  0.34 |
+|   Register driven from within the Slice    |  330 |       |            |           |       |
+|   Register driven from outside the Slice   |  573 |       |            |           |       |
+|     LUT in front of the register is unused |  493 |       |            |           |       |
+|     LUT in front of the register is used   |   80 |       |            |           |       |
+| Unique Control Sets                        |   32 |       |        200 |     33450 |  0.10 |
++--------------------------------------------+------+-------+------------+-----------+-------+
+* * Note: Available Control Sets calculated as Slice * 1, Review the Control Sets Report for more information regarding control sets.
+
+
+3. Memory
+---------
+
++----------------+------+-------+------------+-----------+-------+
+|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
++----------------+------+-------+------------+-----------+-------+
+| Block RAM Tile |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |       730 |  0.00 |
++----------------+------+-------+------------+-----------+-------+
+* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
+
+
+4. DSP
+------
+
++----------------+------+-------+------------+-----------+-------+
+|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
++----------------+------+-------+------------+-----------+-------+
+| DSPs           |    2 |     0 |          0 |       740 |  0.27 |
+|   DSP48E1 only |    2 |       |            |           |       |
++----------------+------+-------+------------+-----------+-------+
+
+
+5. IO and GT Specific
+---------------------
+
++-----------------------------+------+-------+------------+-----------+-------+
+|          Site Type          | Used | Fixed | Prohibited | Available | Util% |
++-----------------------------+------+-------+------------+-----------+-------+
+| Bonded IOB                  |   22 |    22 |          0 |       285 |  7.72 |
+|   IOB Master Pads           |   10 |       |            |           |       |
+|   IOB Slave Pads            |   10 |       |            |           |       |
+| Bonded IPADs                |    0 |     0 |          0 |        14 |  0.00 |
+| Bonded OPADs                |    0 |     0 |          0 |         8 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |        10 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |        10 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        40 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |        10 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       274 |  0.00 |
+| GTPE2_CHANNEL               |    0 |     0 |          0 |         4 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        40 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       500 |  0.00 |
+| IBUFDS_GTE2                 |    0 |     0 |          0 |         2 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
++-----------------------------+------+-------+------------+-----------+-------+
+
+
+6. Clocking
+-----------
+
++------------+------+-------+------------+-----------+-------+
+|  Site Type | Used | Fixed | Prohibited | Available | Util% |
++------------+------+-------+------------+-----------+-------+
+| BUFGCTRL   |    4 |     0 |          0 |        32 | 12.50 |
+| BUFIO      |    0 |     0 |          0 |        40 |  0.00 |
+| MMCME2_ADV |    1 |     0 |          0 |        10 | 10.00 |
+| PLLE2_ADV  |    0 |     0 |          0 |        10 |  0.00 |
+| BUFMRCE    |    0 |     0 |          0 |        20 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |       120 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        40 |  0.00 |
++------------+------+-------+------------+-----------+-------+
+
+
+7. Specific Feature
+-------------------
+
++-------------+------+-------+------------+-----------+-------+
+|  Site Type  | Used | Fixed | Prohibited | Available | Util% |
++-------------+------+-------+------------+-----------+-------+
+| BSCANE2     |    0 |     0 |          0 |         4 |  0.00 |
+| CAPTUREE2   |    0 |     0 |          0 |         1 |  0.00 |
+| DNA_PORT    |    0 |     0 |          0 |         1 |  0.00 |
+| EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
+| FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
+| ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
+| STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
+| XADC        |    0 |     0 |          0 |         1 |  0.00 |
++-------------+------+-------+------------+-----------+-------+
+
+
+8. Primitives
+-------------
+
++------------+------+---------------------+
+|  Ref Name  | Used | Functional Category |
++------------+------+---------------------+
+| FDCE       |  632 |        Flop & Latch |
+| LUT6       |  248 |                 LUT |
+| FDRE       |  239 |        Flop & Latch |
+| LUT2       |  119 |                 LUT |
+| LUT4       |   79 |                 LUT |
+| MUXF7      |   64 |               MuxFx |
+| LUT5       |   52 |                 LUT |
+| LUT1       |   41 |                 LUT |
+| LUT3       |   36 |                 LUT |
+| MUXF8      |   32 |               MuxFx |
+| FDSE       |   20 |        Flop & Latch |
+| CARRY4     |   20 |          CarryLogic |
+| IBUF       |   12 |                  IO |
+| OBUF       |   10 |                  IO |
+| LDCE       |   10 |        Flop & Latch |
+| BUFG       |    4 |               Clock |
+| OBUFT      |    2 |                  IO |
+| FDPE       |    2 |        Flop & Latch |
+| DSP48E1    |    2 |    Block Arithmetic |
+| MMCME2_ADV |    1 |               Clock |
++------------+------+---------------------+
+
+
+9. Black Boxes
+--------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
+10. Instantiated Netlists
+-------------------------
+
++-----------+------+
+|  Ref Name | Used |
++-----------+------+
+| clk_wiz_0 |    1 |
++-----------+------+
+
+
diff --git a/proj/AudioProc.runs/impl_1/clockInfo.txt b/proj/AudioProc.runs/impl_1/clockInfo.txt
new file mode 100644
index 0000000000000000000000000000000000000000..8610948d2ed4fafc5cb2b5a439548d58e406d71c
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/clockInfo.txt
@@ -0,0 +1,10 @@
+-------------------------------------
+| Tool Version : Vivado v.2024.1
+| Date         : Mon May 12 08:26:11 2025
+| Host         : fl-tp-br-543
+| Design       : design_1
+| Device       : xc7a200t-sbg484-1--
+-------------------------------------
+
+For more information on clockInfo.txt clock routing debug file see https://support.xilinx.com/s/article/000035660?language=en_US
+
diff --git a/proj/AudioProc.runs/impl_1/gen_run.xml b/proj/AudioProc.runs/impl_1/gen_run.xml
new file mode 100644
index 0000000000000000000000000000000000000000..80cdb01119bc3ec606387d236f28715208144db0
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/gen_run.xml
@@ -0,0 +1,186 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<GenRun Id="impl_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747030915">
+  <File Type="ROUTE-RQS-RPT" Name="route_report_qor_suggestions_0.rpt"/>
+  <File Type="POSTROUTE-PHYSOPT-RQS" Name="audioProc_postroute_physopted.rqs"/>
+  <File Type="ROUTE-RQS" Name="audioProc_routed.rqs"/>
+  <File Type="RBD_FILE" Name="audioProc.rbd"/>
+  <File Type="NPI_FILE" Name="audioProc.npi"/>
+  <File Type="RNPI_FILE" Name="audioProc.rnpi"/>
+  <File Type="CFI_FILE" Name="audioProc.cfi"/>
+  <File Type="RCFI_FILE" Name="audioProc.rcfi"/>
+  <File Type="PL-PDI-FILE" Name="audioProc_pld.pdi"/>
+  <File Type="BOOT-PDI-FILE" Name="audioProc_boot.pdi"/>
+  <File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="audioProc_methodology_drc_routed.rpx"/>
+  <File Type="ROUTE-DRC-RPX" Name="audioProc_drc_routed.rpx"/>
+  <File Type="ROUTE-METHODOLOGY-DRC-PB" Name="audioProc_methodology_drc_routed.pb"/>
+  <File Type="ROUTE-METHODOLOGY-DRC" Name="audioProc_methodology_drc_routed.rpt"/>
+  <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="audioProc_bus_skew_postroute_physopted.rpx"/>
+  <File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="audioProc_bus_skew_postroute_physopted.pb"/>
+  <File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="audioProc_bus_skew_postroute_physopted.rpt"/>
+  <File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="audioProc_timing_summary_postroute_physopted.rpx"/>
+  <File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="audioProc_timing_summary_postroute_physopted.pb"/>
+  <File Type="POSTROUTE-PHYSOPT-TIMING" Name="audioProc_timing_summary_postroute_physopted.rpt"/>
+  <File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="audioProc_postroute_physopt_bb.dcp"/>
+  <File Type="PLACE-IO" Name="audioProc_io_placed.rpt"/>
+  <File Type="OPT-DRC" Name="audioProc_drc_opted.rpt"/>
+  <File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
+  <File Type="BITSTR-LTX" Name="audioProc.ltx"/>
+  <File Type="BITSTR-SYSDEF" Name="audioProc.sysdef"/>
+  <File Type="POSTROUTE-PHYSOPT-DCP" Name="audioProc_postroute_physopt.dcp"/>
+  <File Type="BITSTR-MMI" Name="audioProc.mmi"/>
+  <File Type="BITSTR-BMM" Name="audioProc_bd.bmm"/>
+  <File Type="PHYSOPT-DCP" Name="audioProc_physopt.dcp"/>
+  <File Type="PLACE-UTIL-PB" Name="audioProc_utilization_placed.pb"/>
+  <File Type="BG-BGN" Name="audioProc.bgn"/>
+  <File Type="OPT-DCP" Name="audioProc_opt.dcp"/>
+  <File Type="OPT-HWDEF" Name="audioProc.hwdef"/>
+  <File Type="POSTROUTE-PHYSOPT-RQS-RPT" Name="postroute_physopt_report_qor_suggestions_0.rpt"/>
+  <File Type="BG-BIT" Name="audioProc.bit"/>
+  <File Type="ROUTE-BUS-SKEW" Name="audioProc_bus_skew_routed.rpt"/>
+  <File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
+  <File Type="PA-TCL" Name="audioProc.tcl"/>
+  <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/>
+  <File Type="PLACE-UTIL" Name="audioProc_utilization_placed.rpt"/>
+  <File Type="BG-DRC" Name="audioProc.drc"/>
+  <File Type="PLACE-CTRL" Name="audioProc_control_sets_placed.rpt"/>
+  <File Type="PDI-FILE" Name="audioProc.pdi"/>
+  <File Type="RDI-RDI" Name="audioProc.vdi"/>
+  <File Type="BG-BIN" Name="audioProc.bin"/>
+  <File Type="PLACE-DCP" Name="audioProc_placed.dcp"/>
+  <File Type="BITSTR-MSK" Name="audioProc.msk"/>
+  <File Type="PWROPT-DCP" Name="audioProc_pwropt.dcp"/>
+  <File Type="PLACE-PRE-SIMILARITY" Name="audioProc_incremental_reuse_pre_placed.rpt"/>
+  <File Type="BITSTR-RBT" Name="audioProc.rbt"/>
+  <File Type="POSTPLACE-PWROPT-DCP" Name="audioProc_postplace_pwropt.dcp"/>
+  <File Type="BITSTR-NKY" Name="audioProc.nky"/>
+  <File Type="ROUTE-DRC" Name="audioProc_drc_routed.rpt"/>
+  <File Type="ROUTE-DRC-PB" Name="audioProc_drc_routed.pb"/>
+  <File Type="ROUTE-PWR" Name="audioProc_power_routed.rpt"/>
+  <File Type="ROUTE-PWR-SUM" Name="audioProc_power_summary_routed.pb"/>
+  <File Type="ROUTE-PWR-RPX" Name="audioProc_power_routed.rpx"/>
+  <File Type="ROUTE-STATUS" Name="audioProc_route_status.rpt"/>
+  <File Type="ROUTE-STATUS-PB" Name="audioProc_route_status.pb"/>
+  <File Type="ROUTE-DCP" Name="audioProc_routed.dcp"/>
+  <File Type="ROUTE-ERROR-DCP" Name="audioProc_routed_error.dcp"/>
+  <File Type="ROUTE-BLACKBOX-DCP" Name="audioProc_routed_bb.dcp"/>
+  <File Type="ROUTE-TIMINGSUMMARY" Name="audioProc_timing_summary_routed.rpt"/>
+  <File Type="ROUTE-TIMING-PB" Name="audioProc_timing_summary_routed.pb"/>
+  <File Type="ROUTE-TIMING-RPX" Name="audioProc_timing_summary_routed.rpx"/>
+  <File Type="ROUTE-SIMILARITY" Name="audioProc_incremental_reuse_routed.rpt"/>
+  <File Type="ROUTE-CLK" Name="audioProc_clock_utilization_routed.rpt"/>
+  <File Type="ROUTE-BUS-SKEW-PB" Name="audioProc_bus_skew_routed.pb"/>
+  <File Type="ROUTE-BUS-SKEW-RPX" Name="audioProc_bus_skew_routed.rpx"/>
+  <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+    <Filter Type="Srcs"/>
+    <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/audio_init.v">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/debounce.v">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/operativeUnit.v">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/TWICtl.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/controlUnit.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/firUnit.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/fir.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/audioProc.v">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd">
+      <FileInfo>
+        <Attr Name="UserDisabled" Val="1"/>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="DesignMode" Val="RTL"/>
+      <Option Name="TopModule" Val="audioProc"/>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
+    <Filter Type="Constrs"/>
+    <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="ConstrsType" Val="XDC"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
+    <Filter Type="Utils"/>
+    <Config>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <Strategy Version="1" Minor="2">
+    <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/>
+    <Step Id="init_design"/>
+    <Step Id="opt_design"/>
+    <Step Id="power_opt_design"/>
+    <Step Id="place_design"/>
+    <Step Id="post_place_power_opt_design"/>
+    <Step Id="phys_opt_design"/>
+    <Step Id="route_design"/>
+    <Step Id="post_route_phys_opt_design"/>
+    <Step Id="write_bitstream">
+      <Option Id="BinFile">1</Option>
+    </Step>
+    <Step Id="write_device_image"/>
+  </Strategy>
+</GenRun>
diff --git a/proj/AudioProc.runs/impl_1/htr.txt b/proj/AudioProc.runs/impl_1/htr.txt
new file mode 100644
index 0000000000000000000000000000000000000000..2498e464293307c0340b7226ed1775e71d1403fc
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/htr.txt
@@ -0,0 +1,10 @@
+#
+# Vivado(TM)
+# htr.txt: a Vivado-generated description of how-to-repeat the
+#          the basic steps of a run.  Note that runme.bat/sh needs
+#          to be invoked for Vivado to track run status.
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+
+vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace
diff --git a/proj/AudioProc.runs/impl_1/init_design.pb b/proj/AudioProc.runs/impl_1/init_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..b514fcb30114f4f915b68b0f0a8decb6419af558
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/init_design.pb differ
diff --git a/proj/AudioProc.runs/impl_1/opt_design.pb b/proj/AudioProc.runs/impl_1/opt_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..cc8a4af272dc7b2411a24f8dc59b0199c054e35d
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/opt_design.pb differ
diff --git a/proj/AudioProc.runs/impl_1/place_design.pb b/proj/AudioProc.runs/impl_1/place_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..da6be56bcbbbdfb5b7d62fe3c8c7670e9447b87d
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/place_design.pb differ
diff --git a/proj/AudioProc.runs/impl_1/project.wdf b/proj/AudioProc.runs/impl_1/project.wdf
new file mode 100644
index 0000000000000000000000000000000000000000..fa3c9127b278af76f0fc79c101b1a644a35ddf1c
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/project.wdf
@@ -0,0 +1,32 @@
+version:1
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:737263736574636f756e74:3131:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f6e73747261696e74736574636f756e74:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64657369676e6d6f6465:52544c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73796e7468657369737374726174656779:466c6f775f506572664f7074696d697a65645f48696768:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:696d706c7374726174656779:56697661646f20496d706c656d656e746174696f6e2044656661756c7473:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e7473796e74686573697372756e:73796e74685f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:63757272656e74696d706c72756e:696d706c5f31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c73796e74686573697372756e73:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:746f74616c696d706c72756e73:31:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:73696d756c61746f725f6c616e6775616765:4d69786564:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f6c616e6775616765:5648444c:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:64656661756c745f6c696272617279:78696c5f64656661756c746c6962:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:7461726765745f73696d756c61746f72:5853696d:00:00
+70726f6a656374:69705f636f72655f636f6e7461696e65725c3c6970636f72656e616d653e5c636c6b5f77697a5f30:636f72655f636f6e7461696e6572:66616c7365:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f7873696d:36:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6c61756e63685f73696d756c6174696f6e5f61637469766568646c:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f7873696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f6d6f64656c73696d:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f717565737461:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f696573:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f766373:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f72697669657261:30:00:00
+70726f6a656374:76697661646f5f75736167655c70726f6a6563745f64617461:6578706f72745f73696d756c6174696f6e5f61637469766568646c:30:00:00
+5f5f48494444454e5f5f:5f5f48494444454e5f5f:50726f6a65637455554944:3961333866303638313736623463393739643765356238376430313235393531:506172656e742050412070726f6a656374204944:00
+eof:3419141839
diff --git a/proj/AudioProc.runs/impl_1/route_design.pb b/proj/AudioProc.runs/impl_1/route_design.pb
new file mode 100644
index 0000000000000000000000000000000000000000..53e23e22690772f5a58db53b67f724662583b598
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/route_design.pb differ
diff --git a/proj/AudioProc.runs/impl_1/rundef.js b/proj/AudioProc.runs/impl_1/rundef.js
new file mode 100644
index 0000000000000000000000000000000000000000..52db31ee15cfd6fce55ef683261e82bb3df928c0
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/rundef.js
@@ -0,0 +1,45 @@
+//
+// Vivado(TM)
+// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+//
+
+echo "This script was generated under a different operating system."
+echo "Please update the PATH variable below, before executing this script"
+exit
+
+var WshShell = new ActiveXObject( "WScript.Shell" );
+var ProcEnv = WshShell.Environment( "Process" );
+var PathVal = ProcEnv("PATH");
+if ( PathVal.length == 0 ) {
+  PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;";
+} else {
+  PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal;
+}
+
+ProcEnv("PATH") = PathVal;
+
+var RDScrFP = WScript.ScriptFullName;
+var RDScrN = WScript.ScriptName;
+var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 );
+var ISEJScriptLib = RDScrDir + "/ISEWrap.js";
+eval( EAInclude(ISEJScriptLib) );
+
+
+// pre-commands:
+ISETouchFile( "init_design", "begin" );
+ISEStep( "vivado",
+         "-log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace" );
+
+
+
+
+
+function EAInclude( EAInclFilename ) {
+  var EAFso = new ActiveXObject( "Scripting.FileSystemObject" );
+  var EAInclFile = EAFso.OpenTextFile( EAInclFilename );
+  var EAIFContents = EAInclFile.ReadAll();
+  EAInclFile.Close();
+  return EAIFContents;
+}
diff --git a/proj/AudioProc.runs/impl_1/runme.bat b/proj/AudioProc.runs/impl_1/runme.bat
new file mode 100644
index 0000000000000000000000000000000000000000..637899f0be2c412b7962cc0b1c6107b95c906461
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/runme.bat
@@ -0,0 +1,12 @@
+@echo off
+
+rem  Vivado (TM)
+rem  runme.bat: a Vivado-generated Script
+rem  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+rem  Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+set HD_SDIR=%~dp0
+cd /d "%HD_SDIR%"
+set PATH=%SYSTEMROOT%\system32;%PATH%
+cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %*
diff --git a/proj/AudioProc.runs/impl_1/runme.log b/proj/AudioProc.runs/impl_1/runme.log
new file mode 100644
index 0000000000000000000000000000000000000000..0eeaa6cfc34704979e5bd0e960dbbcf59b004cfd
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/runme.log
@@ -0,0 +1,753 @@
+
+*** Running vivado
+    with args -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace
+
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+
+****** Vivado v2024.1 (64-bit)
+  **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+  **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+  **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+  **** Start of session at: Mon May 12 08:24:19 2025
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+    ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+source audioProc.tcl -notrace
+create_project: Time (s): cpu = 00:00:25 ; elapsed = 00:00:41 . Memory (MB): peak = 1680.555 ; gain = 325.840 ; free physical = 1461 ; free virtual = 14195
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+Command: link_design -top audioProc -part xc7a200tsbg484-1
+Design is defaulting to srcset: sources_1
+Design is defaulting to constrset: constrs_1
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+INFO: [Project 1-454] Reading design checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.dcp' for cell 'clk_1'
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2097.836 ; gain = 0.000 ; free physical = 1036 ; free virtual = 13771
+INFO: [Netlist 29-17] Analyzing 121 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2015.3
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst'
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc] for cell 'clk_1/inst'
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst'
+INFO: [Timing 38-35] Done setting XDC timing constraints. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc:56]
+INFO: [Timing 38-2] Deriving generated clocks [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc:56]
+get_clocks: Time (s): cpu = 00:00:08 ; elapsed = 00:00:10 . Memory (MB): peak = 2743.359 ; gain = 552.930 ; free physical = 452 ; free virtual = 13206
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc] for cell 'clk_1/inst'
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]
+INFO: [Project 1-538] Using original IP XDC constraints instead of the XDC constraints in dcp '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.dcp'
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 2743.359 ; gain = 0.000 ; free physical = 452 ; free virtual = 13206
+INFO: [Project 1-111] Unisim Transformation Summary:
+  A total of 2 instances were transformed.
+  IOBUF => IOBUF (IBUF, OBUFT): 2 instances
+
+14 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+link_design completed successfully
+link_design: Time (s): cpu = 00:00:17 ; elapsed = 00:00:29 . Memory (MB): peak = 2743.359 ; gain = 1047.961 ; free physical = 452 ; free virtual = 13206
+Command: opt_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+Running DRC as a precondition to command opt_design
+
+Starting DRC Task
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Project 1-461] DRC finished with 0 Errors
+INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information.
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 2753.266 ; gain = 9.906 ; free physical = 431 ; free virtual = 13186
+
+Starting Cache Timing Information Task
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Ending Cache Timing Information Task | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.18 ; elapsed = 00:00:00.15 . Memory (MB): peak = 2765.172 ; gain = 11.906 ; free physical = 431 ; free virtual = 13186
+
+Starting Logic Optimization Task
+
+Phase 1 Initialization
+
+Phase 1.1 Core Generation And Design Setup
+Phase 1.1 Core Generation And Design Setup | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894
+
+Phase 1.2 Setup Constraints And Sort Netlist
+Phase 1.2 Setup Constraints And Sort Netlist | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894
+Phase 1 Initialization | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894
+
+Phase 2 Timer Update And Timing Data Collection
+
+Phase 2.1 Timer Update
+Phase 2.1 Timer Update | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.1 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 235 ; free virtual = 12894
+
+Phase 2.2 Timing Data Collection
+Phase 2.2 Timing Data Collection | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12899
+Phase 2 Timer Update And Timing Data Collection | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.11 ; elapsed = 00:00:00.08 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12899
+
+Phase 3 Retarget
+INFO: [Opt 31-1834] Total Chains To Be Transformed Were: 0 AND Number of Transformed insts Created are: 0
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+INFO: [Opt 31-49] Retargeted 0 cell(s).
+Phase 3 Retarget | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.15 ; elapsed = 00:00:00.12 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12898
+Retarget | Checksum: 27a691c2e
+INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 0 cells
+INFO: [Opt 31-1021] In phase Retarget, 1 netlist objects are constrained preventing optimization. Please run opt_design with -debug_log to get more detail. 
+
+Phase 4 Constant propagation
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Phase 4 Constant propagation | Checksum: 27a691c2e
+
+Time (s): cpu = 00:00:00.17 ; elapsed = 00:00:00.15 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 239 ; free virtual = 12898
+Constant propagation | Checksum: 27a691c2e
+INFO: [Opt 31-389] Phase Constant propagation created 0 cells and removed 0 cells
+
+Phase 5 Sweep
+Phase 5 Sweep | Checksum: 2f081e065
+
+Time (s): cpu = 00:00:00.19 ; elapsed = 00:00:00.18 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Sweep | Checksum: 2f081e065
+INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 1 cells
+
+Phase 6 BUFG optimization
+INFO: [Opt 31-274] Optimized connectivity to 2 cascaded buffer cells
+Phase 6 BUFG optimization | Checksum: 254f45935
+
+Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.22 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+BUFG optimization | Checksum: 254f45935
+INFO: [Opt 31-662] Phase BUFG optimization created 0 cells of which 0 are BUFGs and removed 2 cells.
+
+Phase 7 Shift Register Optimization
+INFO: [Opt 31-1064] SRL Remap converted 0 SRLs to 0 registers and converted 0 registers of register chains to 0 SRLs
+Phase 7 Shift Register Optimization | Checksum: 254f45935
+
+Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.23 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Shift Register Optimization | Checksum: 254f45935
+INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells
+
+Phase 8 Post Processing Netlist
+Phase 8 Post Processing Netlist | Checksum: 27a0b14a7
+
+Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.25 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Post Processing Netlist | Checksum: 27a0b14a7
+INFO: [Opt 31-389] Phase Post Processing Netlist created 0 cells and removed 0 cells
+
+Phase 9 Finalization
+
+Phase 9.1 Finalizing Design Cores and Updating Shapes
+Phase 9.1 Finalizing Design Cores and Updating Shapes | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.3 ; elapsed = 00:00:00.31 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+
+Phase 9.2 Verifying Netlist Connectivity
+
+Starting Connectivity Check Task
+
+Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Phase 9.2 Verifying Netlist Connectivity | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Phase 9 Finalization | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.32 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Opt_design Change Summary
+=========================
+
+
+-------------------------------------------------------------------------------------------------------------------------
+|  Phase                        |  #Cells created  |  #Cells Removed  |  #Constrained objects preventing optimizations  |
+-------------------------------------------------------------------------------------------------------------------------
+|  Retarget                     |               0  |               0  |                                              1  |
+|  Constant propagation         |               0  |               0  |                                              0  |
+|  Sweep                        |               0  |               1  |                                              0  |
+|  BUFG optimization            |               0  |               2  |                                              0  |
+|  Shift Register Optimization  |               0  |               0  |                                              0  |
+|  Post Processing Netlist      |               0  |               0  |                                              0  |
+-------------------------------------------------------------------------------------------------------------------------
+
+
+Ending Logic Optimization Task | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.33 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+
+Starting Power Optimization Task
+INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns.
+Ending Power Optimization Task | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.04 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+
+Starting Final Cleanup Task
+Ending Final Cleanup Task | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+
+Starting Netlist Obfuscation Task
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+Ending Netlist Obfuscation Task | Checksum: 2105fe3c5
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3067.070 ; gain = 0.000 ; free physical = 244 ; free virtual = 12903
+INFO: [Common 17-83] Releasing license: Implementation
+34 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+opt_design completed successfully
+INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx
+Command: report_drc -file audioProc_drc_opted.rpt -pb audioProc_drc_opted.pb -rpx audioProc_drc_opted.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_drc_opted.rpt.
+report_drc completed successfully
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 213 ; free virtual = 12873
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Wrote PlaceDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 212 ; free virtual = 12873
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 212 ; free virtual = 12873
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.09 ; elapsed = 00:00:00.06 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 211 ; free virtual = 12872
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 211 ; free virtual = 12872
+Wrote Device Cache: Time (s): cpu = 00:00:00.04 ; elapsed = 00:00:00.05 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 210 ; free virtual = 12872
+Write Physdb Complete: Time (s): cpu = 00:00:00.2 ; elapsed = 00:00:00.17 . Memory (MB): peak = 3115.094 ; gain = 0.000 ; free physical = 210 ; free virtual = 12872
+INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_opt.dcp' has been generated.
+Command: place_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-83] Releasing license: Implementation
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+Running DRC as a precondition to command place_design
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 4 CPUs
+
+Starting Placer Task
+
+Phase 1 Placer Initialization
+
+Phase 1.1 Placer Initialization Netlist Sorting
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917
+Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 1971e65b5
+
+Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.03 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 260 ; free virtual = 12917
+
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1d834e537
+
+Time (s): cpu = 00:00:00.69 ; elapsed = 00:00:00.67 . Memory (MB): peak = 3116.863 ; gain = 0.000 ; free physical = 254 ; free virtual = 12915
+
+Phase 1.3 Build Placer Netlist Model
+Phase 1.3 Build Placer Netlist Model | Checksum: 24479b66e
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 250 ; free virtual = 12914
+
+Phase 1.4 Constrain Clocks/Macros
+Phase 1.4 Constrain Clocks/Macros | Checksum: 24479b66e
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 250 ; free virtual = 12914
+Phase 1 Placer Initialization | Checksum: 24479b66e
+
+Time (s): cpu = 00:00:01 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12912
+
+Phase 2 Global Placement
+
+Phase 2.1 Floorplanning
+Phase 2.1 Floorplanning | Checksum: 1f0769a16
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913
+
+Phase 2.2 Update Timing before SLR Path Opt
+Phase 2.2 Update Timing before SLR Path Opt | Checksum: 2d5cde647
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913
+
+Phase 2.3 Post-Processing in Floorplanning
+Phase 2.3 Post-Processing in Floorplanning | Checksum: 2d5cde647
+
+Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 3119.875 ; gain = 3.012 ; free physical = 248 ; free virtual = 12913
+
+Phase 2.4 Global Placement Core
+
+Phase 2.4.1 UpdateTiming Before Physical Synthesis
+Phase 2.4.1 UpdateTiming Before Physical Synthesis | Checksum: 24e71af8c
+
+Time (s): cpu = 00:00:04 ; elapsed = 00:00:03 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 214 ; free virtual = 12879
+
+Phase 2.4.2 Physical Synthesis In Placer
+INFO: [Physopt 32-1035] Found 0 LUTNM shape to break, 96 LUT instances to create LUTNM shape
+INFO: [Physopt 32-1044] Break lutnm for timing: one critical 0, two critical 0, total 0, new lutff created 0
+INFO: [Physopt 32-1138] End 1 Pass. Optimized 44 nets or LUTs. Breaked 0 LUT, combined 44 existing LUTs and moved 0 existing LUT
+INFO: [Physopt 32-65] No nets found for high-fanout optimization.
+INFO: [Physopt 32-232] Optimized 0 net. Created 0 new instance.
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+INFO: [Physopt 32-670] No setup violation found.  DSP Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register to Pipeline Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  Shift Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  BRAM Register Optimization was not performed.
+INFO: [Physopt 32-670] No setup violation found.  URAM Register Optimization was not performed.
+INFO: [Physopt 32-949] No candidate nets found for dynamic/static region interface net replication
+INFO: [Physopt 32-775] End 1 Pass. Optimized 0 net or cell. Created 0 new cell, deleted 0 existing cell and moved 0 existing cell
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3127.879 ; gain = 0.000 ; free physical = 218 ; free virtual = 12886
+
+Summary of Physical Synthesis Optimizations
+============================================
+
+
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  Optimization                                     |  Added Cells  |  Removed Cells  |  Optimized Cells/Nets  |  Dont Touch  |  Iterations  |  Elapsed   |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+|  LUT Combining                                    |            0  |             44  |                    44  |           0  |           1  |  00:00:00  |
+|  Retime                                           |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Very High Fanout                                 |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  DSP Register                                     |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register to Pipeline                       |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Shift Register                                   |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  BRAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  URAM Register                                    |            0  |              0  |                     0  |           0  |           0  |  00:00:00  |
+|  Dynamic/Static Region Interface Net Replication  |            0  |              0  |                     0  |           0  |           1  |  00:00:00  |
+|  Total                                            |            0  |             44  |                    44  |           0  |           4  |  00:00:00  |
+-----------------------------------------------------------------------------------------------------------------------------------------------------------
+
+
+Phase 2.4.2 Physical Synthesis In Placer | Checksum: 2d955f418
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:03 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 218 ; free virtual = 12886
+Phase 2.4 Global Placement Core | Checksum: 24d73e065
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883
+Phase 2 Global Placement | Checksum: 24d73e065
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883
+
+Phase 3 Detail Placement
+
+Phase 3.1 Commit Multi Column Macros
+Phase 3.1 Commit Multi Column Macros | Checksum: 23d657603
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12883
+
+Phase 3.2 Commit Most Macros & LUTRAMs
+Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 22513e1c8
+
+Time (s): cpu = 00:00:05 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880
+
+Phase 3.3 Area Swap Optimization
+Phase 3.3 Area Swap Optimization | Checksum: 1ea1af04a
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880
+
+Phase 3.4 Pipeline Register Optimization
+Phase 3.4 Pipeline Register Optimization | Checksum: 178715a17
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 215 ; free virtual = 12880
+
+Phase 3.5 Small Shape Detail Placement
+Phase 3.5 Small Shape Detail Placement | Checksum: 2d4f2065c
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877
+
+Phase 3.6 Re-assign LUT pins
+Phase 3.6 Re-assign LUT pins | Checksum: 1f22d608d
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877
+
+Phase 3.7 Pipeline Register Optimization
+Phase 3.7 Pipeline Register Optimization | Checksum: 146f8e4d1
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877
+Phase 3 Detail Placement | Checksum: 146f8e4d1
+
+Time (s): cpu = 00:00:06 ; elapsed = 00:00:04 . Memory (MB): peak = 3127.879 ; gain = 11.016 ; free physical = 211 ; free virtual = 12877
+
+Phase 4 Post Placement Optimization and Clean-Up
+
+Phase 4.1 Post Commit Optimization
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+
+Phase 4.1.1 Post Placement Optimization
+Post Placement Optimization Initialization | Checksum: 236af2095
+
+Phase 4.1.1.1 BUFG Insertion
+
+Starting Physical Synthesis Task
+
+Phase 1 Physical Synthesis Initialization
+INFO: [Physopt 32-721] Multithreading enabled for phys_opt_design using a maximum of 4 CPUs
+INFO: [Physopt 32-619] Estimated Timing Summary | WNS=0.794 | TNS=0.000 |
+Phase 1 Physical Synthesis Initialization | Checksum: 2004c68b1
+
+Time (s): cpu = 00:00:00.22 ; elapsed = 00:00:00.11 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876
+INFO: [Place 46-56] BUFG insertion identified 0 candidate nets. Inserted BUFG: 0, Replicated BUFG Driver: 0, Skipped due to Placement/Routing Conflicts: 0, Skipped due to Timing Degradation: 0, Skipped due to netlist editing failed: 0.
+Ending Physical Synthesis Task | Checksum: 1bfcb37d3
+
+Time (s): cpu = 00:00:00.24 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876
+Phase 4.1.1.1 BUFG Insertion | Checksum: 236af2095
+
+Time (s): cpu = 00:00:07 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Phase 4.1.1.2 Post Placement Timing Optimization
+INFO: [Place 30-746] Post Placement Timing Summary WNS=0.794. For the most accurate timing information please run report_timing.
+Phase 4.1.1.2 Post Placement Timing Optimization | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+Phase 4.1 Post Commit Optimization | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Phase 4.2 Post Placement Cleanup
+Phase 4.2 Post Placement Cleanup | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Phase 4.3 Placer Reporting
+
+Phase 4.3.1 Print Estimated Congestion
+INFO: [Place 30-612] Post-Placement Estimated Congestion 
+ ____________________________________________________
+|           | Global Congestion | Short Congestion  |
+| Direction | Region Size       | Region Size       |
+|___________|___________________|___________________|
+|      North|                1x1|                1x1|
+|___________|___________________|___________________|
+|      South|                1x1|                1x1|
+|___________|___________________|___________________|
+|       East|                1x1|                1x1|
+|___________|___________________|___________________|
+|       West|                1x1|                1x1|
+|___________|___________________|___________________|
+
+Phase 4.3.1 Print Estimated Congestion | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+Phase 4.3 Placer Reporting | Checksum: 242e1e100
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+
+Phase 4.4 Final Placement Cleanup
+Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 210 ; free virtual = 12876
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1c155315a
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+Ending Placer Task | Checksum: c4fd0a1d
+
+Time (s): cpu = 00:00:08 ; elapsed = 00:00:05 . Memory (MB): peak = 3140.688 ; gain = 23.824 ; free physical = 210 ; free virtual = 12876
+69 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+place_design completed successfully
+place_design: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 3140.688 ; gain = 25.594 ; free physical = 210 ; free virtual = 12876
+INFO: [Vivado 12-24838] Running report commands "report_control_sets, report_io, report_utilization" in parallel.
+Running report generation with 3 threads.
+INFO: [Vivado 12-24828] Executing command : report_control_sets -verbose -file audioProc_control_sets_placed.rpt
+report_control_sets: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.09 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 324 ; free virtual = 12891
+INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_placed.rpt -pb audioProc_utilization_placed.pb
+INFO: [Vivado 12-24828] Executing command : report_io -file audioProc_io_placed.rpt
+report_io: Time (s): cpu = 00:00:00.29 ; elapsed = 00:00:00.25 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 303 ; free virtual = 12870
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Write ShapeDB Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 303 ; free virtual = 12870
+Wrote PlaceDB: Time (s): cpu = 00:00:00.25 ; elapsed = 00:00:00.2 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12865
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12865
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.02 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.07 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867
+Write Physdb Complete: Time (s): cpu = 00:00:00.31 ; elapsed = 00:00:00.41 . Memory (MB): peak = 3140.688 ; gain = 0.000 ; free physical = 296 ; free virtual = 12867
+INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_placed.dcp' has been generated.
+Command: route_design
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+Running DRC as a precondition to command route_design
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors
+INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information.
+
+
+Starting Routing Task
+INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 4 CPUs
+
+Phase 1 Build RT Design
+Checksum: PlaceDB: 17894a90 ConstDB: 0 ShapeSum: 1558d429 RouteDB: 981aeb64
+Post Restoration Checksum: NetGraph: a8773583 | NumContArr: fe331ce0 | Constraints: c2a8fa9d | Timing: c2a8fa9d
+Phase 1 Build RT Design | Checksum: 32bfc479d
+
+Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657
+
+Phase 2 Router Initialization
+
+Phase 2.1 Fix Topology Constraints
+Phase 2.1 Fix Topology Constraints | Checksum: 32bfc479d
+
+Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657
+
+Phase 2.2 Pre Route Cleanup
+Phase 2.2 Pre Route Cleanup | Checksum: 32bfc479d
+
+Time (s): cpu = 00:00:55 ; elapsed = 00:00:46 . Memory (MB): peak = 3338.617 ; gain = 173.918 ; free physical = 284 ; free virtual = 12657
+ Number of Nodes with overlaps = 0
+
+Phase 2.3 Update Timing
+Phase 2.3 Update Timing | Checksum: 2d1d4910a
+
+Time (s): cpu = 00:00:57 ; elapsed = 00:00:48 . Memory (MB): peak = 3387.594 ; gain = 222.895 ; free physical = 239 ; free virtual = 12612
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.803  | TNS=0.000  | WHS=-0.144 | THS=-22.944|
+
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0.000182205 %
+  Global Horizontal Routing Utilization  = 0.000165235 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 1211
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 1201
+  Number of Partially Routed Nets     = 10
+  Number of Node Overlaps             = 11
+
+Phase 2 Router Initialization | Checksum: 269f51fe2
+
+Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605
+
+Phase 3 Global Routing
+Phase 3 Global Routing | Checksum: 269f51fe2
+
+Time (s): cpu = 00:00:58 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605
+
+Phase 4 Initial Routing
+
+Phase 4.1 Initial Net Routing Pass
+Phase 4.1 Initial Net Routing Pass | Checksum: 2c245566f
+
+Time (s): cpu = 00:00:59 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605
+Phase 4 Initial Routing | Checksum: 2c245566f
+
+Time (s): cpu = 00:00:59 ; elapsed = 00:00:48 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 231 ; free virtual = 12605
+
+Phase 5 Rip-up And Reroute
+
+Phase 5.1 Global Iteration 0
+ Number of Nodes with overlaps = 238
+ Number of Nodes with overlaps = 126
+ Number of Nodes with overlaps = 68
+ Number of Nodes with overlaps = 32
+ Number of Nodes with overlaps = 10
+ Number of Nodes with overlaps = 6
+ Number of Nodes with overlaps = 2
+ Number of Nodes with overlaps = 0
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.534  | TNS=0.000  | WHS=N/A    | THS=N/A    |
+
+Phase 5.1 Global Iteration 0 | Checksum: 2abe36016
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+Phase 5 Rip-up And Reroute | Checksum: 2abe36016
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 6 Delay and Skew Optimization
+
+Phase 6.1 Delay CleanUp
+
+Phase 6.1.1 Update Timing
+Phase 6.1.1 Update Timing | Checksum: 2efa28e2c
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.613  | TNS=0.000  | WHS=N/A    | THS=N/A    |
+
+Phase 6.1 Delay CleanUp | Checksum: 2efa28e2c
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 6.2 Clock Skew Optimization
+Phase 6.2 Clock Skew Optimization | Checksum: 2efa28e2c
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+Phase 6 Delay and Skew Optimization | Checksum: 2efa28e2c
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 7 Post Hold Fix
+
+Phase 7.1 Hold Fix Iter
+INFO: [Route 35-416] Intermediate Timing Summary | WNS=0.613  | TNS=0.000  | WHS=0.107  | THS=0.000  |
+
+Phase 7.1 Hold Fix Iter | Checksum: 2486ccefa
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+Phase 7 Post Hold Fix | Checksum: 2486ccefa
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 8 Route finalize
+
+Router Utilization Summary
+  Global Vertical Routing Utilization    = 0.0942403 %
+  Global Horizontal Routing Utilization  = 0.118209 %
+  Routable Net Status*
+  *Does not include unroutable nets such as driverless and loadless.
+  Run report_route_status for detailed report.
+  Number of Failed Nets               = 0
+    (Failed Nets is the sum of unrouted and partially routed nets)
+  Number of Unrouted Nets             = 0
+  Number of Partially Routed Nets     = 0
+  Number of Node Overlaps             = 0
+
+Phase 8 Route finalize | Checksum: 2486ccefa
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 9 Verifying routed nets
+
+ Verification completed successfully
+Phase 9 Verifying routed nets | Checksum: 2486ccefa
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:51 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 10 Depositing Routes
+Phase 10 Depositing Routes | Checksum: 16786fc76
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 11 Post Process Routing
+Phase 11 Post Process Routing | Checksum: 16786fc76
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Phase 12 Post Router Timing
+INFO: [Route 35-57] Estimated Timing Summary | WNS=0.613  | TNS=0.000  | WHS=0.107  | THS=0.000  |
+
+INFO: [Route 35-327] The final timing numbers are based on the router estimated timing analysis. For a complete and accurate timing signoff, please run report_timing_summary.
+Phase 12 Post Router Timing | Checksum: 16786fc76
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+Total Elapsed time in route_design: 51.57 secs
+
+Phase 13 Post-Route Event Processing
+Phase 13 Post-Route Event Processing | Checksum: d2e3295b
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+INFO: [Route 35-16] Router Completed Successfully
+Ending Routing Task | Checksum: d2e3295b
+
+Time (s): cpu = 00:01:03 ; elapsed = 00:00:52 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+
+Routing Is Done.
+INFO: [Common 17-83] Releasing license: Implementation
+88 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+route_design completed successfully
+route_design: Time (s): cpu = 00:01:05 ; elapsed = 00:00:53 . Memory (MB): peak = 3394.984 ; gain = 230.285 ; free physical = 222 ; free virtual = 12596
+INFO: [Vivado 12-24828] Executing command : report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx
+Command: report_drc -file audioProc_drc_routed.rpt -pb audioProc_drc_routed.pb -rpx audioProc_drc_routed.rpx
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 4 threads
+INFO: [Vivado_Tcl 2-168] The results of DRC are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_drc_routed.rpt.
+report_drc completed successfully
+INFO: [Vivado 12-24828] Executing command : report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx
+Command: report_methodology -file audioProc_methodology_drc_routed.rpt -pb audioProc_methodology_drc_routed.pb -rpx audioProc_methodology_drc_routed.rpx
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [DRC 23-133] Running Methodology with 4 threads
+INFO: [Vivado_Tcl 2-1520] The results of Report Methodology are in file /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_methodology_drc_routed.rpt.
+report_methodology completed successfully
+INFO: [Vivado 12-24828] Executing command : report_timing_summary -max_paths 10 -file audioProc_timing_summary_routed.rpt -pb audioProc_timing_summary_routed.pb -rpx audioProc_timing_summary_routed.rpx -warn_on_violation 
+INFO: [Timing 38-35] Done setting XDC timing constraints.
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
+INFO: [Vivado 12-24838] Running report commands "report_bus_skew, report_incremental_reuse, report_route_status" in parallel.
+Running report generation with 3 threads.
+INFO: [Vivado 12-24828] Executing command : report_incremental_reuse -file audioProc_incremental_reuse_routed.rpt
+INFO: [Vivado_Tcl 4-1062] Incremental flow is disabled. No incremental reuse Info to report.
+INFO: [Vivado 12-24828] Executing command : report_route_status -file audioProc_route_status.rpt -pb audioProc_route_status.pb
+INFO: [Vivado 12-24828] Executing command : report_bus_skew -warn_on_violation -file audioProc_bus_skew_routed.rpt -pb audioProc_bus_skew_routed.pb -rpx audioProc_bus_skew_routed.rpx
+INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max.
+INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 4 CPUs
+INFO: [Vivado 12-24828] Executing command : report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx
+Command: report_power -file audioProc_power_routed.rpt -pb audioProc_power_summary_routed.pb -rpx audioProc_power_routed.rpx
+Running Vector-less Activity Propagation...
+
+Finished Running Vector-less Activity Propagation
+108 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered.
+report_power completed successfully
+INFO: [Vivado 12-24828] Executing command : report_clock_utilization -file audioProc_clock_utilization_routed.rpt
+WARNING: [Device 21-9320] Failed to find the Oracle tile group with name 'HSR_BOUNDARY_TOP'. This is required for Clock regions and Virtual grid.
+WARNING: [Device 21-2174] Failed to initialize Virtual grid.
+INFO: [Timing 38-480] Writing timing data to binary archive.
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 306 ; free virtual = 12583
+Wrote PlaceDB: Time (s): cpu = 00:00:00.34 ; elapsed = 00:00:00.43 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583
+Wrote PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583
+Writing XDEF routing.
+Writing XDEF routing logical nets.
+Writing XDEF routing special nets.
+Wrote RouteStorage: Time (s): cpu = 00:00:00.07 ; elapsed = 00:00:00.13 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 304 ; free virtual = 12583
+Wrote Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 303 ; free virtual = 12583
+Wrote Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 303 ; free virtual = 12583
+Write Physdb Complete: Time (s): cpu = 00:00:00.43 ; elapsed = 00:00:00.66 . Memory (MB): peak = 3451.012 ; gain = 0.000 ; free physical = 302 ; free virtual = 12582
+INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc_routed.dcp' has been generated.
+Command: write_bitstream -force audioProc.bit -bin_file
+Attempting to get a license for feature 'Implementation' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a200t'
+Running DRC as a precondition to command write_bitstream
+INFO: [IP_Flow 19-1839] IP Catalog is up to date.
+INFO: [DRC 23-27] Running DRC with 4 threads
+WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design.  Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0.  It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax:
+
+ set_property CFGBVS value1 [current_design]
+ #where value1 is either VCCO or GND
+
+ set_property CONFIG_VOLTAGE value2 [current_design]
+ #where value2 is the voltage provided to configuration bank 0
+
+Refer to the device configuration user guide for more information.
+WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult input leftFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/A[29:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/B[17:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPIP-1] Input pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult input rightFir/firUnit_1/operativeUnit_1/SC_addResult/C[47:0] is not pipelined. Pipelining DSP48 input will improve performance.
+WARNING: [DRC DPOP-1] PREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult output leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function.  If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function.  If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.
+WARNING: [DRC DPOP-1] PREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult output rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (PREG=0). Pipelining the DSP48 output will improve performance and often saves power so it is suggested whenever possible to fully pipeline this function.  If this DSP48 function was inferred, it is suggested to describe an additional register stage after this function.  If the DSP48 was instantiated in the design, it is suggested to set the PREG attribute to 1.
+WARNING: [DRC DPOP-2] MREG Output pipelining: DSP leftFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage leftFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function.  If this multiplier was inferred, it is suggested to describe an additional register stage after this function.  If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used.  If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions.
+WARNING: [DRC DPOP-2] MREG Output pipelining: DSP rightFir/firUnit_1/operativeUnit_1/SC_addResult multiplier stage rightFir/firUnit_1/operativeUnit_1/SC_addResult/P[47:0] is not pipelined (MREG=0). Pipelining the multiplier function will improve performance and will save significant power so it is suggested whenever possible to fully pipeline this function.  If this multiplier was inferred, it is suggested to describe an additional register stage after this function.  If there is no registered adder/accumulator following the multiply function, two pipeline stages are suggested to allow both the MREG and PREG registers to be used.  If the DSP48 was instantiated in the design, it is suggested to set both the MREG and PREG attributes to 1 when performing multiply functions.
+WARNING: [DRC PDRC-153] Gated clock check: Net leftFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin leftFir/firUnit_1/controlUnit_1/__2/i_/O, cell leftFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.
+WARNING: [DRC PDRC-153] Gated clock check: Net rightFir/firUnit_1/controlUnit_1/SR_nextState is a gated clock net sourced by a combinational pin rightFir/firUnit_1/controlUnit_1/__2/i_/O, cell rightFir/firUnit_1/controlUnit_1/__2/i_. This is not good design practice and will likely impact performance. For SLICE registers, for example, use the CE pin to control the loading of data.
+INFO: [Vivado 12-3199] DRC finished with 0 Errors, 13 Warnings
+INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information.
+INFO: [Designutils 20-2272] Running write_bitstream with 4 threads.
+Loading data files...
+Loading site data...
+Loading route data...
+Processing options...
+Creating bitmap...
+Creating bitstream...
+Writing bitstream ./audioProc.bit...
+Writing bitstream ./audioProc.bin...
+INFO: [Vivado 12-1842] Bitgen Completed Successfully.
+INFO: [Common 17-83] Releasing license: Implementation
+119 Infos, 19 Warnings, 0 Critical Warnings and 0 Errors encountered.
+write_bitstream completed successfully
+write_bitstream: Time (s): cpu = 00:00:19 ; elapsed = 00:00:19 . Memory (MB): peak = 3774.523 ; gain = 323.512 ; free physical = 224 ; free virtual = 12235
+INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:27:35 2025...
diff --git a/proj/AudioProc.runs/impl_1/runme.sh b/proj/AudioProc.runs/impl_1/runme.sh
new file mode 100755
index 0000000000000000000000000000000000000000..66c827a9ed8f4925c36c501767bffb9910b6577a
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/runme.sh
@@ -0,0 +1,44 @@
+#!/bin/sh
+
+# 
+# Vivado(TM)
+# runme.sh: a Vivado-generated Runs Script for UNIX
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+# 
+
+if [ -z "$PATH" ]; then
+  PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
+else
+  PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH
+fi
+export PATH
+
+if [ -z "$LD_LIBRARY_PATH" ]; then
+  LD_LIBRARY_PATH=
+else
+  LD_LIBRARY_PATH=:$LD_LIBRARY_PATH
+fi
+export LD_LIBRARY_PATH
+
+HD_PWD='/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1'
+cd "$HD_PWD"
+
+HD_LOG=runme.log
+/bin/touch $HD_LOG
+
+ISEStep="./ISEWrap.sh"
+EAStep()
+{
+     $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1
+     if [ $? -ne 0 ]
+     then
+         exit
+     fi
+}
+
+# pre-commands:
+/bin/touch .init_design.begin.rst
+EAStep vivado -log audioProc.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace
+
+
diff --git a/proj/AudioProc.runs/impl_1/vivado.jou b/proj/AudioProc.runs/impl_1/vivado.jou
new file mode 100644
index 0000000000000000000000000000000000000000..39208150584cf5890fffe2633657045c6bdb2981
--- /dev/null
+++ b/proj/AudioProc.runs/impl_1/vivado.jou
@@ -0,0 +1,24 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:24:19 2025
+# Process ID: 382686
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1
+# Command line: vivado -log audioProc.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source audioProc.tcl -notrace
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.vdi
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :2194.837 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :15605 MB
+#-----------------------------------------------------------
+source audioProc.tcl -notrace
diff --git a/proj/AudioProc.runs/impl_1/vivado.pb b/proj/AudioProc.runs/impl_1/vivado.pb
new file mode 100644
index 0000000000000000000000000000000000000000..fa7a23fa106a03a7eb8f5144bb0f84f3ee537fd3
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/vivado.pb differ
diff --git a/proj/AudioProc.runs/impl_1/write_bitstream.pb b/proj/AudioProc.runs/impl_1/write_bitstream.pb
new file mode 100644
index 0000000000000000000000000000000000000000..8c5a7900054f2d3bd80e673a3aca954a45670c05
Binary files /dev/null and b/proj/AudioProc.runs/impl_1/write_bitstream.pb differ
diff --git a/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst b/proj/AudioProc.runs/synth_1/.Vivado_Synthesis.queue.rst
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc
new file mode 100644
index 0000000000000000000000000000000000000000..1cb779da8033970cbca7fbdab8884dba8be72a94
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/.Xil/audioProc_propImpl.xdc
@@ -0,0 +1,55 @@
+set_property SRC_FILE_INFO {cfile:{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc} rfile:../../../../src/constraints/NexysVideo_Master.xdc id:1} [current_design]
+set_property src_info {type:XDC file:1 line:8 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN R4 IOSTANDARD LVCMOS33} [get_ports CLK100MHZ]
+set_property src_info {type:XDC file:1 line:14 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN T16 IOSTANDARD LVCMOS25} [get_ports { led2 }];
+set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN U16 IOSTANDARD LVCMOS25} [get_ports { led3 }];#[get_ports {LED[3]}]
+set_property src_info {type:XDC file:1 line:16 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS25} [get_ports { led4 }];#[get_ports {LED[4]}]
+set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN W16 IOSTANDARD LVCMOS25} [get_ports { led5 }];#[get_ports {LED[5]}]
+set_property src_info {type:XDC file:1 line:18 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN W15 IOSTANDARD LVCMOS25} [get_ports { led6 }];#[get_ports {LED[6]}]
+set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN Y13 IOSTANDARD LVCMOS25} [get_ports { led7 }];#[get_ports {LED[7]}]
+set_property src_info {type:XDC file:1 line:23 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN B22 IOSTANDARD LVCMOS33} [get_ports BTNC]
+set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN D22 IOSTANDARD LVCMOS33} [get_ports BTND]
+set_property src_info {type:XDC file:1 line:25 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN C22 IOSTANDARD LVCMOS33} [get_ports BTNL]
+set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN D14 IOSTANDARD LVCMOS33} [get_ports BTNR]
+set_property src_info {type:XDC file:1 line:27 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN F15 IOSTANDARD LVCMOS33} [get_ports BTNU]
+set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS15} [get_ports rstn]
+set_property src_info {type:XDC file:1 line:32 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN E22 IOSTANDARD LVCMOS33} [get_ports sw]
+set_property src_info {type:XDC file:1 line:34 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict { PACKAGE_PIN G21  IOSTANDARD LVCMOS33} [get_ports { sw2 }]; #IO_L24P_T3_16 Sch=sw[2]
+set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict { PACKAGE_PIN G22  IOSTANDARD LVCMOS33} [get_ports { sw3 }]; #IO_L24N_T3_16 Sch=sw[3]
+set_property src_info {type:XDC file:1 line:36 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict { PACKAGE_PIN H17  IOSTANDARD LVCMOS33} [get_ports { sw4 }]; #IO_L6P_T0_15 Sch=sw[4]
+set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict { PACKAGE_PIN J16  IOSTANDARD LVCMOS33} [get_ports { sw5 }]; #IO_0_15 Sch=sw[5]
+set_property src_info {type:XDC file:1 line:38 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict { PACKAGE_PIN K13  IOSTANDARD LVCMOS33} [get_ports { sw6 }]; #IO_L19P_T3_A22_15 Sch=sw[6]
+set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict { PACKAGE_PIN M17  IOSTANDARD LVCMOS33} [get_ports { sw7 }]; #IO_25_15 Sch=sw[7]
+set_property src_info {type:XDC file:1 line:91 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN T4 IOSTANDARD LVCMOS33} [get_ports ac_adc_sdata]
+set_property src_info {type:XDC file:1 line:92 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN T5 IOSTANDARD LVCMOS33} [get_ports ac_bclk]
+set_property src_info {type:XDC file:1 line:93 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN W6 IOSTANDARD LVCMOS33} [get_ports ac_dac_sdata]
+set_property src_info {type:XDC file:1 line:94 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN U5 IOSTANDARD LVCMOS33} [get_ports ac_lrclk]
+set_property src_info {type:XDC file:1 line:95 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN U6 IOSTANDARD LVCMOS33} [get_ports ac_mclk]
+set_property src_info {type:XDC file:1 line:202 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN W5 IOSTANDARD LVCMOS33} [get_ports scl]
+set_property src_info {type:XDC file:1 line:203 export:INPUT save:INPUT read:READ} [current_design]
+set_property -dict {PACKAGE_PIN V5 IOSTANDARD LVCMOS33} [get_ports sda]
diff --git a/proj/AudioProc.runs/synth_1/.vivado.begin.rst b/proj/AudioProc.runs/synth_1/.vivado.begin.rst
new file mode 100644
index 0000000000000000000000000000000000000000..4b25e95c6d2691d1c57cab578b15b97d3f43fe42
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/.vivado.begin.rst
@@ -0,0 +1,5 @@
+<?xml version="1.0"?>
+<ProcessHandle Version="1" Minor="0">
+    <Process Command="vivado" Owner="t24autul" Host="fl-tp-br-543" Pid="382026" HostCore="4" HostMemory="16297152">
+    </Process>
+</ProcessHandle>
diff --git a/proj/AudioProc.runs/synth_1/.vivado.end.rst b/proj/AudioProc.runs/synth_1/.vivado.end.rst
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.js b/proj/AudioProc.runs/synth_1/ISEWrap.js
new file mode 100755
index 0000000000000000000000000000000000000000..61806d02710bd275f7cfe41a9d52914e7a1e63df
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/ISEWrap.js
@@ -0,0 +1,270 @@
+//
+//  Vivado(TM)
+//  ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6
+//  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. 
+//  Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. 
+//
+
+// GLOBAL VARIABLES
+var ISEShell = new ActiveXObject( "WScript.Shell" );
+var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" );
+var ISERunDir = "";
+var ISELogFile = "runme.log";
+var ISELogFileStr = null;
+var ISELogEcho = true;
+var ISEOldVersionWSH = false;
+
+
+
+// BOOTSTRAP
+ISEInit();
+
+
+
+//
+// ISE FUNCTIONS
+//
+function ISEInit() {
+
+  // 1. RUN DIR setup
+  var ISEScrFP = WScript.ScriptFullName;
+  var ISEScrN = WScript.ScriptName;
+  ISERunDir = 
+    ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 );
+
+  // 2. LOG file setup
+  ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  // 3. LOG echo?
+  var ISEScriptArgs = WScript.Arguments;
+  for ( var loopi=0; loopi<ISEScriptArgs.length; loopi++ ) {
+    if ( ISEScriptArgs(loopi) == "-quiet" ) {
+      ISELogEcho = false;
+      break;
+    }
+  }
+
+  // 4. WSH version check
+  var ISEOptimalVersionWSH = 5.6;
+  var ISECurrentVersionWSH = WScript.Version;
+  if ( ISECurrentVersionWSH < ISEOptimalVersionWSH ) {
+
+    ISEStdErr( "" );
+    ISEStdErr( "Warning: ExploreAhead works best with Microsoft WSH " +
+	       ISEOptimalVersionWSH + " or higher. Downloads" );
+    ISEStdErr( "         for upgrading your Windows Scripting Host can be found here: " );
+    ISEStdErr( "             http://msdn.microsoft.com/downloads/list/webdev.asp" );
+    ISEStdErr( "" );
+
+    ISEOldVersionWSH = true;
+  }
+
+}
+
+function ISEStep( ISEProg, ISEArgs ) {
+
+  // CHECK for a STOP FILE
+  if ( ISEFileSys.FileExists(ISERunDir + "/.stop.rst") ) {
+    ISEStdErr( "" );
+    ISEStdErr( "*** Halting run - EA reset detected ***" );
+    ISEStdErr( "" );
+    WScript.Quit( 1 );
+  }
+
+  // WRITE STEP HEADER to LOG
+  ISEStdOut( "" );
+  ISEStdOut( "*** Running " + ISEProg );
+  ISEStdOut( "    with args " + ISEArgs );
+  ISEStdOut( "" );
+
+  // LAUNCH!
+  var ISEExitCode = ISEExec( ISEProg, ISEArgs );  
+  if ( ISEExitCode != 0 ) {
+    WScript.Quit( ISEExitCode );
+  }
+
+}
+
+function ISEExec( ISEProg, ISEArgs ) {
+
+  var ISEStep = ISEProg;
+  if (ISEProg == "realTimeFpga" || ISEProg == "planAhead" || ISEProg == "vivado") {
+    ISEProg += ".bat";
+  }
+
+  var ISECmdLine = ISEProg + " " + ISEArgs;
+  var ISEExitCode = 1;
+
+  if ( ISEOldVersionWSH ) { // WSH 5.1
+
+    // BEGIN file creation
+    ISETouchFile( ISEStep, "begin" );
+
+    // LAUNCH!
+    ISELogFileStr.Close();
+    ISECmdLine = 
+      "%comspec% /c " + ISECmdLine + " >> " + ISELogFile + " 2>&1";
+    ISEExitCode = ISEShell.Run( ISECmdLine, 0, true );
+    ISELogFileStr = ISEOpenFile( ISELogFile );
+
+  } else {  // WSH 5.6
+
+    // LAUNCH!
+    ISEShell.CurrentDirectory = ISERunDir;
+
+    // Redirect STDERR to STDOUT
+    ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1";
+    var ISEProcess = ISEShell.Exec( ISECmdLine );
+    
+    // BEGIN file creation
+    var wbemFlagReturnImmediately = 0x10;
+    var wbemFlagForwardOnly = 0x20;
+    var objWMIService = GetObject ("winmgmts:{impersonationLevel=impersonate, (Systemtime)}!//./root/cimv2");
+    var processor = objWMIService.ExecQuery("SELECT * FROM Win32_Processor", "WQL",wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var computerSystem = objWMIService.ExecQuery("SELECT * FROM Win32_ComputerSystem", "WQL", wbemFlagReturnImmediately | wbemFlagForwardOnly);
+    var NOC = 0;
+    var NOLP = 0;
+    var TPM = 0;
+    var cpuInfos = new Enumerator(processor);
+    for(;!cpuInfos.atEnd(); cpuInfos.moveNext()) {
+        var cpuInfo = cpuInfos.item();
+        NOC += cpuInfo.NumberOfCores;
+        NOLP += cpuInfo.NumberOfLogicalProcessors;
+    }
+    var csInfos = new Enumerator(computerSystem);
+    for(;!csInfos.atEnd(); csInfos.moveNext()) {
+        var csInfo = csInfos.item();
+        TPM += csInfo.TotalPhysicalMemory;
+    }
+
+    var ISEHOSTCORE = NOLP
+    var ISEMEMTOTAL = TPM
+
+    var ISENetwork = WScript.CreateObject( "WScript.Network" );
+    var ISEHost = ISENetwork.ComputerName;
+    var ISEUser = ISENetwork.UserName;
+    var ISEPid = ISEProcess.ProcessID;
+    var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" );
+    ISEBeginFile.WriteLine( "<?xml version=\"1.0\"?>" );
+    ISEBeginFile.WriteLine( "<ProcessHandle Version=\"1\" Minor=\"0\">" );
+    ISEBeginFile.WriteLine( "    <Process Command=\"" + ISEProg + 
+			    "\" Owner=\"" + ISEUser + 
+			    "\" Host=\"" + ISEHost + 
+			    "\" Pid=\"" + ISEPid +
+			    "\" HostCore=\"" + ISEHOSTCORE +
+			    "\" HostMemory=\"" + ISEMEMTOTAL +
+			    "\">" );
+    ISEBeginFile.WriteLine( "    </Process>" );
+    ISEBeginFile.WriteLine( "</ProcessHandle>" );
+    ISEBeginFile.Close();
+    
+    var ISEOutStr = ISEProcess.StdOut;
+    var ISEErrStr = ISEProcess.StdErr;
+    
+    // WAIT for ISEStep to finish
+    while ( ISEProcess.Status == 0 ) {
+      
+      // dump stdout then stderr - feels a little arbitrary
+      while ( !ISEOutStr.AtEndOfStream ) {
+        ISEStdOut( ISEOutStr.ReadLine() );
+      }  
+      
+      WScript.Sleep( 100 );
+    }
+
+    ISEExitCode = ISEProcess.ExitCode;
+  }
+
+  ISELogFileStr.Close();
+
+  // END/ERROR file creation
+  if ( ISEExitCode != 0 ) {    
+    ISETouchFile( ISEStep, "error" );
+    
+  } else {
+    ISETouchFile( ISEStep, "end" );
+  }
+
+  return ISEExitCode;
+}
+
+
+//
+// UTILITIES
+//
+function ISEStdOut( ISELine ) {
+
+  ISELogFileStr.WriteLine( ISELine );
+  
+  if ( ISELogEcho ) {
+    WScript.StdOut.WriteLine( ISELine );
+  }
+}
+
+function ISEStdErr( ISELine ) {
+  
+  ISELogFileStr.WriteLine( ISELine );
+
+  if ( ISELogEcho ) {
+    WScript.StdErr.WriteLine( ISELine );
+  }
+}
+
+function ISETouchFile( ISERoot, ISEStatus ) {
+
+  var ISETFile = 
+    ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" );
+  ISETFile.Close();
+}
+
+function ISEOpenFile( ISEFilename ) {
+
+  // This function has been updated to deal with a problem seen in CR #870871.
+  // In that case the user runs a script that runs impl_1, and then turns around
+  // and runs impl_1 -to_step write_bitstream. That second run takes place in
+  // the same directory, which means we may hit some of the same files, and in
+  // particular, we will open the runme.log file. Even though this script closes
+  // the file (now), we see cases where a subsequent attempt to open the file
+  // fails. Perhaps the OS is slow to release the lock, or the disk comes into
+  // play? In any case, we try to work around this by first waiting if the file
+  // is already there for an arbitrary 5 seconds. Then we use a try-catch block
+  // and try to open the file 10 times with a one second delay after each attempt.
+  // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871.
+  // If there is an unrecognized exception when trying to open the file, we output
+  // an error message and write details to an exception.log file.
+  var ISEFullPath = ISERunDir + "/" + ISEFilename;
+  if (ISEFileSys.FileExists(ISEFullPath)) {
+    // File is already there. This could be a problem. Wait in case it is still in use.
+    WScript.Sleep(5000);
+  }
+  var i;
+  for (i = 0; i < 10; ++i) {
+    try {
+      return ISEFileSys.OpenTextFile(ISEFullPath, 8, true);
+    } catch (exception) {
+      var error_code = exception.number & 0xFFFF; // The other bits are a facility code.
+      if (error_code == 52) { // 52 is bad file name or number.
+        // Wait a second and try again.
+        WScript.Sleep(1000);
+        continue;
+      } else {
+        WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+        var exceptionFilePath = ISERunDir + "/exception.log";
+        if (!ISEFileSys.FileExists(exceptionFilePath)) {
+          WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details.");
+          var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true);
+          exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath);
+          exceptionFile.WriteLine("\tException name: " + exception.name);
+          exceptionFile.WriteLine("\tException error code: " + error_code);
+          exceptionFile.WriteLine("\tException message: " + exception.message);
+          exceptionFile.Close();
+        }
+        throw exception;
+      }
+    }
+  }
+  // If we reached this point, we failed to open the file after 10 attempts.
+  // We need to error out.
+  WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath);
+  WScript.Quit(1);
+}
diff --git a/proj/AudioProc.runs/synth_1/ISEWrap.sh b/proj/AudioProc.runs/synth_1/ISEWrap.sh
new file mode 100755
index 0000000000000000000000000000000000000000..05d5381379bf87abb6918978ce23d53b6a3e9a78
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/ISEWrap.sh
@@ -0,0 +1,85 @@
+#!/bin/sh
+
+#
+#  Vivado(TM)
+#  ISEWrap.sh: Vivado Runs Script for UNIX
+#  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. 
+#  Copyright 2022-2023 Advanced Micro Devices, Inc. All Rights Reserved. 
+#
+
+cmd_exists()
+{
+  command -v "$1" >/dev/null 2>&1
+}
+
+HD_LOG=$1
+shift
+
+# CHECK for a STOP FILE
+if [ -f .stop.rst ]
+then
+echo ""                                        >> $HD_LOG
+echo "*** Halting run - EA reset detected ***" >> $HD_LOG
+echo ""                                        >> $HD_LOG
+exit 1
+fi
+
+ISE_STEP=$1
+shift
+
+# WRITE STEP HEADER to LOG
+echo ""                      >> $HD_LOG
+echo "*** Running $ISE_STEP" >> $HD_LOG
+echo "    with args $@"      >> $HD_LOG
+echo ""                      >> $HD_LOG
+
+# LAUNCH!
+$ISE_STEP "$@" >> $HD_LOG 2>&1 &
+
+# BEGIN file creation
+ISE_PID=$!
+
+HostNameFile=/proc/sys/kernel/hostname
+if cmd_exists hostname
+then
+ISE_HOST=$(hostname)
+elif cmd_exists uname
+then
+ISE_HOST=$(uname -n)
+elif [ -f "$HostNameFile" ] && [ -r $HostNameFile ] && [ -s $HostNameFile ] 
+then
+ISE_HOST=$(cat $HostNameFile)
+elif [ X != X$HOSTNAME ]
+then
+ISE_HOST=$HOSTNAME #bash
+else
+ISE_HOST=$HOST     #csh
+fi
+
+ISE_USER=$USER
+
+ISE_HOSTCORE=$(awk '/^processor/{print $3}' /proc/cpuinfo | wc -l)
+ISE_MEMTOTAL=$(awk '/MemTotal/ {print $2}' /proc/meminfo)
+
+ISE_BEGINFILE=.$ISE_STEP.begin.rst
+/bin/touch $ISE_BEGINFILE
+echo "<?xml version=\"1.0\"?>"                                                                     >> $ISE_BEGINFILE
+echo "<ProcessHandle Version=\"1\" Minor=\"0\">"                                                   >> $ISE_BEGINFILE
+echo "    <Process Command=\"$ISE_STEP\" Owner=\"$ISE_USER\" Host=\"$ISE_HOST\" Pid=\"$ISE_PID\" HostCore=\"$ISE_HOSTCORE\" HostMemory=\"$ISE_MEMTOTAL\">" >> $ISE_BEGINFILE
+echo "    </Process>"                                                                              >> $ISE_BEGINFILE
+echo "</ProcessHandle>"                                                                            >> $ISE_BEGINFILE
+
+# WAIT for ISEStep to finish
+wait $ISE_PID
+
+# END/ERROR file creation
+RETVAL=$?
+if [ $RETVAL -eq 0 ]
+then
+    /bin/touch .$ISE_STEP.end.rst
+else
+    /bin/touch .$ISE_STEP.error.rst
+fi
+
+exit $RETVAL
+
diff --git a/proj/AudioProc.runs/synth_1/__synthesis_is_complete__ b/proj/AudioProc.runs/synth_1/__synthesis_is_complete__
new file mode 100644
index 0000000000000000000000000000000000000000..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391
diff --git a/proj/AudioProc.runs/synth_1/audioProc.dcp b/proj/AudioProc.runs/synth_1/audioProc.dcp
new file mode 100644
index 0000000000000000000000000000000000000000..967567860f0df040c3c4620829aba751d3ae2da8
Binary files /dev/null and b/proj/AudioProc.runs/synth_1/audioProc.dcp differ
diff --git a/proj/AudioProc.runs/synth_1/audioProc.tcl b/proj/AudioProc.runs/synth_1/audioProc.tcl
new file mode 100644
index 0000000000000000000000000000000000000000..22f9e89a23ac750a01b7bafde70231f9886de973
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/audioProc.tcl
@@ -0,0 +1,134 @@
+# 
+# Synthesis run script generated by Vivado
+# 
+
+set TIME_start [clock seconds] 
+namespace eval ::optrace {
+  variable script "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.tcl"
+  variable category "vivado_synth"
+}
+
+# Try to connect to running dispatch if we haven't done so already.
+# This code assumes that the Tcl interpreter is not using threads,
+# since the ::dispatch::connected variable isn't mutex protected.
+if {![info exists ::dispatch::connected]} {
+  namespace eval ::dispatch {
+    variable connected false
+    if {[llength [array get env XILINX_CD_CONNECT_ID]] > 0} {
+      set result "true"
+      if {[catch {
+        if {[lsearch -exact [package names] DispatchTcl] < 0} {
+          set result [load librdi_cd_clienttcl[info sharedlibextension]] 
+        }
+        if {$result eq "false"} {
+          puts "WARNING: Could not load dispatch client library"
+        }
+        set connect_id [ ::dispatch::init_client -mode EXISTING_SERVER ]
+        if { $connect_id eq "" } {
+          puts "WARNING: Could not initialize dispatch client"
+        } else {
+          puts "INFO: Dispatch client connection id - $connect_id"
+          set connected true
+        }
+      } catch_res]} {
+        puts "WARNING: failed to connect to dispatch server - $catch_res"
+      }
+    }
+  }
+}
+if {$::dispatch::connected} {
+  # Remove the dummy proc if it exists.
+  if { [expr {[llength [info procs ::OPTRACE]] > 0}] } {
+    rename ::OPTRACE ""
+  }
+  proc ::OPTRACE { task action {tags {} } } {
+    ::vitis_log::op_trace "$task" $action -tags $tags -script $::optrace::script -category $::optrace::category
+  }
+  # dispatch is generic. We specifically want to attach logging.
+  ::vitis_log::connect_client
+} else {
+  # Add dummy proc if it doesn't exist.
+  if { [expr {[llength [info procs ::OPTRACE]] == 0}] } {
+    proc ::OPTRACE {{arg1 \"\" } {arg2 \"\"} {arg3 \"\" } {arg4 \"\"} {arg5 \"\" } {arg6 \"\"}} {
+        # Do nothing
+    }
+  }
+}
+
+OPTRACE "synth_1" START { ROLLUP_AUTO }
+OPTRACE "Creating in-memory project" START { }
+create_project -in_memory -part xc7a200tsbg484-1
+
+set_param project.singleFileAddWarning.threshold 0
+set_param project.compositeFile.enableAutoGeneration 0
+set_param synth.vivado.isSynthRun true
+set_msg_config -source 4 -id {IP_Flow 19-2162} -severity warning -new_severity info
+set_property webtalk.parent_dir {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache/wt} [current_project]
+set_property parent.project_path {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr} [current_project]
+set_property default_lib xil_defaultlib [current_project]
+set_property target_language VHDL [current_project]
+set_property ip_repo_paths {
+  /homes/t24autul/Documents/SAR
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo}
+} [current_project]
+update_ip_catalog
+set_property ip_output_repo {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache/ip} [current_project]
+set_property ip_cache_permissions {read write} [current_project]
+OPTRACE "Creating in-memory project" END { }
+OPTRACE "Adding files" START { }
+read_verilog -library xil_defaultlib {
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v}
+}
+read_vhdl -library xil_defaultlib {
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd}
+  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd}
+}
+read_ip -quiet {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci}}
+set_property used_in_implementation false [get_files -all {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_board.xdc}}]
+set_property used_in_implementation false [get_files -all {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xdc}}]
+set_property used_in_implementation false [get_files -all {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_ooc.xdc}}]
+
+OPTRACE "Adding files" END { }
+# Mark all dcp files as not used in implementation to prevent them from being
+# stitched into the results of this synthesis run. Any black boxes in the
+# design are intentionally left as such for best results. Dcp files will be
+# stitched into the design at a later time, either when this synthesis run is
+# opened, or when it is stitched into a dependent implementation run.
+foreach dcp [get_files -quiet -all -filter file_type=="Design\ Checkpoint"] {
+  set_property used_in_implementation false $dcp
+}
+read_xdc {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc}}
+set_property used_in_implementation false [get_files {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc}}]
+
+read_xdc dont_touch.xdc
+set_property used_in_implementation false [get_files dont_touch.xdc]
+set_param ips.enableIPCacheLiteLoad 1
+close [open __synthesis_is_running__ w]
+
+OPTRACE "synth_design" START { }
+synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5
+OPTRACE "synth_design" END { }
+if { [get_msg_config -count -severity {CRITICAL WARNING}] > 0 } {
+ send_msg_id runtcl-6 info "Synthesis results are not added to the cache due to CRITICAL_WARNING"
+}
+
+
+OPTRACE "write_checkpoint" START { CHECKPOINT }
+# disable binary constraint mode for synth run checkpoints
+set_param constraints.enableBinaryConstraints false
+write_checkpoint -force -noxdef audioProc.dcp
+OPTRACE "write_checkpoint" END { }
+OPTRACE "synth reports" START { REPORT }
+generate_parallel_reports -reports { "report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb"  } 
+OPTRACE "synth reports" END { }
+file delete __synthesis_is_running__
+close [open __synthesis_is_complete__ w]
+OPTRACE "synth_1" END { }
diff --git a/proj/AudioProc.runs/synth_1/audioProc.vds b/proj/AudioProc.runs/synth_1/audioProc.vds
new file mode 100644
index 0000000000000000000000000000000000000000..27ce690f820428e21b45d07ac22eb43c11a56b97
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/audioProc.vds
@@ -0,0 +1,725 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:21:59 2025
+# Process ID: 382097
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1
+# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.vds
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :3092.777 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :15590 MB
+#-----------------------------------------------------------
+source audioProc.tcl -notrace
+create_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1680.648 ; gain = 328.840 ; free physical = 980 ; free virtual = 14252
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5
+Starting synth_design
+WARNING: [Vivado_Tcl 4-393] The 'Synthesis' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design.
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Vivado_Tcl 4-393] The 'Implementation' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design.
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+INFO: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
+* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
+INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
+INFO: [Synth 8-7075] Helper process launched with PID 382362
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 2500.918 ; gain = 419.547 ; free physical = 309 ; free virtual = 13202
+---------------------------------------------------------------------------------
+INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:13]
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/.Xil/Vivado-382097-fl-tp-br-543/realtime/clk_wiz_0_stub.vhdl:18]
+WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87]
+WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87]
+INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:24]
+INFO: [Synth 8-155] case statement is not full and has no default [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:51]
+INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:119]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:330]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:363]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:381]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:399]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:417]
+INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:119]
+INFO: [Synth 8-155] case statement is not full and has no default [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:151]
+INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:24]
+INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v:23]
+INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v:23]
+INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd:63]
+INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd:63]
+INFO: [Synth 8-638] synthesizing module 'fir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:28]
+	Parameter dwidth bound to: 24 - type: integer 
+	Parameter ntaps bound to: 16 - type: integer 
+INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:54]
+INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:57]
+INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:59]
+INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42]
+INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:45]
+INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:45]
+INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:17]
+INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993]
+INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993]
+INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951]
+INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951]
+INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643]
+INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643]
+INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458]
+INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458]
+INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+	Parameter INIT bound to: 8'b10000000 
+INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754]
+	Parameter ACASCREG bound to: 0 - type: integer 
+	Parameter ADREG bound to: 1 - type: integer 
+	Parameter ALUMODEREG bound to: 0 - type: integer 
+	Parameter AREG bound to: 0 - type: integer 
+	Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 
+	Parameter A_INPUT bound to: DIRECT - type: string 
+	Parameter BCASCREG bound to: 0 - type: integer 
+	Parameter BREG bound to: 0 - type: integer 
+	Parameter B_INPUT bound to: DIRECT - type: string 
+	Parameter CARRYINREG bound to: 0 - type: integer 
+	Parameter CARRYINSELREG bound to: 0 - type: integer 
+	Parameter CREG bound to: 0 - type: integer 
+	Parameter DREG bound to: 1 - type: integer 
+	Parameter INMODEREG bound to: 0 - type: integer 
+	Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 
+	Parameter MREG bound to: 0 - type: integer 
+	Parameter OPMODEREG bound to: 0 - type: integer 
+	Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 
+	Parameter PREG bound to: 0 - type: integer 
+	Parameter SEL_MASK bound to: MASK - type: string 
+	Parameter SEL_PATTERN bound to: PATTERN - type: string 
+	Parameter USE_DPORT bound to: FALSE - type: string 
+	Parameter USE_MULT bound to: MULTIPLY - type: string 
+	Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 
+	Parameter USE_SIMD bound to: ONE48 - type: string 
+INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754]
+WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422]
+WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+	Parameter INIT bound to: 4'b0110 
+INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1011111111111101 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097]
+	Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 
+INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b0101100000011010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1111011001101111 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674]
+INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b0001111001111000 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637]
+INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1110100110010111 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b0110000110000110 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+	Parameter INIT bound to: 8'b01000010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1010001001000101 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1111000110001111 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1101010110101011 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798]
+	Parameter INIT bound to: 1'b0 
+INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798]
+INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367]
+INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367]
+WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478]
+INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+	Parameter INIT bound to: 4'b0001 
+INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+	Parameter INIT bound to: 8'b00000110 
+INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b0000000001101010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+	Parameter INIT bound to: 4'b1110 
+INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047]
+	Parameter INIT bound to: 32'b00000000000000000110101010101010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047]
+INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+	Parameter INIT bound to: 4'b0010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953]
+INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953]
+INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:17]
+INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42]
+INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:28]
+WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:13]
+WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:236]
+WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:313]
+WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:135]
+WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:150]
+WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:18]
+WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:19]
+WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:20]
+WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:21]
+WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:22]
+WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:229]
+WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:230]
+WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load
+WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2589.855 ; gain = 508.484 ; free physical = 287 ; free virtual = 13084
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2607.668 ; gain = 526.297 ; free physical = 286 ; free virtual = 13083
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2607.668 ; gain = 526.297 ; free physical = 286 ; free virtual = 13083
+---------------------------------------------------------------------------------
+Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2613.605 ; gain = 0.000 ; free physical = 281 ; free virtual = 13078
+INFO: [Netlist 29-17] Analyzing 106 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1'
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1'
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/dont_touch.xdc]
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/dont_touch.xdc]
+Completed Processing XDC Constraints
+
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 243 ; free virtual = 13055
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 243 ; free virtual = 13055
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 254 ; free virtual = 13066
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7a200tsbg484-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 254 ; free virtual = 13066
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc}, line 6).
+Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file  auto generated constraint).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 258 ; free virtual = 13070
+---------------------------------------------------------------------------------
+INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl'
+INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit'
+---------------------------------------------------------------------------------------------------
+                   State |                     New Encoding |                Previous Encoding 
+---------------------------------------------------------------------------------------------------
+                  stidle |                             0001 |                             0000
+                 ststart |                             0100 |                             0001
+                 stwrite |                             0000 |                             0011
+                  stsack |                             0011 |                             0110
+                  stread |                             0010 |                             0010
+            stmnackstart |                             0110 |                             1001
+                  stmack |                             0111 |                             0111
+             stmnackstop |                             0101 |                             1000
+                  ststop |                             1100 |                             0101
+---------------------------------------------------------------------------------------------------
+INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl'
+---------------------------------------------------------------------------------------------------
+                   State |                     New Encoding |                Previous Encoding 
+---------------------------------------------------------------------------------------------------
+             wait_sample |                            00001 |                              000
+                   store |                            00010 |                              001
+         processing_loop |                            00100 |                              010
+                  output |                            01000 |                              011
+         wait_end_sample |                            10000 |                              100
+---------------------------------------------------------------------------------------------------
+INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit'
+WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:62]
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 246 ; free virtual = 13059
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
++---Adders : 
+	   2 Input   32 Bit       Adders := 3     
+	   2 Input   31 Bit       Adders := 1     
+	   2 Input   24 Bit       Adders := 2     
+	   2 Input   13 Bit       Adders := 5     
+	   2 Input    7 Bit       Adders := 3     
+	   2 Input    5 Bit       Adders := 2     
+	   2 Input    4 Bit       Adders := 1     
+	   2 Input    3 Bit       Adders := 1     
+	   2 Input    2 Bit       Adders := 1     
++---Registers : 
+	               33 Bit    Registers := 1     
+	               32 Bit    Registers := 3     
+	               31 Bit    Registers := 1     
+	               24 Bit    Registers := 2     
+	               13 Bit    Registers := 5     
+	                8 Bit    Registers := 3     
+	                7 Bit    Registers := 3     
+	                5 Bit    Registers := 4     
+	                4 Bit    Registers := 2     
+	                3 Bit    Registers := 1     
+	                2 Bit    Registers := 2     
+	                1 Bit    Registers := 18    
++---Muxes : 
+	   2 Input   32 Bit        Muxes := 3     
+	   2 Input   24 Bit        Muxes := 2     
+	   2 Input   16 Bit        Muxes := 6     
+	   2 Input    8 Bit        Muxes := 2     
+	   2 Input    5 Bit        Muxes := 9     
+	   8 Input    5 Bit        Muxes := 1     
+	   5 Input    5 Bit        Muxes := 2     
+	   9 Input    4 Bit        Muxes := 1     
+	  21 Input    4 Bit        Muxes := 1     
+	   2 Input    4 Bit        Muxes := 7     
+	   5 Input    3 Bit        Muxes := 2     
+	   3 Input    2 Bit        Muxes := 1     
+	   2 Input    1 Bit        Muxes := 39    
+	   4 Input    1 Bit        Muxes := 21    
+	   3 Input    1 Bit        Muxes := 5     
+	   9 Input    1 Bit        Muxes := 1     
+	  10 Input    1 Bit        Muxes := 6     
+	  36 Input    1 Bit        Muxes := 1     
+	   5 Input    1 Bit        Muxes := 4     
+	  16 Input    1 Bit        Muxes := 2     
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 740 (col length:100)
+BRAMs: 730 (col length: RAMB18 100 RAMB36 50)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
+WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load
+WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:28 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 233 ; free virtual = 13054
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:30 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+WARNING: synth_design option "-fanout_limit" is deprecated.
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+DSP Final Report (the ' indicates corresponding REG is set)
++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|Module Name     | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | 
++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|operativeUnit_3 | C+A*B       | 30     | 12     | 48     | -      | 36     | 0    | 0    | 0    | -    | -     | 0    | 0    | 
+|operativeUnit   | C+A*B       | 30     | 12     | 48     | -      | 36     | 0    | 0    | 0    | -    | -     | 0    | 0    | 
++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+
+
+Report BlackBoxes: 
++------+--------------+----------+
+|      |BlackBox name |Instances |
++------+--------------+----------+
+|1     |clk_wiz_0     |         1|
++------+--------------+----------+
+
+Report Cell Usage: 
++------+--------+------+
+|      |Cell    |Count |
++------+--------+------+
+|1     |clk_wiz |     1|
+|2     |BUFG    |     2|
+|3     |CARRY4  |    20|
+|4     |DSP48E1 |     2|
+|5     |LUT1    |    41|
+|6     |LUT2    |   119|
+|7     |LUT3    |    36|
+|8     |LUT4    |    79|
+|9     |LUT5    |    52|
+|10    |LUT6    |   248|
+|11    |MUXF7   |    64|
+|12    |MUXF8   |    32|
+|13    |FDCE    |   632|
+|14    |FDPE    |     2|
+|15    |FDRE    |   239|
+|16    |FDSE    |    20|
+|17    |LD      |    10|
+|18    |IBUF    |    57|
+|19    |IOBUF   |     2|
+|20    |OBUF    |    44|
++------+--------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 1 critical warnings and 23 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:32 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 526.297 ; free physical = 315 ; free virtual = 13041
+Synthesis Optimization Complete : Time (s): cpu = 00:00:36 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+INFO: [Project 1-571] Translating synthesized netlist
+Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 563 ; free virtual = 13292
+INFO: [Netlist 29-17] Analyzing 130 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 594 ; free virtual = 13324
+INFO: [Project 1-111] Unisim Transformation Summary:
+  A total of 12 instances were transformed.
+  IOBUF => IOBUF (IBUF, OBUFT): 2 instances
+  LD => LDCE: 10 instances
+
+Synth Design complete | Checksum: b2a6bd97
+INFO: [Common 17-83] Releasing license: Synthesis
+112 Infos, 170 Warnings, 1 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:47 ; elapsed = 00:01:05 . Memory (MB): peak = 2712.621 ; gain = 1018.098 ; free physical = 594 ; free virtual = 13323
+INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2245.112; main = 1926.642; forked = 369.909
+INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3727.094; main = 2691.586; forked = 1035.508
+INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 593 ; free virtual = 13322
+INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated.
+INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb
+INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:24:13 2025...
diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb
new file mode 100644
index 0000000000000000000000000000000000000000..3d1cb5d828a3e6e04ebf438ca6a7834f268cb835
Binary files /dev/null and b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.pb differ
diff --git a/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt
new file mode 100644
index 0000000000000000000000000000000000000000..2cf8e063bb101499aa8a7aa7b2026b9242a81d66
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/audioProc_utilization_synth.rpt
@@ -0,0 +1,195 @@
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved. Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+---------------------------------------------------------------------------------------------------------------------------------------------
+| Tool Version : Vivado v.2024.1 (lin64) Build 5076996 Wed May 22 18:36:09 MDT 2024
+| Date         : Mon May 12 08:24:13 2025
+| Host         : fl-tp-br-543 running 64-bit Ubuntu 24.04.2 LTS
+| Command      : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb
+| Design       : audioProc
+| Device       : xc7a200tsbg484-1
+| Speed File   : -1
+| Design State : Synthesized
+---------------------------------------------------------------------------------------------------------------------------------------------
+
+Utilization Design Information
+
+Table of Contents
+-----------------
+1. Slice Logic
+1.1 Summary of Registers by Type
+2. Memory
+3. DSP
+4. IO and GT Specific
+5. Clocking
+6. Specific Feature
+7. Primitives
+8. Black Boxes
+9. Instantiated Netlists
+
+1. Slice Logic
+--------------
+
++-------------------------+------+-------+------------+-----------+-------+
+|        Site Type        | Used | Fixed | Prohibited | Available | Util% |
++-------------------------+------+-------+------------+-----------+-------+
+| Slice LUTs*             |  575 |     0 |          0 |    134600 |  0.43 |
+|   LUT as Logic          |  575 |     0 |          0 |    134600 |  0.43 |
+|   LUT as Memory         |    0 |     0 |          0 |     46200 |  0.00 |
+| Slice Registers         |  903 |     0 |          0 |    269200 |  0.34 |
+|   Register as Flip Flop |  893 |     0 |          0 |    269200 |  0.33 |
+|   Register as Latch     |   10 |     0 |          0 |    269200 | <0.01 |
+| F7 Muxes                |   64 |     0 |          0 |     67300 |  0.10 |
+| F8 Muxes                |   32 |     0 |          0 |     33650 |  0.10 |
++-------------------------+------+-------+------------+-----------+-------+
+* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count.
+Warning! LUT value is adjusted to account for LUT combining.
+Warning! For any ECO changes, please run place_design if there are unplaced instances
+
+
+1.1 Summary of Registers by Type
+--------------------------------
+
++-------+--------------+-------------+--------------+
+| Total | Clock Enable | Synchronous | Asynchronous |
++-------+--------------+-------------+--------------+
+| 0     |            _ |           - |            - |
+| 0     |            _ |           - |          Set |
+| 0     |            _ |           - |        Reset |
+| 0     |            _ |         Set |            - |
+| 0     |            _ |       Reset |            - |
+| 0     |          Yes |           - |            - |
+| 2     |          Yes |           - |          Set |
+| 642   |          Yes |           - |        Reset |
+| 20    |          Yes |         Set |            - |
+| 239   |          Yes |       Reset |            - |
++-------+--------------+-------------+--------------+
+
+
+2. Memory
+---------
+
++----------------+------+-------+------------+-----------+-------+
+|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
++----------------+------+-------+------------+-----------+-------+
+| Block RAM Tile |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB36/FIFO* |    0 |     0 |          0 |       365 |  0.00 |
+|   RAMB18       |    0 |     0 |          0 |       730 |  0.00 |
++----------------+------+-------+------------+-----------+-------+
+* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1
+
+
+3. DSP
+------
+
++----------------+------+-------+------------+-----------+-------+
+|    Site Type   | Used | Fixed | Prohibited | Available | Util% |
++----------------+------+-------+------------+-----------+-------+
+| DSPs           |    2 |     0 |          0 |       740 |  0.27 |
+|   DSP48E1 only |    2 |       |            |           |       |
++----------------+------+-------+------------+-----------+-------+
+
+
+4. IO and GT Specific
+---------------------
+
++-----------------------------+------+-------+------------+-----------+-------+
+|          Site Type          | Used | Fixed | Prohibited | Available | Util% |
++-----------------------------+------+-------+------------+-----------+-------+
+| Bonded IOB                  |   21 |     0 |          0 |       285 |  7.37 |
+| Bonded IPADs                |    0 |     0 |          0 |        14 |  0.00 |
+| Bonded OPADs                |    0 |     0 |          0 |         8 |  0.00 |
+| PHY_CONTROL                 |    0 |     0 |          0 |        10 |  0.00 |
+| PHASER_REF                  |    0 |     0 |          0 |        10 |  0.00 |
+| OUT_FIFO                    |    0 |     0 |          0 |        40 |  0.00 |
+| IN_FIFO                     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYCTRL                  |    0 |     0 |          0 |        10 |  0.00 |
+| IBUFDS                      |    0 |     0 |          0 |       274 |  0.00 |
+| GTPE2_CHANNEL               |    0 |     0 |          0 |         4 |  0.00 |
+| PHASER_OUT/PHASER_OUT_PHY   |    0 |     0 |          0 |        40 |  0.00 |
+| PHASER_IN/PHASER_IN_PHY     |    0 |     0 |          0 |        40 |  0.00 |
+| IDELAYE2/IDELAYE2_FINEDELAY |    0 |     0 |          0 |       500 |  0.00 |
+| IBUFDS_GTE2                 |    0 |     0 |          0 |         2 |  0.00 |
+| ILOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
+| OLOGIC                      |    0 |     0 |          0 |       285 |  0.00 |
++-----------------------------+------+-------+------------+-----------+-------+
+
+
+5. Clocking
+-----------
+
++------------+------+-------+------------+-----------+-------+
+|  Site Type | Used | Fixed | Prohibited | Available | Util% |
++------------+------+-------+------------+-----------+-------+
+| BUFGCTRL   |    2 |     0 |          0 |        32 |  6.25 |
+| BUFIO      |    0 |     0 |          0 |        40 |  0.00 |
+| MMCME2_ADV |    0 |     0 |          0 |        10 |  0.00 |
+| PLLE2_ADV  |    0 |     0 |          0 |        10 |  0.00 |
+| BUFMRCE    |    0 |     0 |          0 |        20 |  0.00 |
+| BUFHCE     |    0 |     0 |          0 |       120 |  0.00 |
+| BUFR       |    0 |     0 |          0 |        40 |  0.00 |
++------------+------+-------+------------+-----------+-------+
+
+
+6. Specific Feature
+-------------------
+
++-------------+------+-------+------------+-----------+-------+
+|  Site Type  | Used | Fixed | Prohibited | Available | Util% |
++-------------+------+-------+------------+-----------+-------+
+| BSCANE2     |    0 |     0 |          0 |         4 |  0.00 |
+| CAPTUREE2   |    0 |     0 |          0 |         1 |  0.00 |
+| DNA_PORT    |    0 |     0 |          0 |         1 |  0.00 |
+| EFUSE_USR   |    0 |     0 |          0 |         1 |  0.00 |
+| FRAME_ECCE2 |    0 |     0 |          0 |         1 |  0.00 |
+| ICAPE2      |    0 |     0 |          0 |         2 |  0.00 |
+| PCIE_2_1    |    0 |     0 |          0 |         1 |  0.00 |
+| STARTUPE2   |    0 |     0 |          0 |         1 |  0.00 |
+| XADC        |    0 |     0 |          0 |         1 |  0.00 |
++-------------+------+-------+------------+-----------+-------+
+
+
+7. Primitives
+-------------
+
++----------+------+---------------------+
+| Ref Name | Used | Functional Category |
++----------+------+---------------------+
+| FDCE     |  632 |        Flop & Latch |
+| LUT6     |  248 |                 LUT |
+| FDRE     |  239 |        Flop & Latch |
+| LUT2     |  119 |                 LUT |
+| LUT4     |   79 |                 LUT |
+| MUXF7    |   64 |               MuxFx |
+| LUT5     |   52 |                 LUT |
+| LUT1     |   41 |                 LUT |
+| LUT3     |   36 |                 LUT |
+| MUXF8    |   32 |               MuxFx |
+| FDSE     |   20 |        Flop & Latch |
+| CARRY4   |   20 |          CarryLogic |
+| IBUF     |   11 |                  IO |
+| OBUF     |   10 |                  IO |
+| LDCE     |   10 |        Flop & Latch |
+| OBUFT    |    2 |                  IO |
+| FDPE     |    2 |        Flop & Latch |
+| DSP48E1  |    2 |    Block Arithmetic |
+| BUFG     |    2 |               Clock |
++----------+------+---------------------+
+
+
+8. Black Boxes
+--------------
+
++-----------+------+
+|  Ref Name | Used |
++-----------+------+
+| clk_wiz_0 |    1 |
++-----------+------+
+
+
+9. Instantiated Netlists
+------------------------
+
++----------+------+
+| Ref Name | Used |
++----------+------+
+
+
diff --git a/proj/AudioProc.runs/synth_1/dont_touch.xdc b/proj/AudioProc.runs/synth_1/dont_touch.xdc
new file mode 100644
index 0000000000000000000000000000000000000000..a362135d1a96bc396fa0d4b8b003e99d12caa0d6
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/dont_touch.xdc
@@ -0,0 +1,7 @@
+# This file is automatically generated.
+# It contains project source information necessary for synthesis and implementation.
+
+# XDC: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc
+
+# IP: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci
+set_property KEEP_HIERARCHY SOFT [get_cells -hier -filter {REF_NAME==clk_wiz_0 || ORIG_REF_NAME==clk_wiz_0} -quiet] -quiet
diff --git a/proj/AudioProc.runs/synth_1/gen_run.xml b/proj/AudioProc.runs/synth_1/gen_run.xml
new file mode 100644
index 0000000000000000000000000000000000000000..42f02ec6d1ca4dbae73f4aa40045c5363a1cfb59
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/gen_run.xml
@@ -0,0 +1,118 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<GenRun Id="synth_1" LaunchPart="xc7a200tsbg484-1" LaunchTime="1747030914">
+  <File Type="RDS-DCP" Name="audioProc.dcp"/>
+  <File Type="RDS-UTIL-PB" Name="audioProc_utilization_synth.pb"/>
+  <File Type="PA-TCL" Name="audioProc.tcl"/>
+  <File Type="RDS-UTIL" Name="audioProc_utilization_synth.rpt"/>
+  <File Type="REPORTS-TCL" Name="audioProc_reports.tcl"/>
+  <File Type="RDS-RDS" Name="audioProc.vds"/>
+  <FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
+    <Filter Type="Srcs"/>
+    <File Path="$PPRDIR/../src/ip/clk_wiz_0/clk_wiz_0.xci">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/audio_init.v">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/debounce.v">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/operativeUnit.v">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/TWICtl.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/controlUnit.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/firUnit.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/fir.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/i2s_ctl.vhd">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/audioProc.v">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <File Path="$PPRDIR/../src/hdl/operativeUnit.vhd">
+      <FileInfo>
+        <Attr Name="UserDisabled" Val="1"/>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="simulation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="DesignMode" Val="RTL"/>
+      <Option Name="TopModule" Val="audioProc"/>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="constrs_in" Type="Constrs" RelSrcDir="$PSRCDIR/constrs_1" RelGenDir="$PGENDIR/constrs_1">
+    <Filter Type="Constrs"/>
+    <File Path="$PPRDIR/../src/constraints/NexysVideo_Master.xdc">
+      <FileInfo>
+        <Attr Name="UsedIn" Val="synthesis"/>
+        <Attr Name="UsedIn" Val="implementation"/>
+      </FileInfo>
+    </File>
+    <Config>
+      <Option Name="ConstrsType" Val="XDC"/>
+    </Config>
+  </FileSet>
+  <FileSet Name="utils" Type="Utils" RelSrcDir="$PSRCDIR/utils_1" RelGenDir="$PGENDIR/utils_1">
+    <Filter Type="Utils"/>
+    <Config>
+      <Option Name="TopAutoSet" Val="TRUE"/>
+    </Config>
+  </FileSet>
+  <Strategy Version="1" Minor="2">
+    <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/>
+    <Step Id="synth_design">
+      <Option Id="FsmExtraction">1</Option>
+      <Option Id="KeepEquivalentRegisters">1</Option>
+      <Option Id="NoCombineLuts">1</Option>
+      <Option Id="RepFanoutThreshold">400</Option>
+      <Option Id="ResourceSharing">2</Option>
+      <Option Id="ShregMinSize">5</Option>
+    </Step>
+  </Strategy>
+</GenRun>
diff --git a/proj/AudioProc.runs/synth_1/htr.txt b/proj/AudioProc.runs/synth_1/htr.txt
new file mode 100644
index 0000000000000000000000000000000000000000..6eaa206564a408917c3a3780eaa04c938f0a3fb9
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/htr.txt
@@ -0,0 +1,10 @@
+#
+# Vivado(TM)
+# htr.txt: a Vivado-generated description of how-to-repeat the
+#          the basic steps of a run.  Note that runme.bat/sh needs
+#          to be invoked for Vivado to track run status.
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+#
+
+vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl
diff --git a/proj/AudioProc.runs/synth_1/rundef.js b/proj/AudioProc.runs/synth_1/rundef.js
new file mode 100644
index 0000000000000000000000000000000000000000..aff081c0d785dcdfe807351ed4a33a8d2902062e
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/rundef.js
@@ -0,0 +1,41 @@
+//
+// Vivado(TM)
+// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6
+// Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+// Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+//
+
+echo "This script was generated under a different operating system."
+echo "Please update the PATH variable below, before executing this script"
+exit
+
+var WshShell = new ActiveXObject( "WScript.Shell" );
+var ProcEnv = WshShell.Environment( "Process" );
+var PathVal = ProcEnv("PATH");
+if ( PathVal.length == 0 ) {
+  PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;";
+} else {
+  PathVal = "/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64;/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin;" + PathVal;
+}
+
+ProcEnv("PATH") = PathVal;
+
+var RDScrFP = WScript.ScriptFullName;
+var RDScrN = WScript.ScriptName;
+var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 );
+var ISEJScriptLib = RDScrDir + "/ISEWrap.js";
+eval( EAInclude(ISEJScriptLib) );
+
+
+ISEStep( "vivado",
+         "-log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl" );
+
+
+
+function EAInclude( EAInclFilename ) {
+  var EAFso = new ActiveXObject( "Scripting.FileSystemObject" );
+  var EAInclFile = EAFso.OpenTextFile( EAInclFilename );
+  var EAIFContents = EAInclFile.ReadAll();
+  EAInclFile.Close();
+  return EAIFContents;
+}
diff --git a/proj/AudioProc.runs/synth_1/runme.bat b/proj/AudioProc.runs/synth_1/runme.bat
new file mode 100644
index 0000000000000000000000000000000000000000..637899f0be2c412b7962cc0b1c6107b95c906461
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/runme.bat
@@ -0,0 +1,12 @@
+@echo off
+
+rem  Vivado (TM)
+rem  runme.bat: a Vivado-generated Script
+rem  Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+rem  Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+set HD_SDIR=%~dp0
+cd /d "%HD_SDIR%"
+set PATH=%SYSTEMROOT%\system32;%PATH%
+cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %*
diff --git a/proj/AudioProc.runs/synth_1/runme.log b/proj/AudioProc.runs/synth_1/runme.log
new file mode 100644
index 0000000000000000000000000000000000000000..319706678a34163ecf05be9e822f96c6bece450a
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/runme.log
@@ -0,0 +1,717 @@
+
+*** Running vivado
+    with args -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl
+
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+
+****** Vivado v2024.1 (64-bit)
+  **** SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+  **** IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+  **** SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+  **** Start of session at: Mon May 12 08:21:59 2025
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+    ** Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+
+source audioProc.tcl -notrace
+create_project: Time (s): cpu = 00:00:26 ; elapsed = 00:00:40 . Memory (MB): peak = 1680.648 ; gain = 328.840 ; free physical = 980 ; free virtual = 14252
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+Command: synth_design -top audioProc -part xc7a200tsbg484-1 -fanout_limit 400 -fsm_extraction one_hot -keep_equivalent_registers -resource_sharing off -no_lc -shreg_min_size 5
+Starting synth_design
+WARNING: [Vivado_Tcl 4-393] The 'Synthesis' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design.
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Vivado_Tcl 4-393] The 'Implementation' target of the following IPs are stale, please generate the output products using the generate_target or synth_ip command before running synth_design.
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+INFO: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
+* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
+Attempting to get a license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a200t'
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+INFO: [Synth 8-7079] Multithreading enabled for synth_design using a maximum of 4 processes.
+INFO: [Synth 8-7078] Launching helper process for spawning children vivado processes
+INFO: [Synth 8-7075] Helper process launched with PID 382362
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+---------------------------------------------------------------------------------
+Starting RTL Elaboration : Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 2500.918 ; gain = 419.547 ; free physical = 309 ; free virtual = 13202
+---------------------------------------------------------------------------------
+INFO: [Synth 8-6157] synthesizing module 'audioProc' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:13]
+INFO: [Synth 8-638] synthesizing module 'clk_wiz_0' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/.Xil/Vivado-382097-fl-tp-br-543/realtime/clk_wiz_0_stub.vhdl:18]
+WARNING: [Synth 8-7071] port 'reset' of module 'clk_wiz_0' is unconnected for instance 'clk_1' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87]
+WARNING: [Synth 8-7023] instance 'clk_1' of module 'clk_wiz_0' has 7 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87]
+INFO: [Synth 8-6157] synthesizing module 'audio_init' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:24]
+INFO: [Synth 8-155] case statement is not full and has no default [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:51]
+INFO: [Synth 8-638] synthesizing module 'TWICtl' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:119]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:330]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:363]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:381]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:399]
+INFO: [Synth 8-226] default block is never used [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:417]
+INFO: [Synth 8-256] done synthesizing module 'TWICtl' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:119]
+INFO: [Synth 8-155] case statement is not full and has no default [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:151]
+INFO: [Synth 8-6155] done synthesizing module 'audio_init' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:24]
+INFO: [Synth 8-6157] synthesizing module 'debounce' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v:23]
+INFO: [Synth 8-6155] done synthesizing module 'debounce' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v:23]
+INFO: [Synth 8-638] synthesizing module 'i2s_ctl' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd:63]
+INFO: [Synth 8-256] done synthesizing module 'i2s_ctl' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd:63]
+INFO: [Synth 8-638] synthesizing module 'fir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:28]
+	Parameter dwidth bound to: 24 - type: integer 
+	Parameter ntaps bound to: 16 - type: integer 
+INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:54]
+INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:57]
+INFO: [Synth 8-6054] Found Dynamic range expression with variable size [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:59]
+INFO: [Synth 8-638] synthesizing module 'firUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42]
+INFO: [Synth 8-638] synthesizing module 'controlUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:45]
+INFO: [Synth 8-256] done synthesizing module 'controlUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:45]
+INFO: [Synth 8-6157] synthesizing module 'operativeUnit' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:17]
+INFO: [Synth 8-6157] synthesizing module 'GND' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993]
+INFO: [Synth 8-6155] done synthesizing module 'GND' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:41993]
+INFO: [Synth 8-6157] synthesizing module 'BUFG' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951]
+INFO: [Synth 8-6155] done synthesizing module 'BUFG' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:1951]
+INFO: [Synth 8-6157] synthesizing module 'IBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643]
+INFO: [Synth 8-6155] done synthesizing module 'IBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:73643]
+INFO: [Synth 8-6157] synthesizing module 'OBUF' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458]
+INFO: [Synth 8-6155] done synthesizing module 'OBUF' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:96458]
+INFO: [Synth 8-6157] synthesizing module 'LUT3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+	Parameter INIT bound to: 8'b10000000 
+INFO: [Synth 8-6155] done synthesizing module 'LUT3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+INFO: [Synth 8-6157] synthesizing module 'DSP48E1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754]
+	Parameter ACASCREG bound to: 0 - type: integer 
+	Parameter ADREG bound to: 1 - type: integer 
+	Parameter ALUMODEREG bound to: 0 - type: integer 
+	Parameter AREG bound to: 0 - type: integer 
+	Parameter AUTORESET_PATDET bound to: NO_RESET - type: string 
+	Parameter A_INPUT bound to: DIRECT - type: string 
+	Parameter BCASCREG bound to: 0 - type: integer 
+	Parameter BREG bound to: 0 - type: integer 
+	Parameter B_INPUT bound to: DIRECT - type: string 
+	Parameter CARRYINREG bound to: 0 - type: integer 
+	Parameter CARRYINSELREG bound to: 0 - type: integer 
+	Parameter CREG bound to: 0 - type: integer 
+	Parameter DREG bound to: 1 - type: integer 
+	Parameter INMODEREG bound to: 0 - type: integer 
+	Parameter MASK bound to: 48'b001111111111111111111111111111111111111111111111 
+	Parameter MREG bound to: 0 - type: integer 
+	Parameter OPMODEREG bound to: 0 - type: integer 
+	Parameter PATTERN bound to: 48'b000000000000000000000000000000000000000000000000 
+	Parameter PREG bound to: 0 - type: integer 
+	Parameter SEL_MASK bound to: MASK - type: string 
+	Parameter SEL_PATTERN bound to: PATTERN - type: string 
+	Parameter USE_DPORT bound to: FALSE - type: string 
+	Parameter USE_MULT bound to: MULTIPLY - type: string 
+	Parameter USE_PATTERN_DETECT bound to: NO_PATDET - type: string 
+	Parameter USE_SIMD bound to: ONE48 - type: string 
+INFO: [Synth 8-6155] done synthesizing module 'DSP48E1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:39754]
+WARNING: [Synth 8-689] width (36) of port connection 'P' does not match port width (48) of module 'DSP48E1' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422]
+WARNING: [Synth 8-7071] port 'ACOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'BCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'CARRYCASCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'CARRYOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'MULTSIGNOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'OVERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'PATTERNBDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'PATTERNDETECT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'PCOUT' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7071] port 'UNDERFLOW' of module 'DSP48E1' is unconnected for instance 'SC_addResult' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+WARNING: [Synth 8-7023] instance 'SC_addResult' of module 'DSP48E1' has 49 connections declared, but only 39 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:394]
+INFO: [Synth 8-6157] synthesizing module 'LUT2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+	Parameter INIT bound to: 4'b0110 
+INFO: [Synth 8-6155] done synthesizing module 'LUT2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+INFO: [Synth 8-6157] synthesizing module 'LUT4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1011111111111101 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097]
+	Parameter INIT bound to: 64'b1010111110100000110011111100111110101111101000001100000011000000 
+INFO: [Synth 8-6155] done synthesizing module 'LUT6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82097]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b0101100000011010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1111011001101111 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'MUXF8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674]
+INFO: [Synth 8-6155] done synthesizing module 'MUXF8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88674]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b0001111001111000 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'MUXF7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637]
+INFO: [Synth 8-6155] done synthesizing module 'MUXF7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:88637]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized3' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1110100110010111 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized3' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b0110000110000110 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+	Parameter INIT bound to: 8'b01000010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1010001001000101 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized6' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1111000110001111 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized6' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized7' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b1101010110101011 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized7' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'FDCE' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798]
+	Parameter INIT bound to: 1'b0 
+INFO: [Synth 8-6155] done synthesizing module 'FDCE' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:40798]
+INFO: [Synth 8-6157] synthesizing module 'CARRY4' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367]
+INFO: [Synth 8-6155] done synthesizing module 'CARRY4' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:2367]
+WARNING: [Synth 8-689] width (3) of port connection 'CO' does not match port width (4) of module 'CARRY4' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478]
+INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized0' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+	Parameter INIT bound to: 4'b0001 
+INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized0' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+INFO: [Synth 8-6157] synthesizing module 'LUT3__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+	Parameter INIT bound to: 8'b00000110 
+INFO: [Synth 8-6155] done synthesizing module 'LUT3__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81959]
+INFO: [Synth 8-6157] synthesizing module 'LUT4__parameterized8' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+	Parameter INIT bound to: 16'b0000000001101010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT4__parameterized8' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82001]
+INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized1' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+	Parameter INIT bound to: 4'b1110 
+INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized1' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+INFO: [Synth 8-6157] synthesizing module 'LUT5' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047]
+	Parameter INIT bound to: 32'b00000000000000000110101010101010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT5' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:82047]
+INFO: [Synth 8-6157] synthesizing module 'LUT2__parameterized2' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+	Parameter INIT bound to: 4'b0010 
+INFO: [Synth 8-6155] done synthesizing module 'LUT2__parameterized2' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:81921]
+INFO: [Synth 8-6157] synthesizing module 'VCC' [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953]
+INFO: [Synth 8-6155] done synthesizing module 'VCC' (0#1) [/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/scripts/rt/data/unisim_comp.v:140953]
+INFO: [Synth 8-6155] done synthesizing module 'operativeUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:17]
+INFO: [Synth 8-256] done synthesizing module 'firUnit' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42]
+INFO: [Synth 8-256] done synthesizing module 'fir' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:28]
+WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'leftFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7023] instance 'leftFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [Synth 8-7071] port 'dbg_output_0' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7071] port 'dbg_output_1' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7071] port 'dbg_output_2' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7071] port 'dbg_output_3' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7071] port 'dbg_output_4' of module 'fir' is unconnected for instance 'rightFir' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+WARNING: [Synth 8-7023] instance 'rightFir' of module 'fir' has 11 connections declared, but only 6 given [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+INFO: [Synth 8-6155] done synthesizing module 'audioProc' (0#1) [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:13]
+WARNING: [Synth 8-6014] Unused sequential element timeOutCnt_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:236]
+WARNING: [Synth 8-6014] Unused sequential element errTypeR_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd:313]
+WARNING: [Synth 8-6014] Unused sequential element regData1_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:135]
+WARNING: [Synth 8-6014] Unused sequential element initFbWe_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v:150]
+WARNING: [Synth 8-3848] Net dbg_output_0 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:18]
+WARNING: [Synth 8-3848] Net dbg_output_1 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:19]
+WARNING: [Synth 8-3848] Net dbg_output_2 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:20]
+WARNING: [Synth 8-3848] Net dbg_output_3 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:21]
+WARNING: [Synth 8-3848] Net dbg_output_4 in module/entity fir does not have driver. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd:22]
+WARNING: [Synth 8-6014] Unused sequential element sound_dataL_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:229]
+WARNING: [Synth 8-6014] Unused sequential element sound_dataR_reg was removed.  [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:230]
+WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load
+WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load
+---------------------------------------------------------------------------------
+Finished RTL Elaboration : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2589.855 ; gain = 508.484 ; free physical = 287 ; free virtual = 13084
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2607.668 ; gain = 526.297 ; free physical = 286 ; free virtual = 13083
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:07 ; elapsed = 00:00:10 . Memory (MB): peak = 2607.668 ; gain = 526.297 ; free physical = 286 ; free virtual = 13083
+---------------------------------------------------------------------------------
+Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2613.605 ; gain = 0.000 ; free physical = 281 ; free virtual = 13078
+INFO: [Netlist 29-17] Analyzing 106 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+
+Processing XDC Constraints
+Initializing timing engine
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1'
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc] for cell 'clk_1'
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]
+INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/constraints/NexysVideo_Master.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/audioProc_propImpl.xdc].
+Resolution: To avoid this warning, move constraints listed in [.Xil/audioProc_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis.
+Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/dont_touch.xdc]
+Finished Parsing XDC File [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/dont_touch.xdc]
+Completed Processing XDC Constraints
+
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 243 ; free virtual = 13055
+INFO: [Project 1-111] Unisim Transformation Summary:
+No Unisim elements were transformed.
+
+Constraint Validation Runtime : Time (s): cpu = 00:00:00.02 ; elapsed = 00:00:00.02 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 243 ; free virtual = 13055
+---------------------------------------------------------------------------------
+Finished Constraint Validation : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 254 ; free virtual = 13066
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Loading Part and Timing Information
+---------------------------------------------------------------------------------
+Loading part: xc7a200tsbg484-1
+---------------------------------------------------------------------------------
+Finished Loading Part and Timing Information : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 254 ; free virtual = 13066
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying 'set_property' XDC Constraints
+---------------------------------------------------------------------------------
+Applied set_property IO_BUFFER_TYPE = NONE for CLK100MHZ. (constraint file  {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0/clk_wiz_0_in_context.xdc}, line 6).
+Applied set_property KEEP_HIERARCHY = SOFT for clk_1. (constraint file  auto generated constraint).
+---------------------------------------------------------------------------------
+Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:17 ; elapsed = 00:00:22 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 258 ; free virtual = 13070
+---------------------------------------------------------------------------------
+INFO: [Synth 8-802] inferred FSM for state register 'state_reg' in module 'TWICtl'
+INFO: [Synth 8-802] inferred FSM for state register 'SR_currentState_reg' in module 'controlUnit'
+---------------------------------------------------------------------------------------------------
+                   State |                     New Encoding |                Previous Encoding 
+---------------------------------------------------------------------------------------------------
+                  stidle |                             0001 |                             0000
+                 ststart |                             0100 |                             0001
+                 stwrite |                             0000 |                             0011
+                  stsack |                             0011 |                             0110
+                  stread |                             0010 |                             0010
+            stmnackstart |                             0110 |                             1001
+                  stmack |                             0111 |                             0111
+             stmnackstop |                             0101 |                             1000
+                  ststop |                             1100 |                             0101
+---------------------------------------------------------------------------------------------------
+INFO: [Synth 8-3354] encoded FSM with state register 'state_reg' using encoding 'gray' in module 'TWICtl'
+---------------------------------------------------------------------------------------------------
+                   State |                     New Encoding |                Previous Encoding 
+---------------------------------------------------------------------------------------------------
+             wait_sample |                            00001 |                              000
+                   store |                            00010 |                              001
+         processing_loop |                            00100 |                              010
+                  output |                            01000 |                              011
+         wait_end_sample |                            10000 |                              100
+---------------------------------------------------------------------------------------------------
+INFO: [Synth 8-3354] encoded FSM with state register 'SR_currentState_reg' using encoding 'one-hot' in module 'controlUnit'
+WARNING: [Synth 8-327] inferring latch for variable 'FSM_onehot_SR_nextState_reg' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd:62]
+---------------------------------------------------------------------------------
+Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:17 ; elapsed = 00:00:23 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 246 ; free virtual = 13059
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start RTL Component Statistics 
+---------------------------------------------------------------------------------
+Detailed RTL Component Info : 
++---Adders : 
+	   2 Input   32 Bit       Adders := 3     
+	   2 Input   31 Bit       Adders := 1     
+	   2 Input   24 Bit       Adders := 2     
+	   2 Input   13 Bit       Adders := 5     
+	   2 Input    7 Bit       Adders := 3     
+	   2 Input    5 Bit       Adders := 2     
+	   2 Input    4 Bit       Adders := 1     
+	   2 Input    3 Bit       Adders := 1     
+	   2 Input    2 Bit       Adders := 1     
++---Registers : 
+	               33 Bit    Registers := 1     
+	               32 Bit    Registers := 3     
+	               31 Bit    Registers := 1     
+	               24 Bit    Registers := 2     
+	               13 Bit    Registers := 5     
+	                8 Bit    Registers := 3     
+	                7 Bit    Registers := 3     
+	                5 Bit    Registers := 4     
+	                4 Bit    Registers := 2     
+	                3 Bit    Registers := 1     
+	                2 Bit    Registers := 2     
+	                1 Bit    Registers := 18    
++---Muxes : 
+	   2 Input   32 Bit        Muxes := 3     
+	   2 Input   24 Bit        Muxes := 2     
+	   2 Input   16 Bit        Muxes := 6     
+	   2 Input    8 Bit        Muxes := 2     
+	   2 Input    5 Bit        Muxes := 9     
+	   8 Input    5 Bit        Muxes := 1     
+	   5 Input    5 Bit        Muxes := 2     
+	   9 Input    4 Bit        Muxes := 1     
+	  21 Input    4 Bit        Muxes := 1     
+	   2 Input    4 Bit        Muxes := 7     
+	   5 Input    3 Bit        Muxes := 2     
+	   3 Input    2 Bit        Muxes := 1     
+	   2 Input    1 Bit        Muxes := 39    
+	   4 Input    1 Bit        Muxes := 21    
+	   3 Input    1 Bit        Muxes := 5     
+	   9 Input    1 Bit        Muxes := 1     
+	  10 Input    1 Bit        Muxes := 6     
+	  36 Input    1 Bit        Muxes := 1     
+	   5 Input    1 Bit        Muxes := 4     
+	  16 Input    1 Bit        Muxes := 2     
+---------------------------------------------------------------------------------
+Finished RTL Component Statistics 
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Part Resource Summary
+---------------------------------------------------------------------------------
+Part Resources:
+DSPs: 740 (col length:100)
+BRAMs: 730 (col length: RAMB18 100 RAMB36 50)
+---------------------------------------------------------------------------------
+Finished Part Resource Summary
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Cross Boundary and Area Optimization
+---------------------------------------------------------------------------------
+WARNING: [Synth 8-7080] Parallel synthesis criteria is not met
+WARNING: [Synth 8-7129] Port dbg_output_0[7] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[6] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[5] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[4] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[3] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[2] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[1] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_0[0] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[7] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[6] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[5] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[4] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[3] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[2] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[1] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_1[0] in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_2 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_3 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port dbg_output_4 in module fir is either unconnected or has no load
+WARNING: [Synth 8-7129] Port MM_I in module i2s_ctl is either unconnected or has no load
+WARNING: [Synth 8-7129] Port sw in module audioProc is either unconnected or has no load
+---------------------------------------------------------------------------------
+Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:21 ; elapsed = 00:00:28 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 233 ; free virtual = 13054
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Applying XDC Timing Constraints
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:30 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Timing Optimization
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Timing Optimization : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Technology Mapping
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Technology Mapping : Time (s): cpu = 00:00:31 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 317 ; free virtual = 13053
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Flattening Before IO Insertion
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Final Netlist Cleanup
+---------------------------------------------------------------------------------
+WARNING: synth_design option "-fanout_limit" is deprecated.
+---------------------------------------------------------------------------------
+Finished Final Netlist Cleanup
+---------------------------------------------------------------------------------
+CRITICAL WARNING: [Synth 8-4442] BlackBox module clk_1 has unconnected pin reset
+---------------------------------------------------------------------------------
+Finished IO Insertion : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Instances
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Instances : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Rebuilding User Hierarchy
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Ports
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Ports : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Handling Custom Attributes
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Handling Custom Attributes : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Renaming Generated Nets
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Finished Renaming Generated Nets : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+---------------------------------------------------------------------------------
+Start Writing Synthesis Report
+---------------------------------------------------------------------------------
+
+DSP Final Report (the ' indicates corresponding REG is set)
++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|Module Name     | DSP Mapping | A Size | B Size | C Size | D Size | P Size | AREG | BREG | CREG | DREG | ADREG | MREG | PREG | 
++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+|operativeUnit_3 | C+A*B       | 30     | 12     | 48     | -      | 36     | 0    | 0    | 0    | -    | -     | 0    | 0    | 
+|operativeUnit   | C+A*B       | 30     | 12     | 48     | -      | 36     | 0    | 0    | 0    | -    | -     | 0    | 0    | 
++----------------+-------------+--------+--------+--------+--------+--------+------+------+------+------+-------+------+------+
+
+
+Report BlackBoxes: 
++------+--------------+----------+
+|      |BlackBox name |Instances |
++------+--------------+----------+
+|1     |clk_wiz_0     |         1|
++------+--------------+----------+
+
+Report Cell Usage: 
++------+--------+------+
+|      |Cell    |Count |
++------+--------+------+
+|1     |clk_wiz |     1|
+|2     |BUFG    |     2|
+|3     |CARRY4  |    20|
+|4     |DSP48E1 |     2|
+|5     |LUT1    |    41|
+|6     |LUT2    |   119|
+|7     |LUT3    |    36|
+|8     |LUT4    |    79|
+|9     |LUT5    |    52|
+|10    |LUT6    |   248|
+|11    |MUXF7   |    64|
+|12    |MUXF8   |    32|
+|13    |FDCE    |   632|
+|14    |FDPE    |     2|
+|15    |FDRE    |   239|
+|16    |FDSE    |    20|
+|17    |LD      |    10|
+|18    |IBUF    |    57|
+|19    |IOBUF   |     2|
+|20    |OBUF    |    44|
++------+--------+------+
+---------------------------------------------------------------------------------
+Finished Writing Synthesis Report : Time (s): cpu = 00:00:35 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+---------------------------------------------------------------------------------
+Synthesis finished with 0 errors, 1 critical warnings and 23 warnings.
+Synthesis Optimization Runtime : Time (s): cpu = 00:00:32 ; elapsed = 00:00:38 . Memory (MB): peak = 2712.621 ; gain = 526.297 ; free physical = 315 ; free virtual = 13041
+Synthesis Optimization Complete : Time (s): cpu = 00:00:36 ; elapsed = 00:00:43 . Memory (MB): peak = 2712.621 ; gain = 631.250 ; free physical = 315 ; free virtual = 13041
+INFO: [Project 1-571] Translating synthesized netlist
+Netlist sorting complete. Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 563 ; free virtual = 13292
+INFO: [Netlist 29-17] Analyzing 130 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-570] Preparing netlist for logic optimization
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. leftFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_clock_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_incrAddress_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initAddress_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_initSum_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[0]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[10]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[11]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[12]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[13]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[14]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[15]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[1]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[2]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[3]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[4]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[5]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[6]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[7]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[8]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_inputSample_IBUF[9]_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadOutput_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadShift_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_loadSum_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-32] Removing redundant IBUF since it is not being driven by a top-level port. rightFir/firUnit_1/operativeUnit_1/I_reset_IBUF_inst 
+Resolution: The tool has removed redundant IBUF. To resolve this warning, check for redundant IBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. leftFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[0]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[10]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[11]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[12]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[13]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[14]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[15]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[1]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[2]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[3]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[4]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[5]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[6]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[7]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[8]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_filteredSample_OBUF[9]_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+WARNING: [Opt 31-33] Removing redundant OBUF since it is not driving a top-level port. rightFir/firUnit_1/operativeUnit_1/O_processingDone_OBUF_inst 
+Resolution: The tool has removed redundant OBUF. To resolve this warning, check for redundant OBUF in the input design.
+INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s).
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 594 ; free virtual = 13324
+INFO: [Project 1-111] Unisim Transformation Summary:
+  A total of 12 instances were transformed.
+  IOBUF => IOBUF (IBUF, OBUFT): 2 instances
+  LD => LDCE: 10 instances
+
+Synth Design complete | Checksum: b2a6bd97
+INFO: [Common 17-83] Releasing license: Synthesis
+112 Infos, 170 Warnings, 1 Critical Warnings and 0 Errors encountered.
+synth_design completed successfully
+synth_design: Time (s): cpu = 00:00:47 ; elapsed = 00:01:05 . Memory (MB): peak = 2712.621 ; gain = 1018.098 ; free physical = 594 ; free virtual = 13323
+INFO: [Common 17-2834] synth_design peak Physical Memory [PSS] (MB): overall = 2245.112; main = 1926.642; forked = 369.909
+INFO: [Common 17-2834] synth_design peak Virtual Memory [VSS] (MB): overall = 3727.094; main = 2691.586; forked = 1035.508
+INFO: [runtcl-6] Synthesis results are not added to the cache due to CRITICAL_WARNING
+Write ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 2712.621 ; gain = 0.000 ; free physical = 593 ; free virtual = 13322
+INFO: [Common 17-1381] The checkpoint '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.dcp' has been generated.
+INFO: [Vivado 12-24828] Executing command : report_utilization -file audioProc_utilization_synth.rpt -pb audioProc_utilization_synth.pb
+INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:24:13 2025...
diff --git a/proj/AudioProc.runs/synth_1/runme.sh b/proj/AudioProc.runs/synth_1/runme.sh
new file mode 100755
index 0000000000000000000000000000000000000000..a43dd909477a2fcd11c87715b6d5c98139395a09
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/runme.sh
@@ -0,0 +1,40 @@
+#!/bin/sh
+
+# 
+# Vivado(TM)
+# runme.sh: a Vivado-generated Runs Script for UNIX
+# Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+# Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+# 
+
+if [ -z "$PATH" ]; then
+  PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin
+else
+  PATH=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vitis/2024.1/bin:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/ids_lite/ISE/bin/lin64:/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin:$PATH
+fi
+export PATH
+
+if [ -z "$LD_LIBRARY_PATH" ]; then
+  LD_LIBRARY_PATH=
+else
+  LD_LIBRARY_PATH=:$LD_LIBRARY_PATH
+fi
+export LD_LIBRARY_PATH
+
+HD_PWD='/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1'
+cd "$HD_PWD"
+
+HD_LOG=runme.log
+/bin/touch $HD_LOG
+
+ISEStep="./ISEWrap.sh"
+EAStep()
+{
+     $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1
+     if [ $? -ne 0 ]
+     then
+         exit
+     fi
+}
+
+EAStep vivado -log audioProc.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl
diff --git a/proj/AudioProc.runs/synth_1/vivado.jou b/proj/AudioProc.runs/synth_1/vivado.jou
new file mode 100644
index 0000000000000000000000000000000000000000..8753fd2de804743b8b862be1ccd339760ee6d0a0
--- /dev/null
+++ b/proj/AudioProc.runs/synth_1/vivado.jou
@@ -0,0 +1,24 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:21:59 2025
+# Process ID: 382097
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1
+# Command line: vivado -log audioProc.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source audioProc.tcl
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/audioProc.vds
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :3092.777 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :15590 MB
+#-----------------------------------------------------------
+source audioProc.tcl -notrace
diff --git a/proj/AudioProc.runs/synth_1/vivado.pb b/proj/AudioProc.runs/synth_1/vivado.pb
new file mode 100644
index 0000000000000000000000000000000000000000..a71781c35ab2c9058d7fe85407ef9093a0ab8c40
Binary files /dev/null and b/proj/AudioProc.runs/synth_1/vivado.pb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl
deleted file mode 100644
index 1094e45dcf2527b60296acfc006fb85a3e752cba..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc.tcl
+++ /dev/null
@@ -1,11 +0,0 @@
-set curr_wave [current_wave_config]
-if { [string length $curr_wave] == 0 } {
-  if { [llength [get_objects]] > 0} {
-    add_wave /
-    set_property needs_save false [current_wave_config]
-  } else {
-     send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
-  }
-}
-
-run 1000ns
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb
deleted file mode 100644
index a66f1ccf878893b7ba4238b75564e2d0b5f2d917..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/audioProc_behav.wdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.log b/proj/AudioProc.sim/sim_1/behav/xsim/compile.log
index 69339f534c3349bf73a8ebbdf45c99f75a7ae259..31067e9781ca24ec74e6d7b5515c12694386b0c0 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/compile.log
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.log
@@ -1 +1,9 @@
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh
index ffbcc26a82271d5a35670b60fa81f41a847e0b9b..f0a1d8faa7ed186ba8b8c783fcf2410ec421d02e 100755
--- a/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/compile.sh
@@ -6,7 +6,7 @@
 # Simulator   : AMD Vivado Simulator
 # Description : Script for compiling the simulation design source files
 #
-# Generated by Vivado on Fri May 09 10:38:19 CEST 2025
+# Generated by Vivado on Mon May 12 11:04:23 CEST 2025
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log
index 904e9a656c645523ac4059d51623ab78e276e837..ca74b1ceae89ecaafc2730eed71d53d797cf5f35 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log
@@ -4,19 +4,16 @@ Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
 Using 8 slave threads.
 Starting static elaboration
-ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
-ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
-ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
-ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
-ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
-ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
-ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
-ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
-ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
-ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
-ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
-ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
-ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
-ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
-ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
-ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh
index 86fedb396a6e7a6f54c79e2922b225750dce2164..a035e74fe2dd239e67c3aa4a915a41dd2483209d 100755
--- a/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.sh
@@ -6,7 +6,7 @@
 # Simulator   : AMD Vivado Simulator
 # Description : Script for elaborating the compiled design
 #
-# Generated by Vivado on Fri May 09 10:38:21 CEST 2025
+# Generated by Vivado on Mon May 12 11:04:26 CEST 2025
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v b/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v
deleted file mode 100755
index ed3b249ceef65a0d1b42790def9ee8179363679c..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v
+++ /dev/null
@@ -1,84 +0,0 @@
-// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $
-`ifndef GLBL
-`define GLBL
-`timescale  1 ps / 1 ps
-
-module glbl ();
-
-    parameter ROC_WIDTH = 100000;
-    parameter TOC_WIDTH = 0;
-    parameter GRES_WIDTH = 10000;
-    parameter GRES_START = 10000;
-
-//--------   STARTUP Globals --------------
-    wire GSR;
-    wire GTS;
-    wire GWE;
-    wire PRLD;
-    wire GRESTORE;
-    tri1 p_up_tmp;
-    tri (weak1, strong0) PLL_LOCKG = p_up_tmp;
-
-    wire PROGB_GLBL;
-    wire CCLKO_GLBL;
-    wire FCSBO_GLBL;
-    wire [3:0] DO_GLBL;
-    wire [3:0] DI_GLBL;
-   
-    reg GSR_int;
-    reg GTS_int;
-    reg PRLD_int;
-    reg GRESTORE_int;
-
-//--------   JTAG Globals --------------
-    wire JTAG_TDO_GLBL;
-    wire JTAG_TCK_GLBL;
-    wire JTAG_TDI_GLBL;
-    wire JTAG_TMS_GLBL;
-    wire JTAG_TRST_GLBL;
-
-    reg JTAG_CAPTURE_GLBL;
-    reg JTAG_RESET_GLBL;
-    reg JTAG_SHIFT_GLBL;
-    reg JTAG_UPDATE_GLBL;
-    reg JTAG_RUNTEST_GLBL;
-
-    reg JTAG_SEL1_GLBL = 0;
-    reg JTAG_SEL2_GLBL = 0 ;
-    reg JTAG_SEL3_GLBL = 0;
-    reg JTAG_SEL4_GLBL = 0;
-
-    reg JTAG_USER_TDO1_GLBL = 1'bz;
-    reg JTAG_USER_TDO2_GLBL = 1'bz;
-    reg JTAG_USER_TDO3_GLBL = 1'bz;
-    reg JTAG_USER_TDO4_GLBL = 1'bz;
-
-    assign (strong1, weak0) GSR = GSR_int;
-    assign (strong1, weak0) GTS = GTS_int;
-    assign (weak1, weak0) PRLD = PRLD_int;
-    assign (strong1, weak0) GRESTORE = GRESTORE_int;
-
-    initial begin
-	GSR_int = 1'b1;
-	PRLD_int = 1'b1;
-	#(ROC_WIDTH)
-	GSR_int = 1'b0;
-	PRLD_int = 1'b0;
-    end
-
-    initial begin
-	GTS_int = 1'b1;
-	#(TOC_WIDTH)
-	GTS_int = 1'b0;
-    end
-
-    initial begin 
-	GRESTORE_int = 1'b0;
-	#(GRES_START);
-	GRESTORE_int = 1'b1;
-	#(GRES_WIDTH);
-	GRESTORE_int = 1'b0;
-    end
-
-endmodule
-`endif
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log
new file mode 100644
index 0000000000000000000000000000000000000000..3a14ee624a9f4bdaa2d11739bbf5670fa4d48b6c
--- /dev/null
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.log
@@ -0,0 +1 @@
+Time resolution is 1 ps
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh
index 59287c7fdddfd7d23c45e2a15e0e192a7ae4f937..f6a3d17a890846a8823a095d42eafff2a37c3c9e 100755
--- a/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/simulate.sh
@@ -6,7 +6,7 @@
 # Simulator   : AMD Vivado Simulator
 # Description : Script for simulating the design by launching the simulator
 #
-# Generated by Vivado on Fri May 09 10:07:07 CEST 2025
+# Generated by Vivado on Mon May 12 11:04:28 CEST 2025
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 #
 # Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb
index a4b3e118579366f779a18c0992d4862f0ef3a10b..ffcdcd283160d7e15deb2c72da2c746cdbebf57f 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb and b/proj/AudioProc.sim/sim_1/behav/xsim/tb_firUnit_behav.wdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb
index 2a6e0c5c82e59082ae413f098577f10df4ac2221..f17fb2dfa33c0317a5b8bf49cd8cf2f51e64bf31 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb and b/proj/AudioProc.sim/sim_1/behav/xsim/xelab.pb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt
deleted file mode 100644
index f3cebc99ece0e8fe86988ba6ddf5df500f7b77ae..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/Compile_Options.txt
+++ /dev/null
@@ -1 +0,0 @@
---incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "audioProc_behav" "xil_defaultlib.audioProc" "xil_defaultlib.glbl" -log "elaborate.log" 
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt
deleted file mode 100644
index fdbc612e3497473d6b58c7f0c1432b55416f6136..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/TempBreakPointFile.txt
+++ /dev/null
@@ -1 +0,0 @@
-Breakpoint File Version 1.0
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o
deleted file mode 100644
index dc2c534bfbb5732dacaca2b72261cff671fabbd2..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o
deleted file mode 100644
index 328daf1c1653b9a5f88e0fed0831630f350ee80f..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o
deleted file mode 100644
index 2fe798ad1a282d3f830dac129ce6f12201252ac7..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c
deleted file mode 100644
index cc3096dd8c37d50dd0e2d9ddca1635fab43336a4..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.c
+++ /dev/null
@@ -1,1437 +0,0 @@
-/**********************************************************************/
-/*   ____  ____                                                       */
-/*  /   /\/   /                                                       */
-/* /___/  \  /                                                        */
-/* \   \   \/                                                         */
-/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
-/*  /   /        All Right Reserved.                                  */
-/* /---/   /\                                                         */
-/* \   \  /  \                                                        */
-/*  \___\/\___\                                                       */
-/**********************************************************************/
-
-#if defined(_WIN32)
- #include "stdio.h"
- #define IKI_DLLESPEC __declspec(dllimport)
-#else
- #define IKI_DLLESPEC
-#endif
-#include "iki.h"
-#include <string.h>
-#include <math.h>
-#ifdef __GNUC__
-#include <stdlib.h>
-#else
-#include <malloc.h>
-#define alloca _alloca
-#endif
-/**********************************************************************/
-/*   ____  ____                                                       */
-/*  /   /\/   /                                                       */
-/* /___/  \  /                                                        */
-/* \   \   \/                                                         */
-/*  \   \        Copyright (c) 2003-2020 Xilinx, Inc.                 */
-/*  /   /        All Right Reserved.                                  */
-/* /---/   /\                                                         */
-/* \   \  /  \                                                        */
-/*  \___\/\___\                                                       */
-/**********************************************************************/
-
-#if defined(_WIN32)
- #include "stdio.h"
- #define IKI_DLLESPEC __declspec(dllimport)
-#else
- #define IKI_DLLESPEC
-#endif
-#include "iki.h"
-#include <string.h>
-#include <math.h>
-#ifdef __GNUC__
-#include <stdlib.h>
-#else
-#include <malloc.h>
-#define alloca _alloca
-#endif
-typedef void (*funcp)(char *, char *);
-extern int main(int, char**);
-IKI_DLLESPEC extern void execute_218(char*, char *);
-IKI_DLLESPEC extern void execute_219(char*, char *);
-IKI_DLLESPEC extern void execute_4057(char*, char *);
-IKI_DLLESPEC extern void execute_4058(char*, char *);
-IKI_DLLESPEC extern void execute_4064(char*, char *);
-IKI_DLLESPEC extern void execute_4065(char*, char *);
-IKI_DLLESPEC extern void execute_4154(char*, char *);
-IKI_DLLESPEC extern void execute_4155(char*, char *);
-IKI_DLLESPEC extern void execute_4156(char*, char *);
-IKI_DLLESPEC extern void execute_4157(char*, char *);
-IKI_DLLESPEC extern void execute_4158(char*, char *);
-IKI_DLLESPEC extern void execute_4159(char*, char *);
-IKI_DLLESPEC extern void execute_4160(char*, char *);
-IKI_DLLESPEC extern void execute_4161(char*, char *);
-IKI_DLLESPEC extern void execute_4162(char*, char *);
-IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
-IKI_DLLESPEC extern void execute_7903(char*, char *);
-IKI_DLLESPEC extern void execute_7904(char*, char *);
-IKI_DLLESPEC extern void execute_7905(char*, char *);
-IKI_DLLESPEC extern void execute_7906(char*, char *);
-IKI_DLLESPEC extern void execute_7907(char*, char *);
-IKI_DLLESPEC extern void execute_7908(char*, char *);
-IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
-IKI_DLLESPEC extern void execute_4150(char*, char *);
-IKI_DLLESPEC extern void execute_4151(char*, char *);
-IKI_DLLESPEC extern void execute_4066(char*, char *);
-IKI_DLLESPEC extern void execute_6(char*, char *);
-IKI_DLLESPEC extern void execute_7(char*, char *);
-IKI_DLLESPEC extern void execute_8(char*, char *);
-IKI_DLLESPEC extern void execute_9(char*, char *);
-IKI_DLLESPEC extern void execute_10(char*, char *);
-IKI_DLLESPEC extern void execute_11(char*, char *);
-IKI_DLLESPEC extern void execute_12(char*, char *);
-IKI_DLLESPEC extern void execute_13(char*, char *);
-IKI_DLLESPEC extern void execute_14(char*, char *);
-IKI_DLLESPEC extern void execute_15(char*, char *);
-IKI_DLLESPEC extern void execute_16(char*, char *);
-IKI_DLLESPEC extern void execute_17(char*, char *);
-IKI_DLLESPEC extern void execute_18(char*, char *);
-IKI_DLLESPEC extern void execute_19(char*, char *);
-IKI_DLLESPEC extern void execute_20(char*, char *);
-IKI_DLLESPEC extern void execute_22(char*, char *);
-IKI_DLLESPEC extern void execute_23(char*, char *);
-IKI_DLLESPEC extern void execute_24(char*, char *);
-IKI_DLLESPEC extern void execute_25(char*, char *);
-IKI_DLLESPEC extern void execute_26(char*, char *);
-IKI_DLLESPEC extern void execute_27(char*, char *);
-IKI_DLLESPEC extern void execute_28(char*, char *);
-IKI_DLLESPEC extern void execute_29(char*, char *);
-IKI_DLLESPEC extern void execute_30(char*, char *);
-IKI_DLLESPEC extern void execute_31(char*, char *);
-IKI_DLLESPEC extern void execute_32(char*, char *);
-IKI_DLLESPEC extern void execute_33(char*, char *);
-IKI_DLLESPEC extern void execute_34(char*, char *);
-IKI_DLLESPEC extern void execute_35(char*, char *);
-IKI_DLLESPEC extern void execute_36(char*, char *);
-IKI_DLLESPEC extern void execute_37(char*, char *);
-IKI_DLLESPEC extern void execute_38(char*, char *);
-IKI_DLLESPEC extern void execute_39(char*, char *);
-IKI_DLLESPEC extern void execute_40(char*, char *);
-IKI_DLLESPEC extern void execute_41(char*, char *);
-IKI_DLLESPEC extern void execute_42(char*, char *);
-IKI_DLLESPEC extern void execute_43(char*, char *);
-IKI_DLLESPEC extern void execute_44(char*, char *);
-IKI_DLLESPEC extern void execute_45(char*, char *);
-IKI_DLLESPEC extern void execute_46(char*, char *);
-IKI_DLLESPEC extern void execute_47(char*, char *);
-IKI_DLLESPEC extern void execute_48(char*, char *);
-IKI_DLLESPEC extern void execute_49(char*, char *);
-IKI_DLLESPEC extern void execute_50(char*, char *);
-IKI_DLLESPEC extern void execute_51(char*, char *);
-IKI_DLLESPEC extern void execute_52(char*, char *);
-IKI_DLLESPEC extern void execute_53(char*, char *);
-IKI_DLLESPEC extern void execute_54(char*, char *);
-IKI_DLLESPEC extern void execute_55(char*, char *);
-IKI_DLLESPEC extern void execute_56(char*, char *);
-IKI_DLLESPEC extern void execute_57(char*, char *);
-IKI_DLLESPEC extern void execute_58(char*, char *);
-IKI_DLLESPEC extern void execute_59(char*, char *);
-IKI_DLLESPEC extern void execute_60(char*, char *);
-IKI_DLLESPEC extern void execute_61(char*, char *);
-IKI_DLLESPEC extern void execute_62(char*, char *);
-IKI_DLLESPEC extern void execute_63(char*, char *);
-IKI_DLLESPEC extern void execute_64(char*, char *);
-IKI_DLLESPEC extern void execute_65(char*, char *);
-IKI_DLLESPEC extern void execute_66(char*, char *);
-IKI_DLLESPEC extern void execute_67(char*, char *);
-IKI_DLLESPEC extern void execute_68(char*, char *);
-IKI_DLLESPEC extern void execute_69(char*, char *);
-IKI_DLLESPEC extern void execute_70(char*, char *);
-IKI_DLLESPEC extern void execute_71(char*, char *);
-IKI_DLLESPEC extern void execute_72(char*, char *);
-IKI_DLLESPEC extern void execute_73(char*, char *);
-IKI_DLLESPEC extern void execute_74(char*, char *);
-IKI_DLLESPEC extern void execute_75(char*, char *);
-IKI_DLLESPEC extern void execute_76(char*, char *);
-IKI_DLLESPEC extern void execute_77(char*, char *);
-IKI_DLLESPEC extern void execute_78(char*, char *);
-IKI_DLLESPEC extern void execute_79(char*, char *);
-IKI_DLLESPEC extern void execute_80(char*, char *);
-IKI_DLLESPEC extern void execute_81(char*, char *);
-IKI_DLLESPEC extern void execute_82(char*, char *);
-IKI_DLLESPEC extern void execute_83(char*, char *);
-IKI_DLLESPEC extern void execute_84(char*, char *);
-IKI_DLLESPEC extern void execute_85(char*, char *);
-IKI_DLLESPEC extern void execute_86(char*, char *);
-IKI_DLLESPEC extern void execute_87(char*, char *);
-IKI_DLLESPEC extern void execute_88(char*, char *);
-IKI_DLLESPEC extern void execute_89(char*, char *);
-IKI_DLLESPEC extern void execute_90(char*, char *);
-IKI_DLLESPEC extern void execute_91(char*, char *);
-IKI_DLLESPEC extern void execute_92(char*, char *);
-IKI_DLLESPEC extern void execute_93(char*, char *);
-IKI_DLLESPEC extern void execute_94(char*, char *);
-IKI_DLLESPEC extern void execute_95(char*, char *);
-IKI_DLLESPEC extern void execute_96(char*, char *);
-IKI_DLLESPEC extern void execute_97(char*, char *);
-IKI_DLLESPEC extern void execute_98(char*, char *);
-IKI_DLLESPEC extern void execute_99(char*, char *);
-IKI_DLLESPEC extern void execute_100(char*, char *);
-IKI_DLLESPEC extern void execute_101(char*, char *);
-IKI_DLLESPEC extern void execute_102(char*, char *);
-IKI_DLLESPEC extern void execute_103(char*, char *);
-IKI_DLLESPEC extern void execute_104(char*, char *);
-IKI_DLLESPEC extern void execute_105(char*, char *);
-IKI_DLLESPEC extern void execute_106(char*, char *);
-IKI_DLLESPEC extern void execute_107(char*, char *);
-IKI_DLLESPEC extern void execute_108(char*, char *);
-IKI_DLLESPEC extern void execute_109(char*, char *);
-IKI_DLLESPEC extern void execute_110(char*, char *);
-IKI_DLLESPEC extern void execute_111(char*, char *);
-IKI_DLLESPEC extern void execute_112(char*, char *);
-IKI_DLLESPEC extern void execute_113(char*, char *);
-IKI_DLLESPEC extern void execute_114(char*, char *);
-IKI_DLLESPEC extern void execute_115(char*, char *);
-IKI_DLLESPEC extern void execute_131(char*, char *);
-IKI_DLLESPEC extern void execute_4067(char*, char *);
-IKI_DLLESPEC extern void execute_4068(char*, char *);
-IKI_DLLESPEC extern void execute_4071(char*, char *);
-IKI_DLLESPEC extern void execute_4072(char*, char *);
-IKI_DLLESPEC extern void execute_4084(char*, char *);
-IKI_DLLESPEC extern void execute_4085(char*, char *);
-IKI_DLLESPEC extern void execute_4086(char*, char *);
-IKI_DLLESPEC extern void execute_4087(char*, char *);
-IKI_DLLESPEC extern void execute_4088(char*, char *);
-IKI_DLLESPEC extern void execute_4089(char*, char *);
-IKI_DLLESPEC extern void execute_4090(char*, char *);
-IKI_DLLESPEC extern void execute_4091(char*, char *);
-IKI_DLLESPEC extern void execute_4092(char*, char *);
-IKI_DLLESPEC extern void execute_4093(char*, char *);
-IKI_DLLESPEC extern void execute_4094(char*, char *);
-IKI_DLLESPEC extern void execute_4095(char*, char *);
-IKI_DLLESPEC extern void execute_4096(char*, char *);
-IKI_DLLESPEC extern void execute_4097(char*, char *);
-IKI_DLLESPEC extern void execute_4098(char*, char *);
-IKI_DLLESPEC extern void execute_4099(char*, char *);
-IKI_DLLESPEC extern void execute_4100(char*, char *);
-IKI_DLLESPEC extern void execute_4101(char*, char *);
-IKI_DLLESPEC extern void execute_4102(char*, char *);
-IKI_DLLESPEC extern void execute_4103(char*, char *);
-IKI_DLLESPEC extern void execute_4104(char*, char *);
-IKI_DLLESPEC extern void execute_4105(char*, char *);
-IKI_DLLESPEC extern void execute_4106(char*, char *);
-IKI_DLLESPEC extern void execute_4107(char*, char *);
-IKI_DLLESPEC extern void execute_4108(char*, char *);
-IKI_DLLESPEC extern void execute_4109(char*, char *);
-IKI_DLLESPEC extern void execute_4110(char*, char *);
-IKI_DLLESPEC extern void execute_4111(char*, char *);
-IKI_DLLESPEC extern void execute_4112(char*, char *);
-IKI_DLLESPEC extern void execute_4113(char*, char *);
-IKI_DLLESPEC extern void execute_4114(char*, char *);
-IKI_DLLESPEC extern void execute_4115(char*, char *);
-IKI_DLLESPEC extern void execute_4116(char*, char *);
-IKI_DLLESPEC extern void execute_4117(char*, char *);
-IKI_DLLESPEC extern void execute_4118(char*, char *);
-IKI_DLLESPEC extern void execute_4119(char*, char *);
-IKI_DLLESPEC extern void execute_4120(char*, char *);
-IKI_DLLESPEC extern void execute_4121(char*, char *);
-IKI_DLLESPEC extern void execute_4122(char*, char *);
-IKI_DLLESPEC extern void execute_4123(char*, char *);
-IKI_DLLESPEC extern void execute_4124(char*, char *);
-IKI_DLLESPEC extern void execute_4125(char*, char *);
-IKI_DLLESPEC extern void execute_4126(char*, char *);
-IKI_DLLESPEC extern void execute_4127(char*, char *);
-IKI_DLLESPEC extern void execute_4128(char*, char *);
-IKI_DLLESPEC extern void execute_4129(char*, char *);
-IKI_DLLESPEC extern void execute_4130(char*, char *);
-IKI_DLLESPEC extern void execute_4131(char*, char *);
-IKI_DLLESPEC extern void execute_4132(char*, char *);
-IKI_DLLESPEC extern void execute_4133(char*, char *);
-IKI_DLLESPEC extern void execute_4134(char*, char *);
-IKI_DLLESPEC extern void execute_4135(char*, char *);
-IKI_DLLESPEC extern void execute_4136(char*, char *);
-IKI_DLLESPEC extern void execute_4137(char*, char *);
-IKI_DLLESPEC extern void execute_4138(char*, char *);
-IKI_DLLESPEC extern void execute_4139(char*, char *);
-IKI_DLLESPEC extern void execute_4140(char*, char *);
-IKI_DLLESPEC extern void execute_4143(char*, char *);
-IKI_DLLESPEC extern void execute_138(char*, char *);
-IKI_DLLESPEC extern void execute_195(char*, char *);
-IKI_DLLESPEC extern void execute_196(char*, char *);
-IKI_DLLESPEC extern void execute_197(char*, char *);
-IKI_DLLESPEC extern void execute_198(char*, char *);
-IKI_DLLESPEC extern void execute_4152(char*, char *);
-IKI_DLLESPEC extern void execute_4153(char*, char *);
-IKI_DLLESPEC extern void execute_173(char*, char *);
-IKI_DLLESPEC extern void execute_174(char*, char *);
-IKI_DLLESPEC extern void execute_175(char*, char *);
-IKI_DLLESPEC extern void execute_176(char*, char *);
-IKI_DLLESPEC extern void execute_177(char*, char *);
-IKI_DLLESPEC extern void execute_178(char*, char *);
-IKI_DLLESPEC extern void execute_179(char*, char *);
-IKI_DLLESPEC extern void execute_180(char*, char *);
-IKI_DLLESPEC extern void execute_181(char*, char *);
-IKI_DLLESPEC extern void execute_182(char*, char *);
-IKI_DLLESPEC extern void execute_183(char*, char *);
-IKI_DLLESPEC extern void execute_184(char*, char *);
-IKI_DLLESPEC extern void execute_185(char*, char *);
-IKI_DLLESPEC extern void execute_186(char*, char *);
-IKI_DLLESPEC extern void execute_187(char*, char *);
-IKI_DLLESPEC extern void execute_188(char*, char *);
-IKI_DLLESPEC extern void execute_189(char*, char *);
-IKI_DLLESPEC extern void execute_190(char*, char *);
-IKI_DLLESPEC extern void execute_191(char*, char *);
-IKI_DLLESPEC extern void execute_192(char*, char *);
-IKI_DLLESPEC extern void execute_193(char*, char *);
-IKI_DLLESPEC extern void execute_194(char*, char *);
-IKI_DLLESPEC extern void execute_200(char*, char *);
-IKI_DLLESPEC extern void execute_201(char*, char *);
-IKI_DLLESPEC extern void execute_203(char*, char *);
-IKI_DLLESPEC extern void execute_204(char*, char *);
-IKI_DLLESPEC extern void execute_205(char*, char *);
-IKI_DLLESPEC extern void execute_206(char*, char *);
-IKI_DLLESPEC extern void execute_207(char*, char *);
-IKI_DLLESPEC extern void execute_208(char*, char *);
-IKI_DLLESPEC extern void execute_209(char*, char *);
-IKI_DLLESPEC extern void execute_210(char*, char *);
-IKI_DLLESPEC extern void execute_211(char*, char *);
-IKI_DLLESPEC extern void execute_212(char*, char *);
-IKI_DLLESPEC extern void execute_213(char*, char *);
-IKI_DLLESPEC extern void execute_214(char*, char *);
-IKI_DLLESPEC extern void execute_215(char*, char *);
-IKI_DLLESPEC extern void execute_216(char*, char *);
-IKI_DLLESPEC extern void execute_217(char*, char *);
-IKI_DLLESPEC extern void execute_230(char*, char *);
-IKI_DLLESPEC extern void execute_2136(char*, char *);
-IKI_DLLESPEC extern void execute_2137(char*, char *);
-IKI_DLLESPEC extern void execute_233(char*, char *);
-IKI_DLLESPEC extern void execute_234(char*, char *);
-IKI_DLLESPEC extern void execute_235(char*, char *);
-IKI_DLLESPEC extern void execute_236(char*, char *);
-IKI_DLLESPEC extern void execute_237(char*, char *);
-IKI_DLLESPEC extern void execute_238(char*, char *);
-IKI_DLLESPEC extern void execute_239(char*, char *);
-IKI_DLLESPEC extern void execute_240(char*, char *);
-IKI_DLLESPEC extern void execute_241(char*, char *);
-IKI_DLLESPEC extern void execute_6034(char*, char *);
-IKI_DLLESPEC extern void execute_6035(char*, char *);
-IKI_DLLESPEC extern void execute_271(char*, char *);
-IKI_DLLESPEC extern void execute_4196(char*, char *);
-IKI_DLLESPEC extern void execute_4197(char*, char *);
-IKI_DLLESPEC extern void execute_4198(char*, char *);
-IKI_DLLESPEC extern void execute_306(char*, char *);
-IKI_DLLESPEC extern void execute_4248(char*, char *);
-IKI_DLLESPEC extern void execute_4249(char*, char *);
-IKI_DLLESPEC extern void execute_4250(char*, char *);
-IKI_DLLESPEC extern void execute_4251(char*, char *);
-IKI_DLLESPEC extern void execute_4252(char*, char *);
-IKI_DLLESPEC extern void execute_4253(char*, char *);
-IKI_DLLESPEC extern void execute_4254(char*, char *);
-IKI_DLLESPEC extern void execute_4255(char*, char *);
-IKI_DLLESPEC extern void execute_4247(char*, char *);
-IKI_DLLESPEC extern void execute_308(char*, char *);
-IKI_DLLESPEC extern void execute_309(char*, char *);
-IKI_DLLESPEC extern void execute_310(char*, char *);
-IKI_DLLESPEC extern void execute_311(char*, char *);
-IKI_DLLESPEC extern void execute_312(char*, char *);
-IKI_DLLESPEC extern void execute_313(char*, char *);
-IKI_DLLESPEC extern void execute_314(char*, char *);
-IKI_DLLESPEC extern void execute_315(char*, char *);
-IKI_DLLESPEC extern void execute_316(char*, char *);
-IKI_DLLESPEC extern void execute_317(char*, char *);
-IKI_DLLESPEC extern void execute_318(char*, char *);
-IKI_DLLESPEC extern void execute_319(char*, char *);
-IKI_DLLESPEC extern void execute_320(char*, char *);
-IKI_DLLESPEC extern void execute_321(char*, char *);
-IKI_DLLESPEC extern void execute_322(char*, char *);
-IKI_DLLESPEC extern void execute_323(char*, char *);
-IKI_DLLESPEC extern void execute_324(char*, char *);
-IKI_DLLESPEC extern void execute_325(char*, char *);
-IKI_DLLESPEC extern void execute_326(char*, char *);
-IKI_DLLESPEC extern void execute_327(char*, char *);
-IKI_DLLESPEC extern void execute_328(char*, char *);
-IKI_DLLESPEC extern void execute_329(char*, char *);
-IKI_DLLESPEC extern void execute_330(char*, char *);
-IKI_DLLESPEC extern void execute_331(char*, char *);
-IKI_DLLESPEC extern void execute_332(char*, char *);
-IKI_DLLESPEC extern void execute_333(char*, char *);
-IKI_DLLESPEC extern void execute_334(char*, char *);
-IKI_DLLESPEC extern void execute_335(char*, char *);
-IKI_DLLESPEC extern void execute_338(char*, char *);
-IKI_DLLESPEC extern void execute_339(char*, char *);
-IKI_DLLESPEC extern void execute_340(char*, char *);
-IKI_DLLESPEC extern void execute_341(char*, char *);
-IKI_DLLESPEC extern void execute_342(char*, char *);
-IKI_DLLESPEC extern void execute_343(char*, char *);
-IKI_DLLESPEC extern void execute_344(char*, char *);
-IKI_DLLESPEC extern void execute_345(char*, char *);
-IKI_DLLESPEC extern void execute_346(char*, char *);
-IKI_DLLESPEC extern void execute_347(char*, char *);
-IKI_DLLESPEC extern void execute_348(char*, char *);
-IKI_DLLESPEC extern void execute_349(char*, char *);
-IKI_DLLESPEC extern void execute_350(char*, char *);
-IKI_DLLESPEC extern void execute_351(char*, char *);
-IKI_DLLESPEC extern void execute_352(char*, char *);
-IKI_DLLESPEC extern void execute_353(char*, char *);
-IKI_DLLESPEC extern void execute_4256(char*, char *);
-IKI_DLLESPEC extern void execute_4257(char*, char *);
-IKI_DLLESPEC extern void execute_4258(char*, char *);
-IKI_DLLESPEC extern void execute_4259(char*, char *);
-IKI_DLLESPEC extern void execute_4260(char*, char *);
-IKI_DLLESPEC extern void execute_4261(char*, char *);
-IKI_DLLESPEC extern void execute_4262(char*, char *);
-IKI_DLLESPEC extern void execute_4263(char*, char *);
-IKI_DLLESPEC extern void execute_4264(char*, char *);
-IKI_DLLESPEC extern void execute_4265(char*, char *);
-IKI_DLLESPEC extern void execute_4266(char*, char *);
-IKI_DLLESPEC extern void execute_4267(char*, char *);
-IKI_DLLESPEC extern void execute_4268(char*, char *);
-IKI_DLLESPEC extern void execute_4269(char*, char *);
-IKI_DLLESPEC extern void execute_4270(char*, char *);
-IKI_DLLESPEC extern void vlog_simple_process_execute_1_fast_no_reg_no_agg(char*, char*, char*);
-IKI_DLLESPEC extern void execute_4311(char*, char *);
-IKI_DLLESPEC extern void execute_4316(char*, char *);
-IKI_DLLESPEC extern void execute_4331(char*, char *);
-IKI_DLLESPEC extern void execute_4333(char*, char *);
-IKI_DLLESPEC extern void execute_4335(char*, char *);
-IKI_DLLESPEC extern void execute_4347(char*, char *);
-IKI_DLLESPEC extern void execute_4348(char*, char *);
-IKI_DLLESPEC extern void execute_4349(char*, char *);
-IKI_DLLESPEC extern void execute_4351(char*, char *);
-IKI_DLLESPEC extern void execute_4352(char*, char *);
-IKI_DLLESPEC extern void execute_4353(char*, char *);
-IKI_DLLESPEC extern void execute_4354(char*, char *);
-IKI_DLLESPEC extern void execute_4355(char*, char *);
-IKI_DLLESPEC extern void execute_4356(char*, char *);
-IKI_DLLESPEC extern void execute_4357(char*, char *);
-IKI_DLLESPEC extern void execute_4358(char*, char *);
-IKI_DLLESPEC extern void execute_4360(char*, char *);
-IKI_DLLESPEC extern void execute_4361(char*, char *);
-IKI_DLLESPEC extern void execute_4362(char*, char *);
-IKI_DLLESPEC extern void execute_4363(char*, char *);
-IKI_DLLESPEC extern void execute_4364(char*, char *);
-IKI_DLLESPEC extern void execute_4365(char*, char *);
-IKI_DLLESPEC extern void execute_4366(char*, char *);
-IKI_DLLESPEC extern void execute_4367(char*, char *);
-IKI_DLLESPEC extern void execute_4368(char*, char *);
-IKI_DLLESPEC extern void execute_4369(char*, char *);
-IKI_DLLESPEC extern void execute_4370(char*, char *);
-IKI_DLLESPEC extern void execute_4375(char*, char *);
-IKI_DLLESPEC extern void execute_4376(char*, char *);
-IKI_DLLESPEC extern void execute_4377(char*, char *);
-IKI_DLLESPEC extern void execute_4378(char*, char *);
-IKI_DLLESPEC extern void execute_4379(char*, char *);
-IKI_DLLESPEC extern void execute_4380(char*, char *);
-IKI_DLLESPEC extern void execute_4381(char*, char *);
-IKI_DLLESPEC extern void execute_4382(char*, char *);
-IKI_DLLESPEC extern void execute_4383(char*, char *);
-IKI_DLLESPEC extern void execute_4384(char*, char *);
-IKI_DLLESPEC extern void execute_4385(char*, char *);
-IKI_DLLESPEC extern void execute_4386(char*, char *);
-IKI_DLLESPEC extern void execute_4387(char*, char *);
-IKI_DLLESPEC extern void execute_4388(char*, char *);
-IKI_DLLESPEC extern void execute_4389(char*, char *);
-IKI_DLLESPEC extern void execute_4390(char*, char *);
-IKI_DLLESPEC extern void execute_4391(char*, char *);
-IKI_DLLESPEC extern void execute_4392(char*, char *);
-IKI_DLLESPEC extern void execute_4393(char*, char *);
-IKI_DLLESPEC extern void execute_4394(char*, char *);
-IKI_DLLESPEC extern void execute_4395(char*, char *);
-IKI_DLLESPEC extern void execute_4396(char*, char *);
-IKI_DLLESPEC extern void execute_4397(char*, char *);
-IKI_DLLESPEC extern void execute_356(char*, char *);
-IKI_DLLESPEC extern void execute_4399(char*, char *);
-IKI_DLLESPEC extern void execute_4400(char*, char *);
-IKI_DLLESPEC extern void execute_4401(char*, char *);
-IKI_DLLESPEC extern void execute_4402(char*, char *);
-IKI_DLLESPEC extern void execute_4398(char*, char *);
-IKI_DLLESPEC extern void execute_359(char*, char *);
-IKI_DLLESPEC extern void execute_360(char*, char *);
-IKI_DLLESPEC extern void execute_363(char*, char *);
-IKI_DLLESPEC extern void execute_364(char*, char *);
-IKI_DLLESPEC extern void execute_470(char*, char *);
-IKI_DLLESPEC extern void execute_506(char*, char *);
-IKI_DLLESPEC extern void execute_755(char*, char *);
-IKI_DLLESPEC extern void execute_756(char*, char *);
-IKI_DLLESPEC extern void execute_757(char*, char *);
-IKI_DLLESPEC extern void execute_4543(char*, char *);
-IKI_DLLESPEC extern void execute_4544(char*, char *);
-IKI_DLLESPEC extern void execute_4545(char*, char *);
-IKI_DLLESPEC extern void execute_4546(char*, char *);
-IKI_DLLESPEC extern void execute_4555(char*, char *);
-IKI_DLLESPEC extern void execute_4556(char*, char *);
-IKI_DLLESPEC extern void execute_4557(char*, char *);
-IKI_DLLESPEC extern void execute_4560(char*, char *);
-IKI_DLLESPEC extern void execute_4561(char*, char *);
-IKI_DLLESPEC extern void execute_4562(char*, char *);
-IKI_DLLESPEC extern void execute_4563(char*, char *);
-IKI_DLLESPEC extern void execute_838(char*, char *);
-IKI_DLLESPEC extern void execute_839(char*, char *);
-IKI_DLLESPEC extern void execute_4060(char*, char *);
-IKI_DLLESPEC extern void execute_4061(char*, char *);
-IKI_DLLESPEC extern void execute_4062(char*, char *);
-IKI_DLLESPEC extern void execute_4063(char*, char *);
-IKI_DLLESPEC extern void execute_7909(char*, char *);
-IKI_DLLESPEC extern void execute_7910(char*, char *);
-IKI_DLLESPEC extern void execute_7911(char*, char *);
-IKI_DLLESPEC extern void execute_7912(char*, char *);
-IKI_DLLESPEC extern void execute_7913(char*, char *);
-IKI_DLLESPEC extern void execute_7914(char*, char *);
-IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
-IKI_DLLESPEC extern void transaction_20(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_21(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_23(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_24(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_25(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_26(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_27(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_28(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_63(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_64(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_65(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_66(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_67(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_69(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_70(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_71(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_72(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_73(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_74(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_75(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_76(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_77(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_78(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_79(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_83(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_84(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_85(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_86(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_87(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_88(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_710(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_711(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_712(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_714(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
-IKI_DLLESPEC extern void transaction_725(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_770(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_811(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_819(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_820(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_822(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_823(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_824(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_825(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_826(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_827(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_828(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_833(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_834(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_835(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_836(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_837(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_838(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_839(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_840(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_841(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_842(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_843(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_844(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_855(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_965(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_974(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_975(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_976(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_977(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_978(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_979(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_983(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_984(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_985(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_986(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_987(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_988(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_989(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_990(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_997(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1014(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1019(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1047(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1048(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1049(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1050(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1051(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1052(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1053(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1054(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1055(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1056(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1057(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1058(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1059(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1060(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1061(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1062(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1063(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1064(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1065(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1066(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1067(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3937(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3945(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3946(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3948(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3949(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3950(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3951(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3952(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3953(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3954(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3959(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3960(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3961(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3962(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3963(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3964(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3965(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3966(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3967(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3968(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3969(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3970(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3981(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4091(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4100(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4101(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4102(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4103(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4104(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4105(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4109(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4110(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4111(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4112(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4113(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4114(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4115(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4116(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4123(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4140(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4145(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4173(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4174(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4175(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4176(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4177(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4178(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4179(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4180(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4181(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4182(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4183(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4184(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4185(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4186(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4187(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4188(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4189(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4190(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4191(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4192(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4193(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_194(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_195(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_263(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_264(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_265(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_266(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_296(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_707(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_708(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_709(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1076(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1077(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1080(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1084(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1085(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1088(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1091(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1093(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1095(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1097(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1102(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1105(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1111(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1132(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1139(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1140(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1141(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1142(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1148(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1776(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1782(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1788(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1802(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1808(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1814(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1820(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1833(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1839(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1845(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1860(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1866(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1872(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1878(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1892(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1898(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1941(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1947(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1953(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1959(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1965(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1971(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1977(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1983(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1989(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1995(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2001(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2007(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2013(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2019(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2025(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2031(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2037(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2043(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2049(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2055(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2061(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2067(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2073(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2079(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2085(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2091(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2097(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2103(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2109(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2115(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2121(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2127(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2133(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2139(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2145(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2151(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2157(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2163(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2169(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2175(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2181(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2187(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2193(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2199(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2205(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2211(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2217(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2223(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2229(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2235(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2241(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2247(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2253(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2259(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2265(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2271(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2277(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2283(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2289(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2295(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2301(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2307(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2313(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2319(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2325(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2331(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2337(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2343(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2349(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2355(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2361(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2367(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2373(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2379(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2385(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2391(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2397(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2403(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2409(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2415(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2421(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2427(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2433(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2439(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2445(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2451(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2457(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2463(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2469(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2475(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2481(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2487(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2493(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2499(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2505(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2511(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2517(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2523(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2529(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2535(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2541(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2547(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2553(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2559(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2565(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2571(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2577(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2583(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2589(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2595(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2601(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2607(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2613(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2619(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2625(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2631(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2637(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2643(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2649(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2655(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2661(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2667(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2673(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2679(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2685(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2691(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2697(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2703(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2709(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2715(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2721(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2727(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2733(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2739(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2745(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2751(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2757(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2763(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2769(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2775(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2781(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2787(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2793(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2799(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2805(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2811(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2817(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2823(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2829(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2835(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2841(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2847(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2853(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2859(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2865(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2871(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2877(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2883(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2889(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2895(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2901(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2907(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2913(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2919(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2925(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2931(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2937(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2943(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2949(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2955(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2961(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2967(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2973(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2979(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2985(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2991(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2997(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3003(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3009(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3015(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3021(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3027(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3033(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3039(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3045(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3051(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3057(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3063(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3069(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3075(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3081(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3087(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3093(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3099(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3105(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3111(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3117(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3123(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3129(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3135(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3141(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3147(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3153(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3159(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3165(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3171(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3177(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3183(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3189(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3195(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3201(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3207(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3213(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3219(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3225(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3231(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3237(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3243(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3249(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3255(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3261(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3267(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3273(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3279(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3285(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3291(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3297(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3303(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3309(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3315(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3321(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3327(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3333(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3339(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3345(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3351(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3357(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3363(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3369(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3375(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3381(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3387(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3393(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3399(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3405(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3411(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3417(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3423(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3429(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3435(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3441(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3447(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3453(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3459(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3465(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3471(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3477(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3483(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3489(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3495(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3723(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3729(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3735(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3741(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3747(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3753(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3759(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3765(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3771(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3777(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3783(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3789(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3795(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3801(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3807(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3813(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3819(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3825(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3831(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3837(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3843(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3849(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3855(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3861(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3867(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3873(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3879(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3885(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3891(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3897(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3903(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3909(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3915(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3921(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3927(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3933(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4202(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4203(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4206(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4210(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4211(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4214(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4217(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4219(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4221(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4223(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4228(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4231(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4237(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4242(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4258(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4265(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4266(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4267(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4268(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4274(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4902(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4908(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4914(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4928(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4934(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4940(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4946(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4959(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4965(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4971(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4986(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4992(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_4998(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5004(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5018(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5024(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5067(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5073(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5079(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5085(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5091(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5097(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5103(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5109(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5115(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5121(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5127(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5133(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5139(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5145(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5151(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5157(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5163(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5169(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5175(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5181(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5187(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5193(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5199(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5205(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5211(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5217(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5223(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5229(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5235(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5241(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5247(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5253(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5259(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5265(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5271(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5277(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5283(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5289(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5295(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5301(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5307(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5313(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5319(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5325(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5331(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5337(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5343(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5349(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5355(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5361(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5367(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5373(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5379(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5385(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5391(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5397(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5403(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5409(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5415(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5421(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5427(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5433(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5439(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5445(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5451(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5457(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5463(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5469(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5475(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5481(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5487(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5493(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5499(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5505(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5511(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5517(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5523(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5529(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5535(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5541(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5547(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5553(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5559(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5565(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5571(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5577(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5583(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5589(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5595(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5601(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5607(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5613(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5619(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5625(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5631(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5637(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5643(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5649(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5655(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5661(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5667(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5673(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5679(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5685(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5691(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5697(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5703(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5709(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5715(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5721(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5727(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5733(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5739(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5745(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5751(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5757(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5763(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5769(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5775(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5781(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5787(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5793(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5799(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5805(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5811(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5817(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5823(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5829(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5835(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5841(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5847(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5853(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5859(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5865(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5871(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5877(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5883(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5889(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5895(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5901(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5907(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5913(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5919(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5925(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5931(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5937(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5943(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5949(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5955(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5961(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5967(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5973(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5979(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5985(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5991(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_5997(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6003(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6009(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6015(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6021(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6027(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6033(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6039(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6045(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6051(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6057(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6063(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6069(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6075(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6081(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6087(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6093(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6099(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6105(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6111(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6117(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6123(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6129(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6135(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6141(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6147(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6153(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6159(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6165(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6171(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6177(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6183(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6189(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6195(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6201(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6207(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6213(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6219(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6225(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6231(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6237(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6243(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6249(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6255(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6261(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6267(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6273(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6279(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6285(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6291(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6297(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6303(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6309(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6315(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6321(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6327(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6333(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6339(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6345(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6351(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6357(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6363(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6369(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6375(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6381(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6387(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6393(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6399(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6405(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6411(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6417(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6423(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6429(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6435(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6441(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6447(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6453(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6459(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6465(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6471(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6477(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6483(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6489(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6495(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6501(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6507(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6513(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6519(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6525(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6531(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6537(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6543(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6549(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6555(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6561(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6567(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6573(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6579(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6585(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6591(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6597(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6603(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6609(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6615(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6621(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6849(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6855(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6861(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6867(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6873(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6879(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6885(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6891(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6897(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6903(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6909(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6915(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6921(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6927(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6933(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6939(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6945(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6951(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6957(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6963(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6969(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6975(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6981(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6987(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6993(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_6999(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7005(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7011(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7017(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7023(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7029(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7035(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7041(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7047(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7053(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_7059(char*, char*, unsigned, unsigned, unsigned);
-funcp funcTab[1270] = {(funcp)execute_218, (funcp)execute_219, (funcp)execute_4057, (funcp)execute_4058, (funcp)execute_4064, (funcp)execute_4065, (funcp)execute_4154, (funcp)execute_4155, (funcp)execute_4156, (funcp)execute_4157, (funcp)execute_4158, (funcp)execute_4159, (funcp)execute_4160, (funcp)execute_4161, (funcp)execute_4162, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)execute_7903, (funcp)execute_7904, (funcp)execute_7905, (funcp)execute_7906, (funcp)execute_7907, (funcp)execute_7908, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_4150, (funcp)execute_4151, (funcp)execute_4066, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_12, (funcp)execute_13, (funcp)execute_14, (funcp)execute_15, (funcp)execute_16, (funcp)execute_17, (funcp)execute_18, (funcp)execute_19, (funcp)execute_20, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_25, (funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_41, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)execute_53, (funcp)execute_54, (funcp)execute_55, (funcp)execute_56, (funcp)execute_57, (funcp)execute_58, (funcp)execute_59, (funcp)execute_60, (funcp)execute_61, (funcp)execute_62, (funcp)execute_63, (funcp)execute_64, (funcp)execute_65, (funcp)execute_66, (funcp)execute_67, (funcp)execute_68, (funcp)execute_69, (funcp)execute_70, (funcp)execute_71, (funcp)execute_72, (funcp)execute_73, (funcp)execute_74, (funcp)execute_75, (funcp)execute_76, (funcp)execute_77, (funcp)execute_78, (funcp)execute_79, (funcp)execute_80, (funcp)execute_81, (funcp)execute_82, (funcp)execute_83, (funcp)execute_84, (funcp)execute_85, (funcp)execute_86, (funcp)execute_87, (funcp)execute_88, (funcp)execute_89, (funcp)execute_90, (funcp)execute_91, (funcp)execute_92, (funcp)execute_93, (funcp)execute_94, (funcp)execute_95, (funcp)execute_96, (funcp)execute_97, (funcp)execute_98, (funcp)execute_99, (funcp)execute_100, (funcp)execute_101, (funcp)execute_102, (funcp)execute_103, (funcp)execute_104, (funcp)execute_105, (funcp)execute_106, (funcp)execute_107, (funcp)execute_108, (funcp)execute_109, (funcp)execute_110, (funcp)execute_111, (funcp)execute_112, (funcp)execute_113, (funcp)execute_114, (funcp)execute_115, (funcp)execute_131, (funcp)execute_4067, (funcp)execute_4068, (funcp)execute_4071, (funcp)execute_4072, (funcp)execute_4084, (funcp)execute_4085, (funcp)execute_4086, (funcp)execute_4087, (funcp)execute_4088, (funcp)execute_4089, (funcp)execute_4090, (funcp)execute_4091, (funcp)execute_4092, (funcp)execute_4093, (funcp)execute_4094, (funcp)execute_4095, (funcp)execute_4096, (funcp)execute_4097, (funcp)execute_4098, (funcp)execute_4099, (funcp)execute_4100, (funcp)execute_4101, (funcp)execute_4102, (funcp)execute_4103, (funcp)execute_4104, (funcp)execute_4105, (funcp)execute_4106, (funcp)execute_4107, (funcp)execute_4108, (funcp)execute_4109, (funcp)execute_4110, (funcp)execute_4111, (funcp)execute_4112, (funcp)execute_4113, (funcp)execute_4114, (funcp)execute_4115, (funcp)execute_4116, (funcp)execute_4117, (funcp)execute_4118, (funcp)execute_4119, (funcp)execute_4120, (funcp)execute_4121, (funcp)execute_4122, (funcp)execute_4123, (funcp)execute_4124, (funcp)execute_4125, (funcp)execute_4126, (funcp)execute_4127, (funcp)execute_4128, (funcp)execute_4129, (funcp)execute_4130, (funcp)execute_4131, (funcp)execute_4132, (funcp)execute_4133, (funcp)execute_4134, (funcp)execute_4135, (funcp)execute_4136, (funcp)execute_4137, (funcp)execute_4138, (funcp)execute_4139, (funcp)execute_4140, (funcp)execute_4143, (funcp)execute_138, (funcp)execute_195, (funcp)execute_196, (funcp)execute_197, (funcp)execute_198, (funcp)execute_4152, (funcp)execute_4153, (funcp)execute_173, (funcp)execute_174, (funcp)execute_175, (funcp)execute_176, (funcp)execute_177, (funcp)execute_178, (funcp)execute_179, (funcp)execute_180, (funcp)execute_181, (funcp)execute_182, (funcp)execute_183, (funcp)execute_184, (funcp)execute_185, (funcp)execute_186, (funcp)execute_187, (funcp)execute_188, (funcp)execute_189, (funcp)execute_190, (funcp)execute_191, (funcp)execute_192, (funcp)execute_193, (funcp)execute_194, (funcp)execute_200, (funcp)execute_201, (funcp)execute_203, (funcp)execute_204, (funcp)execute_205, (funcp)execute_206, (funcp)execute_207, (funcp)execute_208, (funcp)execute_209, (funcp)execute_210, (funcp)execute_211, (funcp)execute_212, (funcp)execute_213, (funcp)execute_214, (funcp)execute_215, (funcp)execute_216, (funcp)execute_217, (funcp)execute_230, (funcp)execute_2136, (funcp)execute_2137, (funcp)execute_233, (funcp)execute_234, (funcp)execute_235, (funcp)execute_236, (funcp)execute_237, (funcp)execute_238, (funcp)execute_239, (funcp)execute_240, (funcp)execute_241, (funcp)execute_6034, (funcp)execute_6035, (funcp)execute_271, (funcp)execute_4196, (funcp)execute_4197, (funcp)execute_4198, (funcp)execute_306, (funcp)execute_4248, (funcp)execute_4249, (funcp)execute_4250, (funcp)execute_4251, (funcp)execute_4252, (funcp)execute_4253, (funcp)execute_4254, (funcp)execute_4255, (funcp)execute_4247, (funcp)execute_308, (funcp)execute_309, (funcp)execute_310, (funcp)execute_311, (funcp)execute_312, (funcp)execute_313, (funcp)execute_314, (funcp)execute_315, (funcp)execute_316, (funcp)execute_317, (funcp)execute_318, (funcp)execute_319, (funcp)execute_320, (funcp)execute_321, (funcp)execute_322, (funcp)execute_323, (funcp)execute_324, (funcp)execute_325, (funcp)execute_326, (funcp)execute_327, (funcp)execute_328, (funcp)execute_329, (funcp)execute_330, (funcp)execute_331, (funcp)execute_332, (funcp)execute_333, (funcp)execute_334, (funcp)execute_335, (funcp)execute_338, (funcp)execute_339, (funcp)execute_340, (funcp)execute_341, (funcp)execute_342, (funcp)execute_343, (funcp)execute_344, (funcp)execute_345, (funcp)execute_346, (funcp)execute_347, (funcp)execute_348, (funcp)execute_349, (funcp)execute_350, (funcp)execute_351, (funcp)execute_352, (funcp)execute_353, (funcp)execute_4256, (funcp)execute_4257, (funcp)execute_4258, (funcp)execute_4259, (funcp)execute_4260, (funcp)execute_4261, (funcp)execute_4262, (funcp)execute_4263, (funcp)execute_4264, (funcp)execute_4265, (funcp)execute_4266, (funcp)execute_4267, (funcp)execute_4268, (funcp)execute_4269, (funcp)execute_4270, (funcp)vlog_simple_process_execute_1_fast_no_reg_no_agg, (funcp)execute_4311, (funcp)execute_4316, (funcp)execute_4331, (funcp)execute_4333, (funcp)execute_4335, (funcp)execute_4347, (funcp)execute_4348, (funcp)execute_4349, (funcp)execute_4351, (funcp)execute_4352, (funcp)execute_4353, (funcp)execute_4354, (funcp)execute_4355, (funcp)execute_4356, (funcp)execute_4357, (funcp)execute_4358, (funcp)execute_4360, (funcp)execute_4361, (funcp)execute_4362, (funcp)execute_4363, (funcp)execute_4364, (funcp)execute_4365, (funcp)execute_4366, (funcp)execute_4367, (funcp)execute_4368, (funcp)execute_4369, (funcp)execute_4370, (funcp)execute_4375, (funcp)execute_4376, (funcp)execute_4377, (funcp)execute_4378, (funcp)execute_4379, (funcp)execute_4380, (funcp)execute_4381, (funcp)execute_4382, (funcp)execute_4383, (funcp)execute_4384, (funcp)execute_4385, (funcp)execute_4386, (funcp)execute_4387, (funcp)execute_4388, (funcp)execute_4389, (funcp)execute_4390, (funcp)execute_4391, (funcp)execute_4392, (funcp)execute_4393, (funcp)execute_4394, (funcp)execute_4395, (funcp)execute_4396, (funcp)execute_4397, (funcp)execute_356, (funcp)execute_4399, (funcp)execute_4400, (funcp)execute_4401, (funcp)execute_4402, (funcp)execute_4398, (funcp)execute_359, (funcp)execute_360, (funcp)execute_363, (funcp)execute_364, (funcp)execute_470, (funcp)execute_506, (funcp)execute_755, (funcp)execute_756, (funcp)execute_757, (funcp)execute_4543, (funcp)execute_4544, (funcp)execute_4545, (funcp)execute_4546, (funcp)execute_4555, (funcp)execute_4556, (funcp)execute_4557, (funcp)execute_4560, (funcp)execute_4561, (funcp)execute_4562, (funcp)execute_4563, (funcp)execute_838, (funcp)execute_839, (funcp)execute_4060, (funcp)execute_4061, (funcp)execute_4062, (funcp)execute_4063, (funcp)execute_7909, (funcp)execute_7910, (funcp)execute_7911, (funcp)execute_7912, (funcp)execute_7913, (funcp)execute_7914, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_20, (funcp)transaction_21, (funcp)transaction_23, (funcp)transaction_24, (funcp)transaction_25, (funcp)transaction_26, (funcp)transaction_27, (funcp)transaction_28, (funcp)transaction_40, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_63, (funcp)transaction_64, (funcp)transaction_65, (funcp)transaction_66, (funcp)transaction_67, (funcp)transaction_69, (funcp)transaction_70, (funcp)transaction_71, (funcp)transaction_72, (funcp)transaction_73, (funcp)transaction_74, (funcp)transaction_75, (funcp)transaction_76, (funcp)transaction_77, (funcp)transaction_78, (funcp)transaction_79, (funcp)transaction_83, (funcp)transaction_84, (funcp)transaction_85, (funcp)transaction_86, (funcp)transaction_87, (funcp)transaction_88, (funcp)transaction_710, (funcp)transaction_711, (funcp)transaction_712, (funcp)transaction_714, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_725, (funcp)transaction_770, (funcp)transaction_811, (funcp)transaction_819, (funcp)transaction_820, (funcp)transaction_822, (funcp)transaction_823, (funcp)transaction_824, (funcp)transaction_825, (funcp)transaction_826, (funcp)transaction_827, (funcp)transaction_828, (funcp)transaction_833, (funcp)transaction_834, (funcp)transaction_835, (funcp)transaction_836, (funcp)transaction_837, (funcp)transaction_838, (funcp)transaction_839, (funcp)transaction_840, (funcp)transaction_841, (funcp)transaction_842, (funcp)transaction_843, (funcp)transaction_844, (funcp)transaction_855, (funcp)transaction_965, (funcp)transaction_974, (funcp)transaction_975, (funcp)transaction_976, (funcp)transaction_977, (funcp)transaction_978, (funcp)transaction_979, (funcp)transaction_983, (funcp)transaction_984, (funcp)transaction_985, (funcp)transaction_986, (funcp)transaction_987, (funcp)transaction_988, (funcp)transaction_989, (funcp)transaction_990, (funcp)transaction_997, (funcp)transaction_1014, (funcp)transaction_1019, (funcp)transaction_1047, (funcp)transaction_1048, (funcp)transaction_1049, (funcp)transaction_1050, (funcp)transaction_1051, (funcp)transaction_1052, (funcp)transaction_1053, (funcp)transaction_1054, (funcp)transaction_1055, (funcp)transaction_1056, (funcp)transaction_1057, (funcp)transaction_1058, (funcp)transaction_1059, (funcp)transaction_1060, (funcp)transaction_1061, (funcp)transaction_1062, (funcp)transaction_1063, (funcp)transaction_1064, (funcp)transaction_1065, (funcp)transaction_1066, (funcp)transaction_1067, (funcp)transaction_3937, (funcp)transaction_3945, (funcp)transaction_3946, (funcp)transaction_3948, (funcp)transaction_3949, (funcp)transaction_3950, (funcp)transaction_3951, (funcp)transaction_3952, (funcp)transaction_3953, (funcp)transaction_3954, (funcp)transaction_3959, (funcp)transaction_3960, (funcp)transaction_3961, (funcp)transaction_3962, (funcp)transaction_3963, (funcp)transaction_3964, (funcp)transaction_3965, (funcp)transaction_3966, (funcp)transaction_3967, (funcp)transaction_3968, (funcp)transaction_3969, (funcp)transaction_3970, (funcp)transaction_3981, (funcp)transaction_4091, (funcp)transaction_4100, (funcp)transaction_4101, (funcp)transaction_4102, (funcp)transaction_4103, (funcp)transaction_4104, (funcp)transaction_4105, (funcp)transaction_4109, (funcp)transaction_4110, (funcp)transaction_4111, (funcp)transaction_4112, (funcp)transaction_4113, (funcp)transaction_4114, (funcp)transaction_4115, (funcp)transaction_4116, (funcp)transaction_4123, (funcp)transaction_4140, (funcp)transaction_4145, (funcp)transaction_4173, (funcp)transaction_4174, (funcp)transaction_4175, (funcp)transaction_4176, (funcp)transaction_4177, (funcp)transaction_4178, (funcp)transaction_4179, (funcp)transaction_4180, (funcp)transaction_4181, (funcp)transaction_4182, (funcp)transaction_4183, (funcp)transaction_4184, (funcp)transaction_4185, (funcp)transaction_4186, (funcp)transaction_4187, (funcp)transaction_4188, (funcp)transaction_4189, (funcp)transaction_4190, (funcp)transaction_4191, (funcp)transaction_4192, (funcp)transaction_4193, (funcp)transaction_50, (funcp)transaction_194, (funcp)transaction_195, (funcp)transaction_263, (funcp)transaction_264, (funcp)transaction_265, (funcp)transaction_266, (funcp)transaction_296, (funcp)transaction_707, (funcp)transaction_708, (funcp)transaction_709, (funcp)transaction_1076, (funcp)transaction_1077, (funcp)transaction_1080, (funcp)transaction_1084, (funcp)transaction_1085, (funcp)transaction_1088, (funcp)transaction_1091, (funcp)transaction_1093, (funcp)transaction_1095, (funcp)transaction_1097, (funcp)transaction_1102, (funcp)transaction_1105, (funcp)transaction_1111, (funcp)transaction_1116, (funcp)transaction_1132, (funcp)transaction_1139, (funcp)transaction_1140, (funcp)transaction_1141, (funcp)transaction_1142, (funcp)transaction_1148, (funcp)transaction_1776, (funcp)transaction_1782, (funcp)transaction_1788, (funcp)transaction_1802, (funcp)transaction_1808, (funcp)transaction_1814, (funcp)transaction_1820, (funcp)transaction_1833, (funcp)transaction_1839, (funcp)transaction_1845, (funcp)transaction_1860, (funcp)transaction_1866, (funcp)transaction_1872, (funcp)transaction_1878, (funcp)transaction_1892, (funcp)transaction_1898, (funcp)transaction_1941, (funcp)transaction_1947, (funcp)transaction_1953, (funcp)transaction_1959, (funcp)transaction_1965, (funcp)transaction_1971, (funcp)transaction_1977, (funcp)transaction_1983, (funcp)transaction_1989, (funcp)transaction_1995, (funcp)transaction_2001, (funcp)transaction_2007, (funcp)transaction_2013, (funcp)transaction_2019, (funcp)transaction_2025, (funcp)transaction_2031, (funcp)transaction_2037, (funcp)transaction_2043, (funcp)transaction_2049, (funcp)transaction_2055, (funcp)transaction_2061, (funcp)transaction_2067, (funcp)transaction_2073, (funcp)transaction_2079, (funcp)transaction_2085, (funcp)transaction_2091, (funcp)transaction_2097, (funcp)transaction_2103, (funcp)transaction_2109, (funcp)transaction_2115, (funcp)transaction_2121, (funcp)transaction_2127, (funcp)transaction_2133, (funcp)transaction_2139, (funcp)transaction_2145, (funcp)transaction_2151, (funcp)transaction_2157, (funcp)transaction_2163, (funcp)transaction_2169, (funcp)transaction_2175, (funcp)transaction_2181, (funcp)transaction_2187, (funcp)transaction_2193, (funcp)transaction_2199, (funcp)transaction_2205, (funcp)transaction_2211, (funcp)transaction_2217, (funcp)transaction_2223, (funcp)transaction_2229, (funcp)transaction_2235, (funcp)transaction_2241, (funcp)transaction_2247, (funcp)transaction_2253, (funcp)transaction_2259, (funcp)transaction_2265, (funcp)transaction_2271, (funcp)transaction_2277, (funcp)transaction_2283, (funcp)transaction_2289, (funcp)transaction_2295, (funcp)transaction_2301, (funcp)transaction_2307, (funcp)transaction_2313, (funcp)transaction_2319, (funcp)transaction_2325, (funcp)transaction_2331, (funcp)transaction_2337, (funcp)transaction_2343, (funcp)transaction_2349, (funcp)transaction_2355, (funcp)transaction_2361, (funcp)transaction_2367, (funcp)transaction_2373, (funcp)transaction_2379, (funcp)transaction_2385, (funcp)transaction_2391, (funcp)transaction_2397, (funcp)transaction_2403, (funcp)transaction_2409, (funcp)transaction_2415, (funcp)transaction_2421, (funcp)transaction_2427, (funcp)transaction_2433, (funcp)transaction_2439, (funcp)transaction_2445, (funcp)transaction_2451, (funcp)transaction_2457, (funcp)transaction_2463, (funcp)transaction_2469, (funcp)transaction_2475, (funcp)transaction_2481, (funcp)transaction_2487, (funcp)transaction_2493, (funcp)transaction_2499, (funcp)transaction_2505, (funcp)transaction_2511, (funcp)transaction_2517, (funcp)transaction_2523, (funcp)transaction_2529, (funcp)transaction_2535, (funcp)transaction_2541, (funcp)transaction_2547, (funcp)transaction_2553, (funcp)transaction_2559, (funcp)transaction_2565, (funcp)transaction_2571, (funcp)transaction_2577, (funcp)transaction_2583, (funcp)transaction_2589, (funcp)transaction_2595, (funcp)transaction_2601, (funcp)transaction_2607, (funcp)transaction_2613, (funcp)transaction_2619, (funcp)transaction_2625, (funcp)transaction_2631, (funcp)transaction_2637, (funcp)transaction_2643, (funcp)transaction_2649, (funcp)transaction_2655, (funcp)transaction_2661, (funcp)transaction_2667, (funcp)transaction_2673, (funcp)transaction_2679, (funcp)transaction_2685, (funcp)transaction_2691, (funcp)transaction_2697, (funcp)transaction_2703, (funcp)transaction_2709, (funcp)transaction_2715, (funcp)transaction_2721, (funcp)transaction_2727, (funcp)transaction_2733, (funcp)transaction_2739, (funcp)transaction_2745, (funcp)transaction_2751, (funcp)transaction_2757, (funcp)transaction_2763, (funcp)transaction_2769, (funcp)transaction_2775, (funcp)transaction_2781, (funcp)transaction_2787, (funcp)transaction_2793, (funcp)transaction_2799, (funcp)transaction_2805, (funcp)transaction_2811, (funcp)transaction_2817, (funcp)transaction_2823, (funcp)transaction_2829, (funcp)transaction_2835, (funcp)transaction_2841, (funcp)transaction_2847, (funcp)transaction_2853, (funcp)transaction_2859, (funcp)transaction_2865, (funcp)transaction_2871, (funcp)transaction_2877, (funcp)transaction_2883, (funcp)transaction_2889, (funcp)transaction_2895, (funcp)transaction_2901, (funcp)transaction_2907, (funcp)transaction_2913, (funcp)transaction_2919, (funcp)transaction_2925, (funcp)transaction_2931, (funcp)transaction_2937, (funcp)transaction_2943, (funcp)transaction_2949, (funcp)transaction_2955, (funcp)transaction_2961, (funcp)transaction_2967, (funcp)transaction_2973, (funcp)transaction_2979, (funcp)transaction_2985, (funcp)transaction_2991, (funcp)transaction_2997, (funcp)transaction_3003, (funcp)transaction_3009, (funcp)transaction_3015, (funcp)transaction_3021, (funcp)transaction_3027, (funcp)transaction_3033, (funcp)transaction_3039, (funcp)transaction_3045, (funcp)transaction_3051, (funcp)transaction_3057, (funcp)transaction_3063, (funcp)transaction_3069, (funcp)transaction_3075, (funcp)transaction_3081, (funcp)transaction_3087, (funcp)transaction_3093, (funcp)transaction_3099, (funcp)transaction_3105, (funcp)transaction_3111, (funcp)transaction_3117, (funcp)transaction_3123, (funcp)transaction_3129, (funcp)transaction_3135, (funcp)transaction_3141, (funcp)transaction_3147, (funcp)transaction_3153, (funcp)transaction_3159, (funcp)transaction_3165, (funcp)transaction_3171, (funcp)transaction_3177, (funcp)transaction_3183, (funcp)transaction_3189, (funcp)transaction_3195, (funcp)transaction_3201, (funcp)transaction_3207, (funcp)transaction_3213, (funcp)transaction_3219, (funcp)transaction_3225, (funcp)transaction_3231, (funcp)transaction_3237, (funcp)transaction_3243, (funcp)transaction_3249, (funcp)transaction_3255, (funcp)transaction_3261, (funcp)transaction_3267, (funcp)transaction_3273, (funcp)transaction_3279, (funcp)transaction_3285, (funcp)transaction_3291, (funcp)transaction_3297, (funcp)transaction_3303, (funcp)transaction_3309, (funcp)transaction_3315, (funcp)transaction_3321, (funcp)transaction_3327, (funcp)transaction_3333, (funcp)transaction_3339, (funcp)transaction_3345, (funcp)transaction_3351, (funcp)transaction_3357, (funcp)transaction_3363, (funcp)transaction_3369, (funcp)transaction_3375, (funcp)transaction_3381, (funcp)transaction_3387, (funcp)transaction_3393, (funcp)transaction_3399, (funcp)transaction_3405, (funcp)transaction_3411, (funcp)transaction_3417, (funcp)transaction_3423, (funcp)transaction_3429, (funcp)transaction_3435, (funcp)transaction_3441, (funcp)transaction_3447, (funcp)transaction_3453, (funcp)transaction_3459, (funcp)transaction_3465, (funcp)transaction_3471, (funcp)transaction_3477, (funcp)transaction_3483, (funcp)transaction_3489, (funcp)transaction_3495, (funcp)transaction_3723, (funcp)transaction_3729, (funcp)transaction_3735, (funcp)transaction_3741, (funcp)transaction_3747, (funcp)transaction_3753, (funcp)transaction_3759, (funcp)transaction_3765, (funcp)transaction_3771, (funcp)transaction_3777, (funcp)transaction_3783, (funcp)transaction_3789, (funcp)transaction_3795, (funcp)transaction_3801, (funcp)transaction_3807, (funcp)transaction_3813, (funcp)transaction_3819, (funcp)transaction_3825, (funcp)transaction_3831, (funcp)transaction_3837, (funcp)transaction_3843, (funcp)transaction_3849, (funcp)transaction_3855, (funcp)transaction_3861, (funcp)transaction_3867, (funcp)transaction_3873, (funcp)transaction_3879, (funcp)transaction_3885, (funcp)transaction_3891, (funcp)transaction_3897, (funcp)transaction_3903, (funcp)transaction_3909, (funcp)transaction_3915, (funcp)transaction_3921, (funcp)transaction_3927, (funcp)transaction_3933, (funcp)transaction_4202, (funcp)transaction_4203, (funcp)transaction_4206, (funcp)transaction_4210, (funcp)transaction_4211, (funcp)transaction_4214, (funcp)transaction_4217, (funcp)transaction_4219, (funcp)transaction_4221, (funcp)transaction_4223, (funcp)transaction_4228, (funcp)transaction_4231, (funcp)transaction_4237, (funcp)transaction_4242, (funcp)transaction_4258, (funcp)transaction_4265, (funcp)transaction_4266, (funcp)transaction_4267, (funcp)transaction_4268, (funcp)transaction_4274, (funcp)transaction_4902, (funcp)transaction_4908, (funcp)transaction_4914, (funcp)transaction_4928, (funcp)transaction_4934, (funcp)transaction_4940, (funcp)transaction_4946, (funcp)transaction_4959, (funcp)transaction_4965, (funcp)transaction_4971, (funcp)transaction_4986, (funcp)transaction_4992, (funcp)transaction_4998, (funcp)transaction_5004, (funcp)transaction_5018, (funcp)transaction_5024, (funcp)transaction_5067, (funcp)transaction_5073, (funcp)transaction_5079, (funcp)transaction_5085, (funcp)transaction_5091, (funcp)transaction_5097, (funcp)transaction_5103, (funcp)transaction_5109, (funcp)transaction_5115, (funcp)transaction_5121, (funcp)transaction_5127, (funcp)transaction_5133, (funcp)transaction_5139, (funcp)transaction_5145, (funcp)transaction_5151, (funcp)transaction_5157, (funcp)transaction_5163, (funcp)transaction_5169, (funcp)transaction_5175, (funcp)transaction_5181, (funcp)transaction_5187, (funcp)transaction_5193, (funcp)transaction_5199, (funcp)transaction_5205, (funcp)transaction_5211, (funcp)transaction_5217, (funcp)transaction_5223, (funcp)transaction_5229, (funcp)transaction_5235, (funcp)transaction_5241, (funcp)transaction_5247, (funcp)transaction_5253, (funcp)transaction_5259, (funcp)transaction_5265, (funcp)transaction_5271, (funcp)transaction_5277, (funcp)transaction_5283, (funcp)transaction_5289, (funcp)transaction_5295, (funcp)transaction_5301, (funcp)transaction_5307, (funcp)transaction_5313, (funcp)transaction_5319, (funcp)transaction_5325, (funcp)transaction_5331, (funcp)transaction_5337, (funcp)transaction_5343, (funcp)transaction_5349, (funcp)transaction_5355, (funcp)transaction_5361, (funcp)transaction_5367, (funcp)transaction_5373, (funcp)transaction_5379, (funcp)transaction_5385, (funcp)transaction_5391, (funcp)transaction_5397, (funcp)transaction_5403, (funcp)transaction_5409, (funcp)transaction_5415, (funcp)transaction_5421, (funcp)transaction_5427, (funcp)transaction_5433, (funcp)transaction_5439, (funcp)transaction_5445, (funcp)transaction_5451, (funcp)transaction_5457, (funcp)transaction_5463, (funcp)transaction_5469, (funcp)transaction_5475, (funcp)transaction_5481, (funcp)transaction_5487, (funcp)transaction_5493, (funcp)transaction_5499, (funcp)transaction_5505, (funcp)transaction_5511, (funcp)transaction_5517, (funcp)transaction_5523, (funcp)transaction_5529, (funcp)transaction_5535, (funcp)transaction_5541, (funcp)transaction_5547, (funcp)transaction_5553, (funcp)transaction_5559, (funcp)transaction_5565, (funcp)transaction_5571, (funcp)transaction_5577, (funcp)transaction_5583, (funcp)transaction_5589, (funcp)transaction_5595, (funcp)transaction_5601, (funcp)transaction_5607, (funcp)transaction_5613, (funcp)transaction_5619, (funcp)transaction_5625, (funcp)transaction_5631, (funcp)transaction_5637, (funcp)transaction_5643, (funcp)transaction_5649, (funcp)transaction_5655, (funcp)transaction_5661, (funcp)transaction_5667, (funcp)transaction_5673, (funcp)transaction_5679, (funcp)transaction_5685, (funcp)transaction_5691, (funcp)transaction_5697, (funcp)transaction_5703, (funcp)transaction_5709, (funcp)transaction_5715, (funcp)transaction_5721, (funcp)transaction_5727, (funcp)transaction_5733, (funcp)transaction_5739, (funcp)transaction_5745, (funcp)transaction_5751, (funcp)transaction_5757, (funcp)transaction_5763, (funcp)transaction_5769, (funcp)transaction_5775, (funcp)transaction_5781, (funcp)transaction_5787, (funcp)transaction_5793, (funcp)transaction_5799, (funcp)transaction_5805, (funcp)transaction_5811, (funcp)transaction_5817, (funcp)transaction_5823, (funcp)transaction_5829, (funcp)transaction_5835, (funcp)transaction_5841, (funcp)transaction_5847, (funcp)transaction_5853, (funcp)transaction_5859, (funcp)transaction_5865, (funcp)transaction_5871, (funcp)transaction_5877, (funcp)transaction_5883, (funcp)transaction_5889, (funcp)transaction_5895, (funcp)transaction_5901, (funcp)transaction_5907, (funcp)transaction_5913, (funcp)transaction_5919, (funcp)transaction_5925, (funcp)transaction_5931, (funcp)transaction_5937, (funcp)transaction_5943, (funcp)transaction_5949, (funcp)transaction_5955, (funcp)transaction_5961, (funcp)transaction_5967, (funcp)transaction_5973, (funcp)transaction_5979, (funcp)transaction_5985, (funcp)transaction_5991, (funcp)transaction_5997, (funcp)transaction_6003, (funcp)transaction_6009, (funcp)transaction_6015, (funcp)transaction_6021, (funcp)transaction_6027, (funcp)transaction_6033, (funcp)transaction_6039, (funcp)transaction_6045, (funcp)transaction_6051, (funcp)transaction_6057, (funcp)transaction_6063, (funcp)transaction_6069, (funcp)transaction_6075, (funcp)transaction_6081, (funcp)transaction_6087, (funcp)transaction_6093, (funcp)transaction_6099, (funcp)transaction_6105, (funcp)transaction_6111, (funcp)transaction_6117, (funcp)transaction_6123, (funcp)transaction_6129, (funcp)transaction_6135, (funcp)transaction_6141, (funcp)transaction_6147, (funcp)transaction_6153, (funcp)transaction_6159, (funcp)transaction_6165, (funcp)transaction_6171, (funcp)transaction_6177, (funcp)transaction_6183, (funcp)transaction_6189, (funcp)transaction_6195, (funcp)transaction_6201, (funcp)transaction_6207, (funcp)transaction_6213, (funcp)transaction_6219, (funcp)transaction_6225, (funcp)transaction_6231, (funcp)transaction_6237, (funcp)transaction_6243, (funcp)transaction_6249, (funcp)transaction_6255, (funcp)transaction_6261, (funcp)transaction_6267, (funcp)transaction_6273, (funcp)transaction_6279, (funcp)transaction_6285, (funcp)transaction_6291, (funcp)transaction_6297, (funcp)transaction_6303, (funcp)transaction_6309, (funcp)transaction_6315, (funcp)transaction_6321, (funcp)transaction_6327, (funcp)transaction_6333, (funcp)transaction_6339, (funcp)transaction_6345, (funcp)transaction_6351, (funcp)transaction_6357, (funcp)transaction_6363, (funcp)transaction_6369, (funcp)transaction_6375, (funcp)transaction_6381, (funcp)transaction_6387, (funcp)transaction_6393, (funcp)transaction_6399, (funcp)transaction_6405, (funcp)transaction_6411, (funcp)transaction_6417, (funcp)transaction_6423, (funcp)transaction_6429, (funcp)transaction_6435, (funcp)transaction_6441, (funcp)transaction_6447, (funcp)transaction_6453, (funcp)transaction_6459, (funcp)transaction_6465, (funcp)transaction_6471, (funcp)transaction_6477, (funcp)transaction_6483, (funcp)transaction_6489, (funcp)transaction_6495, (funcp)transaction_6501, (funcp)transaction_6507, (funcp)transaction_6513, (funcp)transaction_6519, (funcp)transaction_6525, (funcp)transaction_6531, (funcp)transaction_6537, (funcp)transaction_6543, (funcp)transaction_6549, (funcp)transaction_6555, (funcp)transaction_6561, (funcp)transaction_6567, (funcp)transaction_6573, (funcp)transaction_6579, (funcp)transaction_6585, (funcp)transaction_6591, (funcp)transaction_6597, (funcp)transaction_6603, (funcp)transaction_6609, (funcp)transaction_6615, (funcp)transaction_6621, (funcp)transaction_6849, (funcp)transaction_6855, (funcp)transaction_6861, (funcp)transaction_6867, (funcp)transaction_6873, (funcp)transaction_6879, (funcp)transaction_6885, (funcp)transaction_6891, (funcp)transaction_6897, (funcp)transaction_6903, (funcp)transaction_6909, (funcp)transaction_6915, (funcp)transaction_6921, (funcp)transaction_6927, (funcp)transaction_6933, (funcp)transaction_6939, (funcp)transaction_6945, (funcp)transaction_6951, (funcp)transaction_6957, (funcp)transaction_6963, (funcp)transaction_6969, (funcp)transaction_6975, (funcp)transaction_6981, (funcp)transaction_6987, (funcp)transaction_6993, (funcp)transaction_6999, (funcp)transaction_7005, (funcp)transaction_7011, (funcp)transaction_7017, (funcp)transaction_7023, (funcp)transaction_7029, (funcp)transaction_7035, (funcp)transaction_7041, (funcp)transaction_7047, (funcp)transaction_7053, (funcp)transaction_7059};
-const int NumRelocateId= 1270;
-
-void relocate(char *dp)
-{
-	iki_relocate(dp, "xsim.dir/audioProc_behav/xsim.reloc",  (void **)funcTab, 1270);
-	iki_vhdl_file_variable_register(dp + 1557080);
-	iki_vhdl_file_variable_register(dp + 1557136);
-
-
-	/*Populate the transaction function pointer field in the whole net structure */
-}
-
-void sensitize(char *dp)
-{
-	iki_sensitize(dp, "xsim.dir/audioProc_behav/xsim.reloc");
-}
-
-	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
-
-void wrapper_func_0(char *dp)
-
-{
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642776, dp + 1560744, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642720, dp + 1560800, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650416, dp + 1560968, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650304, dp + 1561024, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650360, dp + 1561080, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650224, dp + 1562088, 0, 23, 0, 23, 24, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1650264, dp + 1562144, 0, 23, 0, 23, 24, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1654656, dp + 1562704, 0, 23, 0, 23, 24, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2558192, dp + 1562760, 0, 23, 0, 23, 24, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642456, dp + 1640648, 0, 7, 0, 7, 8, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642496, dp + 1640704, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1642552, dp + 1640760, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1655152, dp + 1660296, 0, 15, 0, 15, 16, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1656968, dp + 1660520, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657024, dp + 1660072, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657080, dp + 1659960, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657136, dp + 1660184, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657192, dp + 1660632, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 1657248, dp + 1660408, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2558688, dp + 2563832, 0, 15, 0, 15, 16, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560504, dp + 2564056, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560560, dp + 2563608, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560616, dp + 2563496, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560672, dp + 2563720, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560728, dp + 2564168, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 2560784, dp + 2563944, 0, 0, 0, 0, 1, 1);
-
-}
-
-void simulate(char *dp)
-{
-		iki_schedule_processes_at_time_zero(dp, "xsim.dir/audioProc_behav/xsim.reloc");
-	wrapper_func_0(dp);
-
-	iki_execute_processes();
-
-	// Schedule resolution functions for the multiply driven Verilog nets that have strength
-	// Schedule transaction functions for the singly driven Verilog nets that have strength
-
-}
-#include "iki_bridge.h"
-void relocate(char *);
-
-void sensitize(char *);
-
-void simulate(char *);
-
-extern SYSTEMCLIB_IMP_DLLSPEC void local_register_implicit_channel(int, char*);
-extern SYSTEMCLIB_IMP_DLLSPEC int xsim_argc_copy ;
-extern SYSTEMCLIB_IMP_DLLSPEC char** xsim_argv_copy ;
-
-int main(int argc, char **argv)
-{
-    iki_heap_initialize("ms", "isimmm", 0, 2147483648) ;
-    iki_set_xsimdir_location_if_remapped(argc, argv)  ;
-    iki_set_sv_type_file_path_name("xsim.dir/audioProc_behav/xsim.svtype");
-    iki_set_crvs_dump_file_path_name("xsim.dir/audioProc_behav/xsim.crvsdump");
-    void* design_handle = iki_create_design("xsim.dir/audioProc_behav/xsim.mem", (void *)relocate, (void *)sensitize, (void *)simulate, (void*)0, 0, isimBridge_getWdbWriter(), 0, argc, argv);
-     iki_set_rc_trial_count(100);
-    (void) design_handle;
-    return iki_simulate_design();
-}
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o
deleted file mode 100644
index 585e8796c954eb04d1d1afb19bc0674202cacb00..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg
deleted file mode 100644
index 4f60fe3f8dc0effaa10bd3a99c1ecf6576c97d20..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.dbg and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem
deleted file mode 100644
index 4d51369162590f1d47291fac8b60219d19831018..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.mem and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc
deleted file mode 100644
index af15eb23bc7edc6e95a1fa7be709f3f3bc3d5d17..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.reloc and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx
deleted file mode 100644
index ee73ae3bee0352da41c83e00ff1679dfff9b5356..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rlx
+++ /dev/null
@@ -1,12 +0,0 @@
-
-{ 
-    crc :  816042942383931012  , 
-    ccp_crc :  0  , 
-    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl" , 
-    buildDate : "May 22 2024" , 
-    buildTime : "18:54:44" , 
-    linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/audioProc_behav/xsimk\"   \"xsim.dir/audioProc_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_1.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_2.lnx64.o\" \"xsim.dir/audioProc_behav/obj/xsim_3.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
-    aggregate_nets : 
-    [ 
-    ] 
-} 
\ No newline at end of file
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti
deleted file mode 100644
index c9eeb685619d9c4b65fc19f2ffcb611967383b18..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.rtti and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype
deleted file mode 100644
index 8240d0639442c8d02b45edeef15a77a5e7555de0..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.svtype and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type
deleted file mode 100644
index 8c8db32f0ebf00e5aaaf4ad67aab1d1b5f714419..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.type and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg
deleted file mode 100644
index e053a033a9d5e17b2314bcf73b99d82645fb1a25..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsim.xdbg and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini
deleted file mode 100644
index 67eea9762834060271c0fde3fc6bf296b31a543b..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimSettings.ini
+++ /dev/null
@@ -1,50 +0,0 @@
-[General]
-ARRAY_DISPLAY_LIMIT=1024
-RADIX=hex
-TIME_UNIT=ns
-TRACE_LIMIT=65536
-VHDL_ENTITY_SCOPE_FILTER=true
-VHDL_PACKAGE_SCOPE_FILTER=false
-VHDL_BLOCK_SCOPE_FILTER=true
-VHDL_PROCESS_SCOPE_FILTER=false
-VHDL_PROCEDURE_SCOPE_FILTER=false
-VERILOG_MODULE_SCOPE_FILTER=true
-VERILOG_PACKAGE_SCOPE_FILTER=false
-VERILOG_BLOCK_SCOPE_FILTER=false
-VERILOG_TASK_SCOPE_FILTER=false
-VERILOG_PROCESS_SCOPE_FILTER=false
-INPUT_OBJECT_FILTER=true
-OUTPUT_OBJECT_FILTER=true
-INOUT_OBJECT_FILTER=true
-INTERNAL_OBJECT_FILTER=true
-CONSTANT_OBJECT_FILTER=true
-VARIABLE_OBJECT_FILTER=true
-INPUT_PROTOINST_FILTER=true
-OUTPUT_PROTOINST_FILTER=true
-INOUT_PROTOINST_FILTER=true
-INTERNAL_PROTOINST_FILTER=true
-CONSTANT_PROTOINST_FILTER=true
-VARIABLE_PROTOINST_FILTER=true
-SCOPE_NAME_COLUMN_WIDTH=152
-SCOPE_DESIGN_UNIT_COLUMN_WIDTH=121
-SCOPE_BLOCK_TYPE_COLUMN_WIDTH=103
-OBJECT_NAME_COLUMN_WIDTH=123
-OBJECT_VALUE_COLUMN_WIDTH=49
-OBJECT_DATA_TYPE_COLUMN_WIDTH=75
-PROCESS_NAME_COLUMN_WIDTH=75
-PROCESS_TYPE_COLUMN_WIDTH=75
-FRAME_INDEX_COLUMN_WIDTH=75
-FRAME_NAME_COLUMN_WIDTH=75
-FRAME_FILE_NAME_COLUMN_WIDTH=75
-FRAME_LINE_NUM_COLUMN_WIDTH=75
-LOCAL_NAME_COLUMN_WIDTH=75
-LOCAL_VALUE_COLUMN_WIDTH=75
-LOCAL_DATA_TYPE_COLUMN_WIDTH=0
-PROTO_NAME_COLUMN_WIDTH=0
-PROTO_VALUE_COLUMN_WIDTH=0
-INPUT_LOCAL_FILTER=1
-OUTPUT_LOCAL_FILTER=1
-INOUT_LOCAL_FILTER=1
-INTERNAL_LOCAL_FILTER=1
-CONSTANT_LOCAL_FILTER=1
-VARIABLE_LOCAL_FILTER=1
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk
deleted file mode 100755
index fbaa6f2e436f17809d70d2d4743263d7ab4166e3..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimk and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log
deleted file mode 100644
index 337917ed217d32a4c3cd4823157f3197f7971ce8..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/audioProc_behav/xsimkernel.log
+++ /dev/null
@@ -1,7 +0,0 @@
-Running: xsim.dir/audioProc_behav/xsimk -simmode gui -wdb audioProc_behav.wdb -simrunnum 0 -socket 49937
-Design successfully loaded
-Design Loading Memory Usage: 24916 KB (Peak: 24924 KB)
-Design Loading CPU Usage: 40 ms
-Simulation completed
-Simulation Memory Usage: 111440 KB (Peak: 164184 KB)
-Simulation CPU Usage: 70 ms
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt
index 2965ab3b73825075d89f3fba7755ebff3606c69a..8a25a911b8deeb63be565a8d140a089d2d79bd2f 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/Compile_Options.txt
@@ -1 +1 @@
---incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" "xil_defaultlib.glbl" -log "elaborate.log" 
+--incr --debug "typical" --relax --mt "8" -L "xil_defaultlib" -L "secureip" -L "xpm" --snapshot "tb_firUnit_behav" "xil_defaultlib.tb_firUnit" -log "elaborate.log" 
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o
index ec17a769a8e91f0427ca0244f1e5d121b3d5dd32..2016143fff71305a1b85529071bc44a602ef0407 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c
index 82891810cefac246dd5535788ebac91bf57678ef..c9f38b089b7890e304c5c8351f5a219f05e35b77 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.c
@@ -54,595 +54,40 @@
 #endif
 typedef void (*funcp)(char *, char *);
 extern int main(int, char**);
-IKI_DLLESPEC extern void execute_2(char*, char *);
-IKI_DLLESPEC extern void execute_3(char*, char *);
-IKI_DLLESPEC extern void execute_4(char*, char *);
-IKI_DLLESPEC extern void execute_5(char*, char *);
-IKI_DLLESPEC extern void execute_6(char*, char *);
-IKI_DLLESPEC extern void execute_7(char*, char *);
-IKI_DLLESPEC extern void execute_8(char*, char *);
-IKI_DLLESPEC extern void execute_9(char*, char *);
-IKI_DLLESPEC extern void execute_10(char*, char *);
-IKI_DLLESPEC extern void execute_11(char*, char *);
-IKI_DLLESPEC extern void execute_21(char*, char *);
-IKI_DLLESPEC extern void execute_22(char*, char *);
-IKI_DLLESPEC extern void execute_23(char*, char *);
-IKI_DLLESPEC extern void execute_24(char*, char *);
+IKI_DLLESPEC extern void execute_26(char*, char *);
 IKI_DLLESPEC extern void execute_27(char*, char *);
 IKI_DLLESPEC extern void execute_28(char*, char *);
 IKI_DLLESPEC extern void execute_29(char*, char *);
-IKI_DLLESPEC extern void execute_30(char*, char *);
-IKI_DLLESPEC extern void execute_31(char*, char *);
 IKI_DLLESPEC extern void execute_32(char*, char *);
 IKI_DLLESPEC extern void execute_33(char*, char *);
 IKI_DLLESPEC extern void execute_34(char*, char *);
 IKI_DLLESPEC extern void execute_35(char*, char *);
-IKI_DLLESPEC extern void execute_3821(char*, char *);
-IKI_DLLESPEC extern void execute_3822(char*, char *);
-IKI_DLLESPEC extern void vlog_const_rhs_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
-IKI_DLLESPEC extern void execute_1958(char*, char *);
-IKI_DLLESPEC extern void execute_41(char*, char *);
-IKI_DLLESPEC extern void execute_1959(char*, char *);
-IKI_DLLESPEC extern void execute_89(char*, char *);
-IKI_DLLESPEC extern void execute_1983(char*, char *);
-IKI_DLLESPEC extern void execute_1984(char*, char *);
-IKI_DLLESPEC extern void execute_1985(char*, char *);
-IKI_DLLESPEC extern void execute_124(char*, char *);
-IKI_DLLESPEC extern void execute_2035(char*, char *);
-IKI_DLLESPEC extern void execute_2036(char*, char *);
-IKI_DLLESPEC extern void execute_2037(char*, char *);
-IKI_DLLESPEC extern void execute_2038(char*, char *);
-IKI_DLLESPEC extern void execute_2039(char*, char *);
-IKI_DLLESPEC extern void execute_2040(char*, char *);
-IKI_DLLESPEC extern void execute_2041(char*, char *);
-IKI_DLLESPEC extern void execute_2042(char*, char *);
-IKI_DLLESPEC extern void execute_2034(char*, char *);
-IKI_DLLESPEC extern void execute_126(char*, char *);
-IKI_DLLESPEC extern void execute_127(char*, char *);
-IKI_DLLESPEC extern void execute_128(char*, char *);
-IKI_DLLESPEC extern void execute_129(char*, char *);
-IKI_DLLESPEC extern void execute_130(char*, char *);
-IKI_DLLESPEC extern void execute_131(char*, char *);
-IKI_DLLESPEC extern void execute_132(char*, char *);
-IKI_DLLESPEC extern void execute_133(char*, char *);
-IKI_DLLESPEC extern void execute_134(char*, char *);
-IKI_DLLESPEC extern void execute_135(char*, char *);
-IKI_DLLESPEC extern void execute_136(char*, char *);
-IKI_DLLESPEC extern void execute_137(char*, char *);
-IKI_DLLESPEC extern void execute_138(char*, char *);
-IKI_DLLESPEC extern void execute_139(char*, char *);
-IKI_DLLESPEC extern void execute_140(char*, char *);
-IKI_DLLESPEC extern void execute_141(char*, char *);
-IKI_DLLESPEC extern void execute_142(char*, char *);
-IKI_DLLESPEC extern void execute_143(char*, char *);
-IKI_DLLESPEC extern void execute_144(char*, char *);
-IKI_DLLESPEC extern void execute_145(char*, char *);
-IKI_DLLESPEC extern void execute_146(char*, char *);
-IKI_DLLESPEC extern void execute_147(char*, char *);
-IKI_DLLESPEC extern void execute_148(char*, char *);
-IKI_DLLESPEC extern void execute_149(char*, char *);
-IKI_DLLESPEC extern void execute_150(char*, char *);
-IKI_DLLESPEC extern void execute_151(char*, char *);
-IKI_DLLESPEC extern void execute_152(char*, char *);
-IKI_DLLESPEC extern void execute_153(char*, char *);
-IKI_DLLESPEC extern void execute_156(char*, char *);
-IKI_DLLESPEC extern void execute_157(char*, char *);
-IKI_DLLESPEC extern void execute_158(char*, char *);
-IKI_DLLESPEC extern void execute_159(char*, char *);
-IKI_DLLESPEC extern void execute_160(char*, char *);
-IKI_DLLESPEC extern void execute_161(char*, char *);
-IKI_DLLESPEC extern void execute_162(char*, char *);
-IKI_DLLESPEC extern void execute_163(char*, char *);
-IKI_DLLESPEC extern void execute_164(char*, char *);
-IKI_DLLESPEC extern void execute_165(char*, char *);
-IKI_DLLESPEC extern void execute_166(char*, char *);
-IKI_DLLESPEC extern void execute_167(char*, char *);
-IKI_DLLESPEC extern void execute_168(char*, char *);
-IKI_DLLESPEC extern void execute_169(char*, char *);
-IKI_DLLESPEC extern void execute_170(char*, char *);
-IKI_DLLESPEC extern void execute_171(char*, char *);
-IKI_DLLESPEC extern void execute_2043(char*, char *);
-IKI_DLLESPEC extern void execute_2044(char*, char *);
-IKI_DLLESPEC extern void execute_2045(char*, char *);
-IKI_DLLESPEC extern void execute_2046(char*, char *);
-IKI_DLLESPEC extern void execute_2047(char*, char *);
-IKI_DLLESPEC extern void execute_2048(char*, char *);
-IKI_DLLESPEC extern void execute_2049(char*, char *);
-IKI_DLLESPEC extern void execute_2050(char*, char *);
-IKI_DLLESPEC extern void execute_2051(char*, char *);
-IKI_DLLESPEC extern void execute_2052(char*, char *);
-IKI_DLLESPEC extern void execute_2053(char*, char *);
-IKI_DLLESPEC extern void execute_2054(char*, char *);
-IKI_DLLESPEC extern void execute_2055(char*, char *);
-IKI_DLLESPEC extern void execute_2056(char*, char *);
-IKI_DLLESPEC extern void execute_2057(char*, char *);
-IKI_DLLESPEC extern void vlog_simple_process_execute_0_fast_no_reg_no_agg(char*, char*, char*);
-IKI_DLLESPEC extern void vlog_simple_process_execute_1_fast_no_reg_no_agg(char*, char*, char*);
-IKI_DLLESPEC extern void execute_2098(char*, char *);
-IKI_DLLESPEC extern void execute_2103(char*, char *);
-IKI_DLLESPEC extern void execute_2118(char*, char *);
-IKI_DLLESPEC extern void execute_2120(char*, char *);
-IKI_DLLESPEC extern void execute_2122(char*, char *);
-IKI_DLLESPEC extern void execute_2134(char*, char *);
-IKI_DLLESPEC extern void execute_2135(char*, char *);
-IKI_DLLESPEC extern void execute_2136(char*, char *);
-IKI_DLLESPEC extern void execute_2138(char*, char *);
-IKI_DLLESPEC extern void execute_2139(char*, char *);
-IKI_DLLESPEC extern void execute_2140(char*, char *);
-IKI_DLLESPEC extern void execute_2141(char*, char *);
-IKI_DLLESPEC extern void execute_2142(char*, char *);
-IKI_DLLESPEC extern void execute_2143(char*, char *);
-IKI_DLLESPEC extern void execute_2144(char*, char *);
-IKI_DLLESPEC extern void execute_2145(char*, char *);
-IKI_DLLESPEC extern void execute_2147(char*, char *);
-IKI_DLLESPEC extern void execute_2148(char*, char *);
-IKI_DLLESPEC extern void execute_2149(char*, char *);
-IKI_DLLESPEC extern void execute_2150(char*, char *);
-IKI_DLLESPEC extern void execute_2151(char*, char *);
-IKI_DLLESPEC extern void execute_2152(char*, char *);
-IKI_DLLESPEC extern void execute_2153(char*, char *);
-IKI_DLLESPEC extern void execute_2154(char*, char *);
-IKI_DLLESPEC extern void execute_2155(char*, char *);
-IKI_DLLESPEC extern void execute_2156(char*, char *);
-IKI_DLLESPEC extern void execute_2157(char*, char *);
-IKI_DLLESPEC extern void execute_2162(char*, char *);
-IKI_DLLESPEC extern void execute_2163(char*, char *);
-IKI_DLLESPEC extern void execute_2164(char*, char *);
-IKI_DLLESPEC extern void execute_2165(char*, char *);
-IKI_DLLESPEC extern void execute_2166(char*, char *);
-IKI_DLLESPEC extern void execute_2167(char*, char *);
-IKI_DLLESPEC extern void execute_2168(char*, char *);
-IKI_DLLESPEC extern void execute_2169(char*, char *);
-IKI_DLLESPEC extern void execute_2170(char*, char *);
-IKI_DLLESPEC extern void execute_2171(char*, char *);
-IKI_DLLESPEC extern void execute_2172(char*, char *);
-IKI_DLLESPEC extern void execute_2173(char*, char *);
-IKI_DLLESPEC extern void execute_2174(char*, char *);
-IKI_DLLESPEC extern void execute_2175(char*, char *);
-IKI_DLLESPEC extern void execute_2176(char*, char *);
-IKI_DLLESPEC extern void execute_2177(char*, char *);
-IKI_DLLESPEC extern void execute_2178(char*, char *);
-IKI_DLLESPEC extern void execute_2179(char*, char *);
-IKI_DLLESPEC extern void execute_2180(char*, char *);
-IKI_DLLESPEC extern void execute_2181(char*, char *);
-IKI_DLLESPEC extern void execute_2182(char*, char *);
-IKI_DLLESPEC extern void execute_2183(char*, char *);
-IKI_DLLESPEC extern void execute_2184(char*, char *);
-IKI_DLLESPEC extern void execute_174(char*, char *);
-IKI_DLLESPEC extern void execute_2186(char*, char *);
-IKI_DLLESPEC extern void execute_2187(char*, char *);
-IKI_DLLESPEC extern void execute_2188(char*, char *);
-IKI_DLLESPEC extern void execute_2189(char*, char *);
-IKI_DLLESPEC extern void execute_2185(char*, char *);
-IKI_DLLESPEC extern void execute_177(char*, char *);
-IKI_DLLESPEC extern void execute_178(char*, char *);
-IKI_DLLESPEC extern void execute_181(char*, char *);
-IKI_DLLESPEC extern void execute_182(char*, char *);
-IKI_DLLESPEC extern void execute_288(char*, char *);
-IKI_DLLESPEC extern void execute_324(char*, char *);
-IKI_DLLESPEC extern void execute_573(char*, char *);
-IKI_DLLESPEC extern void execute_574(char*, char *);
-IKI_DLLESPEC extern void execute_575(char*, char *);
-IKI_DLLESPEC extern void execute_2330(char*, char *);
-IKI_DLLESPEC extern void execute_2331(char*, char *);
-IKI_DLLESPEC extern void execute_2332(char*, char *);
-IKI_DLLESPEC extern void execute_2333(char*, char *);
-IKI_DLLESPEC extern void execute_2342(char*, char *);
-IKI_DLLESPEC extern void execute_2343(char*, char *);
-IKI_DLLESPEC extern void execute_2344(char*, char *);
-IKI_DLLESPEC extern void execute_2347(char*, char *);
-IKI_DLLESPEC extern void execute_2348(char*, char *);
-IKI_DLLESPEC extern void execute_2349(char*, char *);
-IKI_DLLESPEC extern void execute_2350(char*, char *);
-IKI_DLLESPEC extern void execute_656(char*, char *);
-IKI_DLLESPEC extern void execute_657(char*, char *);
-IKI_DLLESPEC extern void vlog_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
-IKI_DLLESPEC extern void transaction_34(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_35(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_36(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_38(char*, char*, unsigned, unsigned, unsigned);
+IKI_DLLESPEC extern void execute_36(char*, char *);
+IKI_DLLESPEC extern void execute_37(char*, char *);
+IKI_DLLESPEC extern void execute_38(char*, char *);
+IKI_DLLESPEC extern void execute_39(char*, char *);
+IKI_DLLESPEC extern void execute_40(char*, char *);
+IKI_DLLESPEC extern void execute_42(char*, char *);
+IKI_DLLESPEC extern void execute_43(char*, char *);
+IKI_DLLESPEC extern void execute_44(char*, char *);
+IKI_DLLESPEC extern void execute_45(char*, char *);
+IKI_DLLESPEC extern void execute_46(char*, char *);
+IKI_DLLESPEC extern void execute_47(char*, char *);
+IKI_DLLESPEC extern void execute_48(char*, char *);
+IKI_DLLESPEC extern void execute_49(char*, char *);
+IKI_DLLESPEC extern void execute_50(char*, char *);
+IKI_DLLESPEC extern void execute_51(char*, char *);
+IKI_DLLESPEC extern void execute_52(char*, char *);
+IKI_DLLESPEC extern void transaction_0(char*, char*, unsigned, unsigned, unsigned);
 IKI_DLLESPEC extern void vhdl_transfunc_eventcallback(char*, char*, unsigned, unsigned, unsigned, char *);
-IKI_DLLESPEC extern void transaction_40(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_41(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_42(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_43(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_44(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_45(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_46(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_49(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_50(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_51(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_52(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_53(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_54(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_55(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_56(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_57(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_58(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_59(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_60(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_61(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_62(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_73(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_183(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_192(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_193(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_194(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_195(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_196(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_197(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_201(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_202(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_203(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_204(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_205(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_206(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_207(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_208(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_215(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_232(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_237(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_265(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_266(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_267(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_268(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_269(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_270(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_271(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_272(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_273(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_274(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_275(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_276(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_277(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_278(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_279(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_280(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_281(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_282(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_283(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_284(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_285(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_294(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_295(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_298(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_302(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_303(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_306(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_309(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_311(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_313(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_315(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_320(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_323(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_329(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_334(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_350(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_357(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_358(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_359(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_360(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_366(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_994(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1000(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1006(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1020(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1026(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1032(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1038(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1051(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1057(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1063(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1078(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1084(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1090(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1096(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1110(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1116(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1159(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1165(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1171(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1177(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1183(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1189(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1195(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1201(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1207(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1213(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1219(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1225(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1231(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1237(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1243(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1249(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1255(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1261(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1267(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1273(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1279(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1285(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1291(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1297(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1303(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1309(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1315(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1321(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1327(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1333(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1339(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1345(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1351(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1357(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1363(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1369(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1375(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1381(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1387(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1393(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1399(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1405(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1411(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1417(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1423(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1429(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1435(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1441(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1447(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1453(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1459(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1465(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1471(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1477(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1483(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1489(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1495(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1501(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1507(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1513(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1519(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1525(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1531(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1537(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1543(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1549(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1555(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1561(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1567(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1573(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1579(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1585(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1591(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1597(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1603(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1609(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1615(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1621(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1627(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1633(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1639(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1645(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1651(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1657(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1663(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1669(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1675(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1681(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1687(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1693(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1699(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1705(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1711(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1717(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1723(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1729(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1735(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1741(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1747(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1753(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1759(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1765(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1771(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1777(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1783(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1789(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1795(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1801(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1807(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1813(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1819(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1825(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1831(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1837(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1843(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1849(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1855(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1861(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1867(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1873(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1879(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1885(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1891(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1897(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1903(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1909(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1915(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1921(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1927(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1933(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1939(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1945(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1951(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1957(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1963(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1969(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1975(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1981(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1987(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1993(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_1999(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2005(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2011(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2017(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2023(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2029(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2035(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2041(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2047(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2053(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2059(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2065(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2071(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2077(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2083(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2089(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2095(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2101(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2107(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2113(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2119(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2125(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2131(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2137(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2143(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2149(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2155(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2161(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2167(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2173(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2179(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2185(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2191(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2197(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2203(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2209(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2215(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2221(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2227(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2233(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2239(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2245(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2251(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2257(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2263(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2269(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2275(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2281(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2287(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2293(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2299(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2305(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2311(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2317(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2323(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2329(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2335(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2341(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2347(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2353(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2359(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2365(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2371(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2377(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2383(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2389(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2395(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2401(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2407(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2413(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2419(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2425(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2431(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2437(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2443(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2449(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2455(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2461(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2467(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2473(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2479(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2485(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2491(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2497(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2503(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2509(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2515(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2521(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2527(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2533(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2539(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2545(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2551(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2557(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2563(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2569(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2575(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2581(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2587(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2593(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2599(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2605(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2611(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2617(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2623(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2629(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2635(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2641(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2647(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2653(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2659(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2665(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2671(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2677(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2683(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2689(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2695(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2701(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2707(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2713(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2941(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2947(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2953(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2959(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2965(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2971(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2977(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2983(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2989(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_2995(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3001(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3007(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3013(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3019(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3025(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3031(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3037(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3043(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3049(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3055(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3061(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3067(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3073(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3079(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3085(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3091(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3097(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3103(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3109(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3115(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3121(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3127(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3133(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3139(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3145(char*, char*, unsigned, unsigned, unsigned);
-IKI_DLLESPEC extern void transaction_3151(char*, char*, unsigned, unsigned, unsigned);
-funcp funcTab[581] = {(funcp)execute_2, (funcp)execute_3, (funcp)execute_4, (funcp)execute_5, (funcp)execute_6, (funcp)execute_7, (funcp)execute_8, (funcp)execute_9, (funcp)execute_10, (funcp)execute_11, (funcp)execute_21, (funcp)execute_22, (funcp)execute_23, (funcp)execute_24, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_30, (funcp)execute_31, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_3821, (funcp)execute_3822, (funcp)vlog_const_rhs_process_execute_0_fast_no_reg_no_agg, (funcp)execute_1958, (funcp)execute_41, (funcp)execute_1959, (funcp)execute_89, (funcp)execute_1983, (funcp)execute_1984, (funcp)execute_1985, (funcp)execute_124, (funcp)execute_2035, (funcp)execute_2036, (funcp)execute_2037, (funcp)execute_2038, (funcp)execute_2039, (funcp)execute_2040, (funcp)execute_2041, (funcp)execute_2042, (funcp)execute_2034, (funcp)execute_126, (funcp)execute_127, (funcp)execute_128, (funcp)execute_129, (funcp)execute_130, (funcp)execute_131, (funcp)execute_132, (funcp)execute_133, (funcp)execute_134, (funcp)execute_135, (funcp)execute_136, (funcp)execute_137, (funcp)execute_138, (funcp)execute_139, (funcp)execute_140, (funcp)execute_141, (funcp)execute_142, (funcp)execute_143, (funcp)execute_144, (funcp)execute_145, (funcp)execute_146, (funcp)execute_147, (funcp)execute_148, (funcp)execute_149, (funcp)execute_150, (funcp)execute_151, (funcp)execute_152, (funcp)execute_153, (funcp)execute_156, (funcp)execute_157, (funcp)execute_158, (funcp)execute_159, (funcp)execute_160, (funcp)execute_161, (funcp)execute_162, (funcp)execute_163, (funcp)execute_164, (funcp)execute_165, (funcp)execute_166, (funcp)execute_167, (funcp)execute_168, (funcp)execute_169, (funcp)execute_170, (funcp)execute_171, (funcp)execute_2043, (funcp)execute_2044, (funcp)execute_2045, (funcp)execute_2046, (funcp)execute_2047, (funcp)execute_2048, (funcp)execute_2049, (funcp)execute_2050, (funcp)execute_2051, (funcp)execute_2052, (funcp)execute_2053, (funcp)execute_2054, (funcp)execute_2055, (funcp)execute_2056, (funcp)execute_2057, (funcp)vlog_simple_process_execute_0_fast_no_reg_no_agg, (funcp)vlog_simple_process_execute_1_fast_no_reg_no_agg, (funcp)execute_2098, (funcp)execute_2103, (funcp)execute_2118, (funcp)execute_2120, (funcp)execute_2122, (funcp)execute_2134, (funcp)execute_2135, (funcp)execute_2136, (funcp)execute_2138, (funcp)execute_2139, (funcp)execute_2140, (funcp)execute_2141, (funcp)execute_2142, (funcp)execute_2143, (funcp)execute_2144, (funcp)execute_2145, (funcp)execute_2147, (funcp)execute_2148, (funcp)execute_2149, (funcp)execute_2150, (funcp)execute_2151, (funcp)execute_2152, (funcp)execute_2153, (funcp)execute_2154, (funcp)execute_2155, (funcp)execute_2156, (funcp)execute_2157, (funcp)execute_2162, (funcp)execute_2163, (funcp)execute_2164, (funcp)execute_2165, (funcp)execute_2166, (funcp)execute_2167, (funcp)execute_2168, (funcp)execute_2169, (funcp)execute_2170, (funcp)execute_2171, (funcp)execute_2172, (funcp)execute_2173, (funcp)execute_2174, (funcp)execute_2175, (funcp)execute_2176, (funcp)execute_2177, (funcp)execute_2178, (funcp)execute_2179, (funcp)execute_2180, (funcp)execute_2181, (funcp)execute_2182, (funcp)execute_2183, (funcp)execute_2184, (funcp)execute_174, (funcp)execute_2186, (funcp)execute_2187, (funcp)execute_2188, (funcp)execute_2189, (funcp)execute_2185, (funcp)execute_177, (funcp)execute_178, (funcp)execute_181, (funcp)execute_182, (funcp)execute_288, (funcp)execute_324, (funcp)execute_573, (funcp)execute_574, (funcp)execute_575, (funcp)execute_2330, (funcp)execute_2331, (funcp)execute_2332, (funcp)execute_2333, (funcp)execute_2342, (funcp)execute_2343, (funcp)execute_2344, (funcp)execute_2347, (funcp)execute_2348, (funcp)execute_2349, (funcp)execute_2350, (funcp)execute_656, (funcp)execute_657, (funcp)vlog_transfunc_eventcallback, (funcp)transaction_34, (funcp)transaction_35, (funcp)transaction_36, (funcp)transaction_38, (funcp)vhdl_transfunc_eventcallback, (funcp)transaction_40, (funcp)transaction_41, (funcp)transaction_42, (funcp)transaction_43, (funcp)transaction_44, (funcp)transaction_45, (funcp)transaction_46, (funcp)transaction_49, (funcp)transaction_50, (funcp)transaction_51, (funcp)transaction_52, (funcp)transaction_53, (funcp)transaction_54, (funcp)transaction_55, (funcp)transaction_56, (funcp)transaction_57, (funcp)transaction_58, (funcp)transaction_59, (funcp)transaction_60, (funcp)transaction_61, (funcp)transaction_62, (funcp)transaction_73, (funcp)transaction_183, (funcp)transaction_192, (funcp)transaction_193, (funcp)transaction_194, (funcp)transaction_195, (funcp)transaction_196, (funcp)transaction_197, (funcp)transaction_201, (funcp)transaction_202, (funcp)transaction_203, (funcp)transaction_204, (funcp)transaction_205, (funcp)transaction_206, (funcp)transaction_207, (funcp)transaction_208, (funcp)transaction_215, (funcp)transaction_232, (funcp)transaction_237, (funcp)transaction_265, (funcp)transaction_266, (funcp)transaction_267, (funcp)transaction_268, (funcp)transaction_269, (funcp)transaction_270, (funcp)transaction_271, (funcp)transaction_272, (funcp)transaction_273, (funcp)transaction_274, (funcp)transaction_275, (funcp)transaction_276, (funcp)transaction_277, (funcp)transaction_278, (funcp)transaction_279, (funcp)transaction_280, (funcp)transaction_281, (funcp)transaction_282, (funcp)transaction_283, (funcp)transaction_284, (funcp)transaction_285, (funcp)transaction_294, (funcp)transaction_295, (funcp)transaction_298, (funcp)transaction_302, (funcp)transaction_303, (funcp)transaction_306, (funcp)transaction_309, (funcp)transaction_311, (funcp)transaction_313, (funcp)transaction_315, (funcp)transaction_320, (funcp)transaction_323, (funcp)transaction_329, (funcp)transaction_334, (funcp)transaction_350, (funcp)transaction_357, (funcp)transaction_358, (funcp)transaction_359, (funcp)transaction_360, (funcp)transaction_366, (funcp)transaction_994, (funcp)transaction_1000, (funcp)transaction_1006, (funcp)transaction_1020, (funcp)transaction_1026, (funcp)transaction_1032, (funcp)transaction_1038, (funcp)transaction_1051, (funcp)transaction_1057, (funcp)transaction_1063, (funcp)transaction_1078, (funcp)transaction_1084, (funcp)transaction_1090, (funcp)transaction_1096, (funcp)transaction_1110, (funcp)transaction_1116, (funcp)transaction_1159, (funcp)transaction_1165, (funcp)transaction_1171, (funcp)transaction_1177, (funcp)transaction_1183, (funcp)transaction_1189, (funcp)transaction_1195, (funcp)transaction_1201, (funcp)transaction_1207, (funcp)transaction_1213, (funcp)transaction_1219, (funcp)transaction_1225, (funcp)transaction_1231, (funcp)transaction_1237, (funcp)transaction_1243, (funcp)transaction_1249, (funcp)transaction_1255, (funcp)transaction_1261, (funcp)transaction_1267, (funcp)transaction_1273, (funcp)transaction_1279, (funcp)transaction_1285, (funcp)transaction_1291, (funcp)transaction_1297, (funcp)transaction_1303, (funcp)transaction_1309, (funcp)transaction_1315, (funcp)transaction_1321, (funcp)transaction_1327, (funcp)transaction_1333, (funcp)transaction_1339, (funcp)transaction_1345, (funcp)transaction_1351, (funcp)transaction_1357, (funcp)transaction_1363, (funcp)transaction_1369, (funcp)transaction_1375, (funcp)transaction_1381, (funcp)transaction_1387, (funcp)transaction_1393, (funcp)transaction_1399, (funcp)transaction_1405, (funcp)transaction_1411, (funcp)transaction_1417, (funcp)transaction_1423, (funcp)transaction_1429, (funcp)transaction_1435, (funcp)transaction_1441, (funcp)transaction_1447, (funcp)transaction_1453, (funcp)transaction_1459, (funcp)transaction_1465, (funcp)transaction_1471, (funcp)transaction_1477, (funcp)transaction_1483, (funcp)transaction_1489, (funcp)transaction_1495, (funcp)transaction_1501, (funcp)transaction_1507, (funcp)transaction_1513, (funcp)transaction_1519, (funcp)transaction_1525, (funcp)transaction_1531, (funcp)transaction_1537, (funcp)transaction_1543, (funcp)transaction_1549, (funcp)transaction_1555, (funcp)transaction_1561, (funcp)transaction_1567, (funcp)transaction_1573, (funcp)transaction_1579, (funcp)transaction_1585, (funcp)transaction_1591, (funcp)transaction_1597, (funcp)transaction_1603, (funcp)transaction_1609, (funcp)transaction_1615, (funcp)transaction_1621, (funcp)transaction_1627, (funcp)transaction_1633, (funcp)transaction_1639, (funcp)transaction_1645, (funcp)transaction_1651, (funcp)transaction_1657, (funcp)transaction_1663, (funcp)transaction_1669, (funcp)transaction_1675, (funcp)transaction_1681, (funcp)transaction_1687, (funcp)transaction_1693, (funcp)transaction_1699, (funcp)transaction_1705, (funcp)transaction_1711, (funcp)transaction_1717, (funcp)transaction_1723, (funcp)transaction_1729, (funcp)transaction_1735, (funcp)transaction_1741, (funcp)transaction_1747, (funcp)transaction_1753, (funcp)transaction_1759, (funcp)transaction_1765, (funcp)transaction_1771, (funcp)transaction_1777, (funcp)transaction_1783, (funcp)transaction_1789, (funcp)transaction_1795, (funcp)transaction_1801, (funcp)transaction_1807, (funcp)transaction_1813, (funcp)transaction_1819, (funcp)transaction_1825, (funcp)transaction_1831, (funcp)transaction_1837, (funcp)transaction_1843, (funcp)transaction_1849, (funcp)transaction_1855, (funcp)transaction_1861, (funcp)transaction_1867, (funcp)transaction_1873, (funcp)transaction_1879, (funcp)transaction_1885, (funcp)transaction_1891, (funcp)transaction_1897, (funcp)transaction_1903, (funcp)transaction_1909, (funcp)transaction_1915, (funcp)transaction_1921, (funcp)transaction_1927, (funcp)transaction_1933, (funcp)transaction_1939, (funcp)transaction_1945, (funcp)transaction_1951, (funcp)transaction_1957, (funcp)transaction_1963, (funcp)transaction_1969, (funcp)transaction_1975, (funcp)transaction_1981, (funcp)transaction_1987, (funcp)transaction_1993, (funcp)transaction_1999, (funcp)transaction_2005, (funcp)transaction_2011, (funcp)transaction_2017, (funcp)transaction_2023, (funcp)transaction_2029, (funcp)transaction_2035, (funcp)transaction_2041, (funcp)transaction_2047, (funcp)transaction_2053, (funcp)transaction_2059, (funcp)transaction_2065, (funcp)transaction_2071, (funcp)transaction_2077, (funcp)transaction_2083, (funcp)transaction_2089, (funcp)transaction_2095, (funcp)transaction_2101, (funcp)transaction_2107, (funcp)transaction_2113, (funcp)transaction_2119, (funcp)transaction_2125, (funcp)transaction_2131, (funcp)transaction_2137, (funcp)transaction_2143, (funcp)transaction_2149, (funcp)transaction_2155, (funcp)transaction_2161, (funcp)transaction_2167, (funcp)transaction_2173, (funcp)transaction_2179, (funcp)transaction_2185, (funcp)transaction_2191, (funcp)transaction_2197, (funcp)transaction_2203, (funcp)transaction_2209, (funcp)transaction_2215, (funcp)transaction_2221, (funcp)transaction_2227, (funcp)transaction_2233, (funcp)transaction_2239, (funcp)transaction_2245, (funcp)transaction_2251, (funcp)transaction_2257, (funcp)transaction_2263, (funcp)transaction_2269, (funcp)transaction_2275, (funcp)transaction_2281, (funcp)transaction_2287, (funcp)transaction_2293, (funcp)transaction_2299, (funcp)transaction_2305, (funcp)transaction_2311, (funcp)transaction_2317, (funcp)transaction_2323, (funcp)transaction_2329, (funcp)transaction_2335, (funcp)transaction_2341, (funcp)transaction_2347, (funcp)transaction_2353, (funcp)transaction_2359, (funcp)transaction_2365, (funcp)transaction_2371, (funcp)transaction_2377, (funcp)transaction_2383, (funcp)transaction_2389, (funcp)transaction_2395, (funcp)transaction_2401, (funcp)transaction_2407, (funcp)transaction_2413, (funcp)transaction_2419, (funcp)transaction_2425, (funcp)transaction_2431, (funcp)transaction_2437, (funcp)transaction_2443, (funcp)transaction_2449, (funcp)transaction_2455, (funcp)transaction_2461, (funcp)transaction_2467, (funcp)transaction_2473, (funcp)transaction_2479, (funcp)transaction_2485, (funcp)transaction_2491, (funcp)transaction_2497, (funcp)transaction_2503, (funcp)transaction_2509, (funcp)transaction_2515, (funcp)transaction_2521, (funcp)transaction_2527, (funcp)transaction_2533, (funcp)transaction_2539, (funcp)transaction_2545, (funcp)transaction_2551, (funcp)transaction_2557, (funcp)transaction_2563, (funcp)transaction_2569, (funcp)transaction_2575, (funcp)transaction_2581, (funcp)transaction_2587, (funcp)transaction_2593, (funcp)transaction_2599, (funcp)transaction_2605, (funcp)transaction_2611, (funcp)transaction_2617, (funcp)transaction_2623, (funcp)transaction_2629, (funcp)transaction_2635, (funcp)transaction_2641, (funcp)transaction_2647, (funcp)transaction_2653, (funcp)transaction_2659, (funcp)transaction_2665, (funcp)transaction_2671, (funcp)transaction_2677, (funcp)transaction_2683, (funcp)transaction_2689, (funcp)transaction_2695, (funcp)transaction_2701, (funcp)transaction_2707, (funcp)transaction_2713, (funcp)transaction_2941, (funcp)transaction_2947, (funcp)transaction_2953, (funcp)transaction_2959, (funcp)transaction_2965, (funcp)transaction_2971, (funcp)transaction_2977, (funcp)transaction_2983, (funcp)transaction_2989, (funcp)transaction_2995, (funcp)transaction_3001, (funcp)transaction_3007, (funcp)transaction_3013, (funcp)transaction_3019, (funcp)transaction_3025, (funcp)transaction_3031, (funcp)transaction_3037, (funcp)transaction_3043, (funcp)transaction_3049, (funcp)transaction_3055, (funcp)transaction_3061, (funcp)transaction_3067, (funcp)transaction_3073, (funcp)transaction_3079, (funcp)transaction_3085, (funcp)transaction_3091, (funcp)transaction_3097, (funcp)transaction_3103, (funcp)transaction_3109, (funcp)transaction_3115, (funcp)transaction_3121, (funcp)transaction_3127, (funcp)transaction_3133, (funcp)transaction_3139, (funcp)transaction_3145, (funcp)transaction_3151};
-const int NumRelocateId= 581;
+funcp funcTab[26] = {(funcp)execute_26, (funcp)execute_27, (funcp)execute_28, (funcp)execute_29, (funcp)execute_32, (funcp)execute_33, (funcp)execute_34, (funcp)execute_35, (funcp)execute_36, (funcp)execute_37, (funcp)execute_38, (funcp)execute_39, (funcp)execute_40, (funcp)execute_42, (funcp)execute_43, (funcp)execute_44, (funcp)execute_45, (funcp)execute_46, (funcp)execute_47, (funcp)execute_48, (funcp)execute_49, (funcp)execute_50, (funcp)execute_51, (funcp)execute_52, (funcp)transaction_0, (funcp)vhdl_transfunc_eventcallback};
+const int NumRelocateId= 26;
 
 void relocate(char *dp)
 {
-	iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc",  (void **)funcTab, 581);
-	iki_vhdl_file_variable_register(dp + 701048);
-	iki_vhdl_file_variable_register(dp + 701104);
+	iki_relocate(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc",  (void **)funcTab, 26);
+	iki_vhdl_file_variable_register(dp + 8352);
+	iki_vhdl_file_variable_register(dp + 8408);
 
 
 	/*Populate the transaction function pointer field in the whole net structure */
@@ -653,37 +98,10 @@ void sensitize(char *dp)
 	iki_sensitize(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc");
 }
 
-	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
-
-void wrapper_func_0(char *dp)
-
-{
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706376, dp + 710584, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706432, dp + 711536, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 706488, dp + 711088, 0, 15, 0, 15, 16, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707760, dp + 711312, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707816, dp + 710864, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707872, dp + 710752, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707928, dp + 710976, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 707984, dp + 711424, 0, 0, 0, 0, 1, 1);
-
-	iki_vlog_schedule_transaction_signal_fast_vhdl_value_time_0(dp + 708040, dp + 711200, 0, 0, 0, 0, 1, 1);
-
-}
-
 void simulate(char *dp)
 {
 		iki_schedule_processes_at_time_zero(dp, "xsim.dir/tb_firUnit_behav/xsim.reloc");
-	wrapper_func_0(dp);
-
+	// Initialize Verilog nets in mixed simulation, for the cases when the value at time 0 should be propagated from the mixed language Vhdl net
 	iki_execute_processes();
 
 	// Schedule resolution functions for the multiply driven Verilog nets that have strength
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o
index a9f97708d37957c5b7332b318e1a8328f23eb48b..6347e4da7209e8b0908794cf5461bd74caade9b7 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg
index 782eb299eb6d243ffe6117ff72272611028c724a..a5bc0fd247aa40d8e6463a2e32a5fabc69cc7249 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.dbg differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem
index 36e11baaf4c0ca6b642d0758e9f898040e752a05..5d7a940bbe2ab210db682d2cd3ff4268ee20907d 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.mem differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc
index fc47b423b3ee70d11db834f8c2a490b5d1c6d05a..5dc336539fe2928a31a5f96ae8099929ec4148ca 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.reloc differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx
index 767ff9153e46bd01e56c14be0a3e7fd111c34742..c47a8e1220cafdeef94e26d8ac9cd6fcfdcdc1d9 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rlx
@@ -1,8 +1,8 @@
 
 { 
-    crc :  15220399525024311436  , 
+    crc :  7215961660177901660  , 
     ccp_crc :  0  , 
-    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl" , 
+    cmdline : " --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit" , 
     buildDate : "May 22 2024" , 
     buildTime : "18:54:44" , 
     linkCmd : "/usr/bin/gcc -Wa,-W  -O -fPIC  -m64  -Wl,--no-as-needed  -Wl,--unresolved-symbols=ignore-all  -o \"xsim.dir/tb_firUnit_behav/xsimk\"   \"xsim.dir/tb_firUnit_behav/obj/xsim_0.lnx64.o\" \"xsim.dir/tb_firUnit_behav/obj/xsim_1.lnx64.o\" -L\"/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o\" -lrdi_simulator_kernel    -L/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -Wl,--disable-new-dtags -Wl,-rpath=/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/lib/lnx64.o/../../tps/lnx64/gcc-9.3.0/bin/../lib64 -lrdi_simbridge_kernel" , 
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti
index f67eef9777e56244b3904ded502a22d164c2495e..762e85083fa232045e4ec2d5a045169c5f059386 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.rtti differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type
index 360308b6976beedd970291f39bc83996587fe718..c28c84d68e27da5f1de4e8577d991ed1a8af5b02 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.type differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg
index b93ad433060dc3354e3984235f92372ea9396db1..250daa1e532c47f56846bc3388ce5d46606355db 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsim.xdbg differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini
index c53458ff891526a9939fc7508ef8fa601c9e900d..db62464477c7ea5ae406caedf98fd74cccff2b6e 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimSettings.ini
@@ -26,19 +26,19 @@ INTERNAL_PROTOINST_FILTER=true
 CONSTANT_PROTOINST_FILTER=true
 VARIABLE_PROTOINST_FILTER=true
 SCOPE_NAME_COLUMN_WIDTH=117
-SCOPE_DESIGN_UNIT_COLUMN_WIDTH=103
-SCOPE_BLOCK_TYPE_COLUMN_WIDTH=162
+SCOPE_DESIGN_UNIT_COLUMN_WIDTH=162
+SCOPE_BLOCK_TYPE_COLUMN_WIDTH=84
 OBJECT_NAME_COLUMN_WIDTH=188
-OBJECT_VALUE_COLUMN_WIDTH=49
+OBJECT_VALUE_COLUMN_WIDTH=55
 OBJECT_DATA_TYPE_COLUMN_WIDTH=75
-PROCESS_NAME_COLUMN_WIDTH=0
-PROCESS_TYPE_COLUMN_WIDTH=0
-FRAME_INDEX_COLUMN_WIDTH=0
-FRAME_NAME_COLUMN_WIDTH=0
-FRAME_FILE_NAME_COLUMN_WIDTH=0
-FRAME_LINE_NUM_COLUMN_WIDTH=0
-LOCAL_NAME_COLUMN_WIDTH=0
-LOCAL_VALUE_COLUMN_WIDTH=0
+PROCESS_NAME_COLUMN_WIDTH=75
+PROCESS_TYPE_COLUMN_WIDTH=75
+FRAME_INDEX_COLUMN_WIDTH=75
+FRAME_NAME_COLUMN_WIDTH=75
+FRAME_FILE_NAME_COLUMN_WIDTH=75
+FRAME_LINE_NUM_COLUMN_WIDTH=75
+LOCAL_NAME_COLUMN_WIDTH=75
+LOCAL_VALUE_COLUMN_WIDTH=75
 LOCAL_DATA_TYPE_COLUMN_WIDTH=0
 PROTO_NAME_COLUMN_WIDTH=0
 PROTO_VALUE_COLUMN_WIDTH=0
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk
index fb3114c7df7fc25be99be3291cb0c7ea840507e3..2bd59d6f0e665afd36dfa63cde4199bd7c495572 100755
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimk differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log
index 7bd6a0759f740b0e31dfcc6769cdae9e59dd484c..2389f7df028ec9cf9f93233232d3010fbdad763a 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/tb_firUnit_behav/xsimkernel.log
@@ -1,7 +1,7 @@
-Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 42475
+Running: xsim.dir/tb_firUnit_behav/xsimk -simmode gui -wdb tb_firUnit_behav.wdb -simrunnum 0 -socket 33237
 Design successfully loaded
-Design Loading Memory Usage: 22124 KB (Peak: 22132 KB)
-Design Loading CPU Usage: 40 ms
+Design Loading Memory Usage: 20176 KB (Peak: 20764 KB)
+Design Loading CPU Usage: 30 ms
 Simulation completed
-Simulation Memory Usage: 108480 KB (Peak: 161392 KB)
-Simulation CPU Usage: 2610 ms
+Simulation Memory Usage: 110112 KB (Peak: 159444 KB)
+Simulation CPU Usage: 40 ms
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb
deleted file mode 100644
index 657c2717c69247f070a0af9566856c6bff87d2f6..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio@proc.sdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb
deleted file mode 100644
index 6329d03eb3530d4b2cfeb87fd8c01a1b20a17418..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/audio_init.sdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb
deleted file mode 100644
index c49f0db48faace03fecdabd83f825f8271250b25..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0.sdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb
deleted file mode 100644
index aead7a5d02850cf67ddb053bef63bb31b9418bd7..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/clk_wiz_0_clk_wiz.sdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb
index e6d44f30ebf373ff710f976c519fd5bf4aaf5b20..4ca7e33092cc6d32d69b6b7d54ebaae80452ee0f 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/controlunit.vdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb
deleted file mode 100644
index 487920d2c41d8e67f06e4749388e2167416ff529..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/debounce.sdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb
deleted file mode 100644
index f0d4a854cf581a97f5e8b72ba96d324500f2408d..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/fir.vdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb
index 0759506a7670cb6bbb46efd3a5f8dc3feacfedf3..ecd6178769602fa8a6c73b10081faef28e43264a 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/firunit.vdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb
deleted file mode 100644
index 3ca783b0d9a6345cc986271ac9f3f8523e10994b..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/glbl.sdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb
deleted file mode 100644
index 38e03cf5319c8f47592b2c7a0d9d8de4f96f7935..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/i2s_ctl.vdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb
deleted file mode 100644
index 9dc5c8890edf94b1efb90070dfee669a5f51cefa..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operative@unit.sdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb
index b5e974e0017611107df57510ed971213cbc50d63..47fdb6e2fa3230c7a5ca659e7eb53a8348c06731 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/operativeunit.vdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb
index 8bc38dd590cbd60ec90e575dcba64488da4b5c2c..cce1d55b676d33102b9cd81594c4d3d7c4a19023 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb and b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/tb_firunit.vdb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb
deleted file mode 100644
index 79566c3080b501c55dee3cdabcd1bb6dea0313c8..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twictl.vdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb
deleted file mode 100644
index ba798283f2a361c8f9bc2265075cbd64b88a4b26..0000000000000000000000000000000000000000
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/twiutils.vdb and /dev/null differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
index 36917f02fa7748d9ba7b5cf2afd271572109ddfc..626a7785dc8af94426a11d5b85d02313a8f962d5 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xsim.dir/xil_defaultlib/xil_defaultlib.rlx
@@ -2,17 +2,7 @@
 2020.2
 May 22 2024
 18:54:44
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v,1708598507,verilog,,,,glbl,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd,1746771255,vhdl,,,,twictl;twiutils,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v,1746771255,verilog,,,,audioProc,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v,1746771255,verilog,,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v,,audio_init,,,,,,,,
 /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd,1746775887,vhdl,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,,,controlunit,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v,1746771255,verilog,,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v,,debounce,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd,1746771255,vhdl,,,,fir,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,1746775892,vhdl,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd,,,firunit,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd,1746771255,vhdl,,,,i2s_ctl,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v,1746771256,verilog,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v,,\operativeUnit\,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd,1746779788,vhdl,,,,operativeunit,,,,,,,,
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,1746775892,vhdl,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd,,,firunit,,,,,,,,
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd,1747040655,vhdl,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd,,,operativeunit,,,,,,,,
 /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd,1746771256,vhdl,,,,tb_firunit,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.v,1746771256,verilog,,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v,,clk_wiz_0,,,,,,,,
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v,1746771256,verilog,,/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.v,,clk_wiz_0_clk_wiz,,,,,,,,
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log
index e69de29bb2d1d6434b8b29ae775ad8c2e48c5391..9177bea063d1f8aad37dd95132e8d6bc02df7a1a 100644
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log
+++ b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log
@@ -0,0 +1,8 @@
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb
index b155e40f06a230303a04d2a77f07560e35c5dc93..06bce4a78221406be820d4c5768eb3ab22837ed5 100644
Binary files a/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb and b/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.pb differ
diff --git a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb b/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb
deleted file mode 100644
index b155e40f06a230303a04d2a77f07560e35c5dc93..0000000000000000000000000000000000000000
--- a/proj/AudioProc.sim/sim_1/behav/xsim/xvlog.pb
+++ /dev/null
@@ -1,4 +0,0 @@
-
-
-
-End Record
\ No newline at end of file
diff --git a/proj/AudioProc.xpr b/proj/AudioProc.xpr
index 69a448c8d6d00e3dcdb5ced644d899ca481843dd..011f651bef960e36451425b007e26db6dd42acfa 100644
--- a/proj/AudioProc.xpr
+++ b/proj/AudioProc.xpr
@@ -63,7 +63,7 @@
     <Option Name="IPUserFilesDir" Val="$PIPUSERFILESDIR"/>
     <Option Name="IPStaticSourceDir" Val="$PIPUSERFILESDIR/ipstatic"/>
     <Option Name="EnableBDX" Val="FALSE"/>
-    <Option Name="WTXSimLaunchSim" Val="6"/>
+    <Option Name="WTXSimLaunchSim" Val="67"/>
     <Option Name="WTModelSimLaunchSim" Val="0"/>
     <Option Name="WTQuestaLaunchSim" Val="0"/>
     <Option Name="WTIesLaunchSim" Val="0"/>
@@ -160,10 +160,9 @@
       </File>
       <File Path="$PPRDIR/../src/hdl/operativeUnit.v">
         <FileInfo>
-          <Attr Name="AutoDisabled" Val="1"/>
-          <Attr Name="UsedIn" Val="synthesis"/>
+          <Attr Name="UserDisabled" Val="1"/>
           <Attr Name="UsedIn" Val="implementation"/>
-          <Attr Name="UsedIn" Val="simulation"/>
+          <Attr Name="UsedIn" Val="synthesis"/>
         </FileInfo>
       </File>
       <Config>
@@ -235,7 +234,7 @@
     </Simulator>
   </Simulators>
   <Runs Version="1" Minor="22">
-    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred  with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
+    <Run Id="synth_1" Type="Ft3:Synth" SrcSet="sources_1" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Higher performance designs, resource sharing is turned off, the global fanout guide is set to a lower number, FSM extraction forced to one-hot, LUT combining is disabled, equivalent registers are preserved, SRL are inferred  with a larger threshold" AutoIncrementalCheckpoint="true" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/synth_1" IncludeInArchive="true" IsChild="false" AutoIncrementalDir="$PSRCDIR/utils_1/imports/synth_1" AutoRQSDir="$PSRCDIR/utils_1/imports/synth_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
         <StratHandle Name="Flow_PerfOptimized_High" Flow="Vivado Synthesis 2014"/>
         <Step Id="synth_design">
@@ -247,11 +246,12 @@
           <Option Id="ShregMinSize">5</Option>
         </Step>
       </Strategy>
+      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
       <ReportStrategy Name="Vivado Synthesis Default Reports" Flow="Vivado Synthesis 2017"/>
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
       <RQSFiles/>
     </Run>
-    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
+    <Run Id="impl_1" Type="Ft2:EntireDesign" Part="xc7a200tsbg484-1" ConstrsSet="constrs_1" Description="Vivado Implementation Defaults" AutoIncrementalCheckpoint="false" WriteIncrSynthDcp="false" State="current" Dir="$PRUNDIR/impl_1" SynthRun="synth_1" IncludeInArchive="true" IsChild="false" GenFullBitstream="true" AutoIncrementalDir="$PSRCDIR/utils_1/imports/impl_1" LaunchOptions="-jobs 2 " AutoRQSDir="$PSRCDIR/utils_1/imports/impl_1" ParallelReportGen="true">
       <Strategy Version="1" Minor="2">
         <StratHandle Name="Vivado Implementation Defaults" Flow="Vivado Implementation 2014"/>
         <Step Id="init_design"/>
@@ -266,6 +266,7 @@
           <Option Id="BinFile">1</Option>
         </Step>
       </Strategy>
+      <GeneratedRun Dir="$PRUNDIR" File="gen_run.xml"/>
       <ReportStrategy Name="Vivado Implementation Default Reports" Flow="Vivado Implementation 2017"/>
       <Report Name="ROUTE_DESIGN.REPORT_METHODOLOGY" Enabled="1"/>
       <RQSFiles/>
diff --git a/proj/tb_firUnit_behav.wcfg b/proj/tb_firUnit_behav.wcfg
new file mode 100644
index 0000000000000000000000000000000000000000..1e6b26d8073fcc05731b5cbf49402b24a82d9304
--- /dev/null
+++ b/proj/tb_firUnit_behav.wcfg
@@ -0,0 +1,47 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<wave_config>
+   <wave_state>
+   </wave_state>
+   <db_ref_list>
+      <db_ref path="tb_firUnit_behav.wdb" id="1">
+         <top_modules>
+            <top_module name="tb_firUnit" />
+         </top_modules>
+      </db_ref>
+   </db_ref_list>
+   <zoom_setting>
+      <ZoomStartTime time="281,550 ps"></ZoomStartTime>
+      <ZoomEndTime time="281,556 ps"></ZoomEndTime>
+      <Cursor1Time time="1,000,000 ps"></Cursor1Time>
+   </zoom_setting>
+   <column_width_setting>
+      <NameColumnWidth column_width="276"></NameColumnWidth>
+      <ValueColumnWidth column_width="120"></ValueColumnWidth>
+   </column_width_setting>
+   <WVObjectSize size="6" />
+   <wvobject type="logic" fp_name="/tb_firUnit/SC_clock">
+      <obj_property name="ElementShortName">SC_clock</obj_property>
+      <obj_property name="ObjectShortName">SC_clock</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/tb_firUnit/SC_reset">
+      <obj_property name="ElementShortName">SC_reset</obj_property>
+      <obj_property name="ObjectShortName">SC_reset</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/tb_firUnit/SC_inputSample">
+      <obj_property name="ElementShortName">SC_inputSample[15:0]</obj_property>
+      <obj_property name="ObjectShortName">SC_inputSample[15:0]</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/tb_firUnit/SC_inputSampleValid">
+      <obj_property name="ElementShortName">SC_inputSampleValid</obj_property>
+      <obj_property name="ObjectShortName">SC_inputSampleValid</obj_property>
+   </wvobject>
+   <wvobject type="array" fp_name="/tb_firUnit/SC_filteredSample">
+      <obj_property name="ElementShortName">SC_filteredSample[15:0]</obj_property>
+      <obj_property name="ObjectShortName">SC_filteredSample[15:0]</obj_property>
+      <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
+   </wvobject>
+   <wvobject type="logic" fp_name="/tb_firUnit/SC_filteredSampleValid">
+      <obj_property name="ElementShortName">SC_filteredSampleValid</obj_property>
+      <obj_property name="ObjectShortName">SC_filteredSampleValid</obj_property>
+   </wvobject>
+</wave_config>
diff --git a/src/hdl/operativeUnit.vhd b/src/hdl/operativeUnit.vhd
index 188c4f39939d7f7489486e0282f45ef4bb3fc3ac..cfcb3961b1a26f99bf19883f785a27fb73ca1b40 100644
--- a/src/hdl/operativeUnit.vhd
+++ b/src/hdl/operativeUnit.vhd
@@ -45,7 +45,7 @@ entity operativeUnit is
         I_incrAddress    : in  std_logic;                     -- Control signal to increment register read address
         I_initSum        : in  std_logic;                     -- Control signal to initialize the MAC register
         I_loadSum        : in  std_logic;                     -- Control signal to load the MAC register;
-        I_loadY          : in  std_logic;                     -- Control signal to load Y register
+        I_loadOutput          : in  std_logic;                     -- Control signal to load Y register
         O_processingDone : out std_logic;                     -- Indicate that processing is done
         O_filteredSample : out std_logic_vector(15 downto 0)   -- filtered sample
         );
@@ -114,39 +114,35 @@ begin
                         );
     
     -- Process to describe the shift register storing the input samples
-    shift : process (I_reset, I_loadShift, I_incrAddress) is
-        variable i : integer range 0 to 15;
-    begin  -- process shift
-        if I_reset = '1' then               -- asynchronous reset (active high)
-            for i in 0 to 15 loop
-                SR_shiftRegister(i) <= (others => '0');
-            end loop;
-        elsif I_loadShift = '1' then        -- load the input sample
-            for i in 0 to 14 loop
-                SR_shiftRegister(i+1) <= SR_shiftRegister(i);
-            end loop;
-            SR_shiftRegister(0) <= signed(I_inputSample);
-        elsif I_incrAddress = '1' then      -- shift the register
-            for i in 0 to 14 loop
-                SR_shiftRegister(i) <= SR_shiftRegister(i+1);
-            end loop;
-            SR_shiftRegister(15) <= (others => '0');
+    shift : process (I_clock, I_reset) is
+    begin
+        if I_reset = '1' then           -- asynchronous reset (active high)
+            SR_shiftRegister <= (others => (others => '0'));
+        elsif rising_edge(I_clock) then  -- rising clock edge
+            if I_loadShift = '1' then
+                SR_shiftRegister(0) <= signed(I_inputSample);
+                for i in 1 to 15 loop
+                    SR_shiftRegister(i) <= SR_shiftRegister(i-1);
+                end loop;
+            end if;
         end if;
     end process shift;
 
     -- Process to describe the counter providing the selection adresses
     -- of the multiplexers
-    incr_address : process (I_reset, I_initAddress, I_incrAddress) is
-    begin  -- process incr_address
+    incr_address : process (I_clock, I_reset) is
+    begin
         if I_reset = '1' then               -- asynchronous reset (active high)
             SR_readAddress <= 0;
-        elsif I_initAddress = '1' then        -- initialize the address
-            SR_readAddress <= 0;
-        elsif I_incrAddress = '1' then      -- increment the address
-            if SR_readAddress < 15 then
-                SR_readAddress <= SR_readAddress + 1;
-            else
-                SR_readAddress <= 0;        -- wrap around
+        elsif rising_edge(I_clock) then     -- rising clock edge
+            if I_initAddress = '1' then
+                SR_readAddress <= 0;
+            elsif I_incrAddress = '1' then
+                if SR_readAddress < 15 then
+                    SR_readAddress <= SR_readAddress + 1;
+                else
+                    SR_readAddress <= 0;
+                end if;
             end if;
 
         end if;
@@ -154,37 +150,47 @@ begin
 
     -- Signal detecting that the next cycle will be the one
     -- providing the last product used to compute the convolution
-    O_processingDone <= '1' when SR_readAddress = 15 else '0';
+    O_processingDone <= '1' when SR_readAddress = 14 else '0';
 
     -- Signals connected with multiplexers (SIMPLY inferred with table indices)
     SC_multOperand1 <= SR_shiftRegister(SR_readAddress);  -- 16 bits
     SC_multOperand2 <= SR_coefRegister(SR_readAddress);   -- 16 bits
 
     -- Multiplication of the operands
-    SC_MultResult   <= resize(SC_multOperand1, SC_MultResult'length) * resize(SC_multOperand2, SC_MultResult'length);  -- 32 bits
+    SC_MultResult   <= SC_multOperand1 * SC_multOperand2;
 
     -- Sum of the multiplication result and the accumulated value
-    SC_addResult    <= resize(SC_MultResult, SC_addResult'length) + SR_sum;
+    SC_addResult    <= SC_MultResult + SR_sum;
 
     -- Register to store the accumulated value if the loadSum is active
     -- It also reduces the width of the sum to fit to the input and output
     -- signal widths (be careful with truncating/rounding)
-    sum_acc : process (I_reset, I_loadSum) is
-    begin  -- process sum_acc
+    sum_acc : process (I_clock, I_reset) is
+    begin
         if I_reset = '1' then               -- asynchronous reset (active high)
             SR_sum <= (others => '0');
-        elsif I_loadSum = '1' then          -- load the sum
-            SR_sum <= SC_addResult(35 downto 0);
+        elsif rising_edge(I_clock) then     -- rising clock edge
+            if I_initSum = '1' then
+                SR_sum <= (others => '0');
+            elsif I_loadSum = '1' then
+                SR_sum <= SC_addResult;
+            end if;
         end if;
     end process sum_acc;
 
     -- Register to store the final result if the loadOuput is active
-    store_result : process (I_reset, I_loadY) is
+    store_result : process (I_clock, I_reset) is
     begin
         if I_reset = '1' then               -- asynchronous reset (active high)
             SR_filteredSample <= (others => '0');
-        elsif I_loadY = '1' then             -- load the output
-            SR_filteredSample <= resize(SR_sum(31 downto 16), SR_filteredSample'length);
+        elsif rising_edge(I_clock) then     -- rising clock edge
+            if I_loadOutput = '1' then
+                if SC_addResult(14) = '1' then
+                    SR_filteredSample <= SC_addResult(30 downto 15) + 1;
+                else
+                    SR_filteredSample <= SC_addResult(30 downto 15);
+                end if;
+            end if;
         end if;
 
     end process store_result;
diff --git a/vivado.jou b/vivado.jou
index d435f83c8cbf7c401f58934e2bb1edc30a28cab1..a782e695394f96f9f4049ca1e1d721e293a82410 100644
--- a/vivado.jou
+++ b/vivado.jou
@@ -3,8 +3,8 @@
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Fri May  9 08:18:24 2025
-# Process ID: 233430
+# Start of session at: Mon May 12 08:52:08 2025
+# Process ID: 392756
 # Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
 # Command line: vivado
 # Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
@@ -13,74 +13,191 @@
 # Platform          :Ubuntu
 # Operating System  :Ubuntu 24.04.2 LTS
 # Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
-# CPU Frequency     :3092.524 MHz
+# CPU Frequency     :3092.751 MHz
 # CPU Physical cores:4
 # CPU Logical cores :4
 # Host memory       :16688 MB
 # Swap memory       :4294 MB
 # Total Virtual     :20983 MB
-# Available Virtual :17078 MB
+# Available Virtual :16299 MB
 #-----------------------------------------------------------
 start_gui
-open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.xpr}
-update_compile_order -fileset sources_1
-close_project
-d tp-filtre-etudiant-t24autul/proj
-cd tp-filtre-etudiant-t24autul/proj
-ls
-cd proj
-source ./create_project.tcl
-update_compile_order -fileset sources_1
-update_compile_order -fileset sources_1
-set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
 update_compile_order -fileset sources_1
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_firUnit [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-launch_simulation
-source tb_firUnit.tcl
-move_files -fileset sim_1 [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd}}]
-update_compile_order -fileset sim_1
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top audioProc [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-update_compile_order -fileset sim_1
-launch_simulation
-source audioProc.tcl
-# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
-set_property source_mgmt_mode None [current_project]
-set_property top tb_firUnit [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-# Re-enabling previously disabled source management mode.
-set_property source_mgmt_mode All [current_project]
-update_compile_order -fileset sim_1
 set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
 update_compile_order -fileset sources_1
+launch_simulation
+launch_simulation
+launch_simulation
+launch_simulation
+launch_simulation
+launch_simulation
+launch_simulation
 set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
-current_sim simulation_1
-close_sim
 launch_simulation
-set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
-set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
-update_compile_order -fileset sources_1
+set_property used_in_synthesis false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+set_property used_in_implementation false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+set_property used_in_simulation false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+set_property used_in_implementation true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+set_property used_in_synthesis true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+launch_simulation
+launch_simulation
+launch_simulation
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
 launch_simulation
 source tb_firUnit.tcl
 run 10 us
 run 10 us
 run 10 us
-set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
-update_compile_order -fileset sources_1
+run 10 us
+run 10 us
+run 10 us
+relaunch_sim
 run all
+relaunch_sim
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+relaunch_sim
+relaunch_sim
+relaunch_sim
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+close_sim
+launch_simulation
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+run 10 us
+run 10 us
+add_bp {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd} 119
+remove_bps -file {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd} -line 119
+close_sim
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+relaunch_sim
+relaunch_sim
+run 10 us
+relaunch_sim
+relaunch_sim
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
 close_sim
+reset_simulation -simset sim_1 -mode behavioral
 launch_simulation
+source tb_firUnit.tcl
 close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
 close_project
 open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
+launch_simulation
 update_compile_order -fileset sources_1
 launch_simulation
+source tb_firUnit.tcl
+close_sim
+launch_simulation
+source tb_firUnit.tcl
+relaunch_sim
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+close_sim
+reset_simulation -simset sim_1 -mode behavioral
+launch_simulation
+source tb_firUnit.tcl
+save_wave_config {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/tb_firUnit_behav.wcfg}
+close_sim
diff --git a/vivado.log b/vivado.log
index 8ca056535d474159eab93cd0f90ff180cbb48743..369e70b67b428643bfcb4fc0cdea2e8e00d9d740 100644
--- a/vivado.log
+++ b/vivado.log
@@ -3,8 +3,8 @@
 # SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
 # IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
 # SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
-# Start of session at: Fri May  9 08:18:24 2025
-# Process ID: 233430
+# Start of session at: Mon May 12 08:52:08 2025
+# Process ID: 392756
 # Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
 # Command line: vivado
 # Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
@@ -13,13 +13,13 @@
 # Platform          :Ubuntu
 # Operating System  :Ubuntu 24.04.2 LTS
 # Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
-# CPU Frequency     :3092.524 MHz
+# CPU Frequency     :3092.751 MHz
 # CPU Physical cores:4
 # CPU Logical cores :4
 # Host memory       :16688 MB
 # Swap memory       :4294 MB
 # Total Virtual     :20983 MB
-# Available Virtual :17078 MB
+# Available Virtual :16299 MB
 #-----------------------------------------------------------
 start_gui
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
@@ -31,110 +31,3378 @@ WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 avai
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
 WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
-open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.xpr}
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
 WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'.
-WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/-'.
-WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Traitement'.
-WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/audio/tp-synthe/tp-synthe-etudiant-t24autul-1/repo'.
-INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.gen/sources_1'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'.
 Scanning sources...
 Finished scanning sources
 INFO: [IP_Flow 19-234] Refreshing IP repositories
 WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
 If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
-WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/-'; Can't find the specified path.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
 If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
-WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Traitement'; Can't find the specified path.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
 If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
-WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/audio/tp-synthe/tp-synthe-etudiant-t24autul-1/repo'; Can't find the specified path.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
 If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
 INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
 WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
 * IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
-open_project: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 8148.762 ; gain = 237.531 ; free physical = 215 ; free virtual = 15129
+open_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:05 . Memory (MB): peak = 8080.930 ; gain = 240.469 ; free physical = 2320 ; free virtual = 14518
 update_compile_order -fileset sources_1
-close_project
-d tp-filtre-etudiant-t24autul/proj
-ambiguous command name "d": decrypt_bitstream delete_clock_networks_results delete_dashboard delete_dashboard_gadgets delete_debug_core delete_debug_port delete_drc_check delete_drc_ruledeck delete_fileset delete_interface delete_ip_run delete_macros delete_partition_defs delete_pblocks delete_port delete_power_rails delete_power_results delete_pr_configurations delete_property delete_qor_suggestions delete_reconfig_modules delete_report_configs delete_rpm delete_runs delete_timing_results delete_utilization_results delete_waivers device_enable dict diff_board disconnect_debug_port disconnect_net
-cd tp-filtre-etudiant-t24autul/proj
-couldn't change working directory to "tp-filtre-etudiant-t24autul/proj": no such file or directory
-ls
-WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
-docs
-proj
-README.md
-src
-vivado.jou
-vivado.log
-vivado_pid233430.str
-cd proj
-source ./create_project.tcl
-# if {[info exists ::create_path]} {
-# 	set dest_dir $::create_path
-# } else {
-# 	set dest_dir [pwd]
-# }
-# puts "INFO: Creating new project in $dest_dir"
-INFO: Creating new project in /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj
-# set proj_name "AudioProc"
-# set origin_dir ".."
-# set orig_proj_dir "[file normalize "$origin_dir/proj"]"
-# set src_dir $origin_dir/src
-# set repo_dir $origin_dir/repo
-# set part_num "xc7a200tsbg484-1"
-# create_project $proj_name $dest_dir
-INFO: [IP_Flow 19-234] Refreshing IP repositories
-INFO: [IP_Flow 19-1704] No user IP repositories specified
-INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
-# set proj_dir [get_property directory [current_project]]
-# set obj [get_projects $proj_name]
-# set_property "default_lib" "xil_defaultlib" $obj
-# set_property "part" "$part_num" $obj
-# set_property "simulator_language" "Mixed" $obj
-# set_property "target_language" "VHDL" $obj
-# if {[string equal [get_filesets -quiet sources_1] ""]} {
-#   create_fileset -srcset sources_1
-# }
-# if {[string equal [get_filesets -quiet constrs_1] ""]} {
-#   create_fileset -constrset constrs_1
-# }
-# set obj [get_filesets sources_1]
-# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj
-# add_files -quiet $src_dir/hdl
-# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci]
-# add_files -fileset constrs_1 -quiet $src_dir/constraints
-# if {[string equal [get_runs -quiet synth_1] ""]} {
-#   create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1
-# } else {
-#   set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1]
-#   set_property flow "Vivado Synthesis 2014" [get_runs synth_1]
-# }
-# set obj [get_runs synth_1]
-# set_property "part" "$part_num" $obj
-# set_property "steps.synth_design.args.fanout_limit" "400" $obj
-# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj
-# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj
-# set_property "steps.synth_design.args.resource_sharing" "off" $obj
-# set_property "steps.synth_design.args.no_lc" "1" $obj
-# set_property "steps.synth_design.args.shreg_min_size" "5" $obj
-# current_run -synthesis [get_runs synth_1]
-# if {[string equal [get_runs -quiet impl_1] ""]} {
-#   create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
-# } else {
-#   set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
-#   set_property flow "Vivado Implementation 2014" [get_runs impl_1]
+set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:07 . Memory (MB): peak = 8122.285 ; gain = 0.000 ; free physical = 2237 ; free virtual = 14481
+INFO: [USF-XSim-69] 'compile' step finished in '7' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+execute_script: Time (s): cpu = 00:00:03 ; elapsed = 00:00:06 . Memory (MB): peak = 8122.285 ; gain = 0.000 ; free physical = 2181 ; free virtual = 14456
+INFO: [USF-XSim-69] 'elaborate' step finished in '6' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:16 . Memory (MB): peak = 8122.285 ; gain = 8.906 ; free physical = 2177 ; free virtual = 14452
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 8222.305 ; gain = 9.973 ; free physical = 2170 ; free virtual = 14447
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:09 . Memory (MB): peak = 8418.418 ; gain = 0.000 ; free physical = 2172 ; free virtual = 14453
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:05 . Memory (MB): peak = 8436.422 ; gain = 0.000 ; free physical = 2165 ; free virtual = 14446
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8529.543 ; gain = 0.109 ; free physical = 2139 ; free virtual = 14421
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+ERROR: [VRFC 10-4982] syntax error near '35' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:188]
+ERROR: [VRFC 10-3134] attribute 'length' does not return type 'unresolved_signed' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:188]
+ERROR: [VRFC 10-3340] formal 'size_res' has no actual or default value [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:188]
+ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55]
+INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8700.625 ; gain = 0.000 ; free physical = 2298 ; free virtual = 14536
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 8718.613 ; gain = 0.000 ; free physical = 2142 ; free virtual = 14403
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+set_property used_in_synthesis false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+set_property used_in_implementation false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+set_property used_in_simulation false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+set_property used_in_implementation true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+set_property used_in_synthesis true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '4' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 8754.613 ; gain = 0.000 ; free physical = 2179 ; free virtual = 14438
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8952.656 ; gain = 10.000 ; free physical = 2171 ; free virtual = 14428
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9052.691 ; gain = 0.000 ; free physical = 2192 ; free virtual = 14449
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+ERROR: [VRFC 10-719] formal port/generic <i_loadoutput> is not declared in <operativeunit> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:108]
+ERROR: [VRFC 10-3353] formal port 'i_loady' has no actual or default value [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:98]
+ERROR: [VRFC 10-9458] unit 'archi_firunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:42]
+INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 9208.691 ; gain = 0.000 ; free physical = 2125 ; free virtual = 14415
+INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements
+Time: 0 ps  Iteration: 0  Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160
+  File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd
+
+HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:12 . Memory (MB): peak = 9285.738 ; gain = 77.047 ; free physical = 2092 ; free virtual = 14395
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+Time resolution is 1 ps
+ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements
+Time: 0 ps  Iteration: 0  Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160
+  File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd
+
+HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160
+relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9291.738 ; gain = 0.000 ; free physical = 2066 ; free virtual = 14370
+run all
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+execute_script: Time (s): cpu = 00:00:02 ; elapsed = 00:00:05 . Memory (MB): peak = 9294.500 ; gain = 0.000 ; free physical = 2060 ; free virtual = 14363
+INFO: [USF-XSim-69] 'elaborate' step finished in '5' seconds
+launch_simulation: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9294.500 ; gain = 0.000 ; free physical = 2060 ; free virtual = 14363
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:09 ; elapsed = 00:00:11 . Memory (MB): peak = 9304.496 ; gain = 9.996 ; free physical = 2060 ; free virtual = 14364
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 9316.633 ; gain = 0.000 ; free physical = 1968 ; free virtual = 14270
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9316.633 ; gain = 0.000 ; free physical = 2002 ; free virtual = 14307
+Time resolution is 1 ps
+ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements
+Time: 0 ps  Iteration: 0  Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160
+  File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd
+
+HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160
+relaunch_sim: Time (s): cpu = 00:00:11 ; elapsed = 00:00:13 . Memory (MB): peak = 9316.633 ; gain = 0.000 ; free physical = 1996 ; free virtual = 14301
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+Time resolution is 1 ps
+ERROR: Array sizes do not match, left array has 32 elements, right array has 72 elements
+Time: 0 ps  Iteration: 0  Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160
+  File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd
+
+HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160
+relaunch_sim: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 9434.539 ; gain = 0.000 ; free physical = 1993 ; free virtual = 14297
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+Time resolution is 1 ps
+ERROR: Array sizes do not match, left array has 32 elements, right array has 72 elements
+Time: 0 ps  Iteration: 0  Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160
+  File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd
+
+HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160
+relaunch_sim: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 9434.539 ; gain = 0.000 ; free physical = 1954 ; free virtual = 14259
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-9564] expression has 16 elements; expected 32 [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:156]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:05 . Memory (MB): peak = 9478.688 ; gain = 0.000 ; free physical = 1956 ; free virtual = 14262
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:07 . Memory (MB): peak = 9528.551 ; gain = 45.684 ; free physical = 1973 ; free virtual = 14277
+run 10 us
+run 10 us
+run 10 us
+add_bp {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd} 119
+remove_bps -file {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd} -line 119
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:08 . Memory (MB): peak = 9536.566 ; gain = 0.000 ; free physical = 1976 ; free virtual = 14281
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '4' seconds
+launch_simulation: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 9588.277 ; gain = 0.000 ; free physical = 1955 ; free virtual = 14260
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9588.277 ; gain = 0.000 ; free physical = 1945 ; free virtual = 14253
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:12 ; elapsed = 00:00:14 . Memory (MB): peak = 9588.277 ; gain = 0.000 ; free physical = 1941 ; free virtual = 14249
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:06 . Memory (MB): peak = 9602.273 ; gain = 0.000 ; free physical = 1894 ; free virtual = 14209
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 9602.273 ; gain = 0.000 ; free physical = 1932 ; free virtual = 14249
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:15 ; elapsed = 00:00:13 . Memory (MB): peak = 9602.273 ; gain = 0.000 ; free physical = 1926 ; free virtual = 14244
+run 10 us
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 9602.273 ; gain = 0.000 ; free physical = 1920 ; free virtual = 14227
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [Common 17-41] Interrupt caught. Command should exit soon.
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [Common 17-344] 'execute_script' was cancelled
+INFO: [Vivado 12-5357] 'compile' step aborted
+INFO: [Common 17-344] 'launch_simulation' was cancelled
+INFO: [Common 17-344] 'relaunch_sim' was cancelled
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:07 . Memory (MB): peak = 9624.285 ; gain = 19.863 ; free physical = 1949 ; free virtual = 14259
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+ERROR: [VRFC 10-724] found '0' definitions of operator "+", cannot determine exact overloaded matching definition for "+" [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:176]
+ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55]
+INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9670.293 ; gain = 18.000 ; free physical = 1909 ; free virtual = 14218
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9708.297 ; gain = 0.000 ; free physical = 1905 ; free virtual = 14215
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements
+Time: 0 ps  Iteration: 0  Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160
+  File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd
+
+HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:11 ; elapsed = 00:00:08 . Memory (MB): peak = 9708.297 ; gain = 0.000 ; free physical = 1919 ; free virtual = 14229
+run 10 us
+ERROR: [Simulator 45-1] A fatal run-time error was detected.  Simulation cannot continue.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+INFO: [Vivado 12-2267] Reset complete
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9740.312 ; gain = 21.859 ; free physical = 1886 ; free virtual = 14197
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9785.332 ; gain = 0.000 ; free physical = 1896 ; free virtual = 14206
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+ERROR: Array sizes do not match, left array has 32 elements, right array has 64 elements
+Time: 0 ps  Iteration: 0  Process: /tb_firUnit/firUnit_1/operativeUnit_1/line__160
+  File: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd
+
+HDL Line: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:160
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 9832.328 ; gain = 14.855 ; free physical = 1906 ; free virtual = 14219
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 10041.387 ; gain = 9.863 ; free physical = 1840 ; free virtual = 14186
+run 10 us
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 10042.387 ; gain = 0.000 ; free physical = 1810 ; free virtual = 14156
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 10078.531 ; gain = 0.000 ; free physical = 1818 ; free virtual = 14163
+run 10 us
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 10106.535 ; gain = 0.000 ; free physical = 1823 ; free virtual = 14169
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:08 . Memory (MB): peak = 10203.406 ; gain = 0.000 ; free physical = 1778 ; free virtual = 14121
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+ERROR: [VRFC 10-724] found '0' definitions of operator "=", cannot determine exact overloaded matching definition for "=" [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:177]
+ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55]
+INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd:]
+close_project
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
+* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+ERROR: [VRFC 10-2989] 'sr_addresult' is not declared [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:192]
+ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55]
+INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1752 ; free virtual = 14098
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:07 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1662 ; free virtual = 14010
+relaunch_sim
+Command: launch_simulation -step compile -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+Command: launch_simulation -step elaborate -simset sim_1 -mode behavioral
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+Time resolution is 1 ps
+relaunch_sim: Time (s): cpu = 00:00:05 ; elapsed = 00:00:07 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1731 ; free virtual = 14078
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1714 ; free virtual = 14061
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:10 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1705 ; free virtual = 14055
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1700 ; free virtual = 14050
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
 # }
-# set obj [get_runs impl_1]
-# set_property "part" "$part_num" $obj
-# set_property "steps.write_bitstream.args.bin_file" "1" $obj
-# current_run -implementation [get_runs impl_1]
-impl_1
-update_compile_order -fileset sources_1
-update_compile_order -fileset sources_1
-set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
-update_compile_order -fileset sources_1
-set_property top tb_firUnit [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1693 ; free virtual = 14044
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
 launch_simulation
 Command: launch_simulation 
 INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
@@ -151,36 +3419,28 @@ INFO: [USF-XSim-97] Finding global include files...
 INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
 INFO: [USF-XSim-2] XSim::Compile design
 INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
-xvlog --incr --relax -prj tb_firUnit_vlog.prj
-/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
-INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v" into library xil_defaultlib
-INFO: [VRFC 10-311] analyzing module operativeUnit
-INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v" into library xil_defaultlib
-INFO: [VRFC 10-311] analyzing module glbl
 xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
 INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
 INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
 INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
 INFO: [VRFC 10-3107] analyzing entity 'firUnit'
 INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
 INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
 Waiting for jobs to finish...
 No pending jobs, compilation finished.
-execute_script: Time (s): cpu = 00:00:05 ; elapsed = 00:00:12 . Memory (MB): peak = 9270.094 ; gain = 0.000 ; free physical = 257 ; free virtual = 14501
-INFO: [USF-XSim-69] 'compile' step finished in '12' seconds
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
 INFO: [USF-XSim-3] XSim::Elaborate design
 INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
 Vivado Simulator v2024.1
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
 Using 8 slave threads.
 Starting static elaboration
-Pass Through NonSizing Optimizer
-WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422]
-WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478]
 Completed static elaboration
 Starting simulation data flow analysis
 Completed simulation data flow analysis
@@ -189,33 +3449,13 @@ Compiling package std.standard
 Compiling package std.textio
 Compiling package ieee.std_logic_1164
 Compiling package ieee.numeric_std
-Compiling package vl.vl_types
-Compiling module xil_defaultlib.glbl
 Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
-Compiling module unisims_ver.GND
-Compiling module unisims_ver.BUFG
-Compiling module unisims_ver.IBUF
-Compiling module unisims_ver.OBUF
-Compiling module unisims_ver.x_lut3_mux8
-Compiling module unisims_ver.LUT3
-Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,...
-Compiling module unisims_ver.x_lut2_mux4
-Compiling module unisims_ver.LUT2
-Compiling module unisims_ver.LUT4
-Compiling module unisims_ver.LUT6
-Compiling module unisims_ver.MUXF8
-Compiling module unisims_ver.MUXF7
-Compiling module unisims_ver.FDCE_default
-Compiling module unisims_ver.CARRY4
-Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010)
-Compiling module unisims_ver.VCC
-Compiling module xil_defaultlib.operativeUnit
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
 Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
 Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
 Built simulation snapshot tb_firUnit_behav
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
-execute_script: Time (s): cpu = 00:00:07 ; elapsed = 00:00:14 . Memory (MB): peak = 9270.094 ; gain = 0.000 ; free physical = 279 ; free virtual = 14501
-INFO: [USF-XSim-69] 'elaborate' step finished in '14' seconds
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
 INFO: [USF-XSim-4] XSim::Simulate design
 INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
 INFO: [USF-XSim-98] *** Running xsim
@@ -235,20 +3475,16 @@ source tb_firUnit.tcl
 # run 1000ns
 INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
 INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:18 ; elapsed = 00:00:35 . Memory (MB): peak = 9340.332 ; gain = 81.145 ; free physical = 268 ; free virtual = 14503
-move_files -fileset sim_1 [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd}}]
-update_compile_order -fileset sim_1
-set_property top audioProc [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-update_compile_order -fileset sim_1
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1741 ; free virtual = 14092
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
 launch_simulation
 Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'audioProc'
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
 INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Simulation target. Since these IPs are locked, no update to the output products can be done. 
-Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
-/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci
-
 INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
 INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
 INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
@@ -256,50 +3492,33 @@ INFO: [SIM-utils-51] Simulation object is 'sim_1'
 INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
 INFO: [USF-XSim-7] Finding pre-compiled libraries...
 INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'...
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
 INFO: [USF-XSim-97] Finding global include files...
 INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
 INFO: [USF-XSim-2] XSim::Compile design
 INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
-xvlog --incr --relax -prj audioProc_vlog.prj
-/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
-INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" into library xil_defaultlib
-INFO: [VRFC 10-311] analyzing module clk_wiz_0_clk_wiz
-INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.v" into library xil_defaultlib
-INFO: [VRFC 10-311] analyzing module clk_wiz_0
-INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v" into library xil_defaultlib
-INFO: [VRFC 10-311] analyzing module audio_init
-INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v" into library xil_defaultlib
-INFO: [VRFC 10-311] analyzing module debounce
-INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v" into library xil_defaultlib
-INFO: [VRFC 10-311] analyzing module audioProc
-xvhdl --incr --relax -prj audioProc_vhdl.prj
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'TWICtl'
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'fir'
-INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd" into library xil_defaultlib
-INFO: [VRFC 10-3107] analyzing entity 'i2s_ctl'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
 Waiting for jobs to finish...
 No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '5' seconds
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
 INFO: [USF-XSim-3] XSim::Elaborate design
 INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
 Vivado Simulator v2024.1
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log 
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
 Using 8 slave threads.
 Starting static elaboration
-Pass Through NonSizing Optimizer
-WARNING: [VRFC 10-9380] size mismatch in mixed-language port association of VHDL port 'en_tx_i' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:138]
-WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422]
-WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478]
-WARNING: [VRFC 10-5021] port 'reset' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87]
-WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
-WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
 Completed static elaboration
 Starting simulation data flow analysis
 Completed simulation data flow analysis
@@ -307,51 +3526,21 @@ Time Resolution for simulation is 1ps
 Compiling package std.standard
 Compiling package std.textio
 Compiling package ieee.std_logic_1164
-Compiling package ieee.std_logic_arith
-Compiling package ieee.std_logic_unsigned
-Compiling package ieee.math_real
 Compiling package ieee.numeric_std
-Compiling module unisims_ver.IBUF
-Compiling module unisims_ver.MMCME2_ADV(CLKFBOUT_MULT_F=6.0,C...
-Compiling module unisims_ver.BUFG
-Compiling module xil_defaultlib.clk_wiz_0_clk_wiz
-Compiling module xil_defaultlib.clk_wiz_0
-Compiling architecture behavioral of entity xil_defaultlib.TWICtl [twictl_default]
-Compiling module xil_defaultlib.audio_init
-Compiling module xil_defaultlib.debounce
-Compiling architecture behavioral of entity xil_defaultlib.i2s_ctl [i2s_ctl_default]
 Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
-Compiling module unisims_ver.GND
-Compiling module unisims_ver.OBUF
-Compiling module unisims_ver.x_lut3_mux8
-Compiling module unisims_ver.LUT3
-Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,...
-Compiling module unisims_ver.x_lut2_mux4
-Compiling module unisims_ver.LUT2
-Compiling module unisims_ver.LUT4
-Compiling module unisims_ver.LUT6
-Compiling module unisims_ver.MUXF8
-Compiling module unisims_ver.MUXF7
-Compiling module unisims_ver.FDCE_default
-Compiling module unisims_ver.CARRY4
-Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010)
-Compiling module unisims_ver.VCC
-Compiling module xil_defaultlib.operativeUnit
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
 Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
-Compiling architecture myarch of entity xil_defaultlib.fir [\fir(ntaps=16)\]
-Compiling module xil_defaultlib.audioProc
-Compiling module xil_defaultlib.glbl
-Built simulation snapshot audioProc_behav
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
-execute_script: Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 9458.309 ; gain = 0.000 ; free physical = 382 ; free virtual = 14420
-INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
 INFO: [USF-XSim-4] XSim::Simulate design
 INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
 INFO: [USF-XSim-98] *** Running xsim
-   with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}"
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
 INFO: [USF-XSim-8] Loading simulator feature
 Time resolution is 1 ps
-source audioProc.tcl
+source tb_firUnit.tcl
 # set curr_wave [current_wave_config]
 # if { [string length $curr_wave] == 0 } {
 #   if { [llength [get_objects]] > 0} {
@@ -362,18 +3551,14 @@ source audioProc.tcl
 #   }
 # }
 # run 1000ns
-INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded.
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
 INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:20 ; elapsed = 00:00:17 . Memory (MB): peak = 9506.074 ; gain = 47.766 ; free physical = 394 ; free virtual = 14436
-set_property top tb_firUnit [get_filesets sim_1]
-set_property top_lib xil_defaultlib [get_filesets sim_1]
-update_compile_order -fileset sim_1
-set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
-update_compile_order -fileset sources_1
-set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
-current_sim simulation_1
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1630 ; free virtual = 13979
 close_sim
 INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
 launch_simulation
 Command: launch_simulation 
 INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
@@ -392,72 +3577,39 @@ INFO: [USF-XSim-2] XSim::Compile design
 INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
 xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
 INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
 INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:121]
-ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:123]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:128]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:132]
-ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:134]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:139]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:142]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:143]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:146]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:154]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:158]
-ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:159]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:163]
-ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:165]
-ERROR: [VRFC 10-2989] '_blank_' is not declared [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117]
-ERROR: [VRFC 10-9165] mismatch on label; expected 'shift' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117]
-ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55]
-INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
-INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log'
-ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
-ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
-ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
-set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
-set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
-update_compile_order -fileset sources_1
-launch_simulation
-Command: launch_simulation 
-INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
-INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
-INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
-INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
-INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
-INFO: [SIM-utils-51] Simulation object is 'sim_1'
-INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
-INFO: [USF-XSim-7] Finding pre-compiled libraries...
-INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
-INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
-INFO: [USF-XSim-97] Finding global include files...
-INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
-INFO: [USF-XSim-2] XSim::Compile design
-INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
-xvlog --incr --relax -prj tb_firUnit_vlog.prj
-/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
-xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
-/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
 Waiting for jobs to finish...
 No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '4' seconds
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
 INFO: [USF-XSim-3] XSim::Elaborate design
 INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
-xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
 Vivado Simulator v2024.1
 Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
 Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
-Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
 Using 8 slave threads.
 Starting static elaboration
-Pass Through NonSizing Optimizer
-WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422]
-WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478]
 Completed static elaboration
-INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
 INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
 INFO: [USF-XSim-4] XSim::Simulate design
@@ -479,21 +3631,12 @@ source tb_firUnit.tcl
 # run 1000ns
 INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
 INFO: [USF-XSim-97] XSim simulation ran for 1000ns
-launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 9642.078 ; gain = 26.844 ; free physical = 377 ; free virtual = 14417
-run 10 us
-run 10 us
-run 10 us
-set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
-update_compile_order -fileset sources_1
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
-WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
-run all
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:09 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1737 ; free virtual = 14088
 close_sim
 INFO: [Simtcl 6-16] Simulation closed
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
 launch_simulation
 Command: launch_simulation 
 INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
@@ -512,11 +3655,17 @@ INFO: [USF-XSim-2] XSim::Compile design
 INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
 xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
 INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
 INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
 Waiting for jobs to finish...
 No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
 INFO: [USF-XSim-3] XSim::Elaborate design
 INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
 xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
@@ -526,53 +3675,46 @@ Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
 Using 8 slave threads.
 Starting static elaboration
-ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
-ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
-ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
-ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
-ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
-ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
-ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
-ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
-ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
-ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
-ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
-ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
-ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
-ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
-ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
-ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
-INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
-INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
-ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
-ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
-launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 10235.348 ; gain = 0.000 ; free physical = 337 ; free virtual = 14096
-ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1745 ; free virtual = 14096
 close_sim
 INFO: [Simtcl 6-16] Simulation closed
-close_project
-open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
-WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'.
-WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'.
-WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'.
-WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'.
-INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'.
-Scanning sources...
-Finished scanning sources
-INFO: [IP_Flow 19-234] Refreshing IP repositories
-WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
-If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
-WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
-If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
-WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
-If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
-WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
-If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
-INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
-WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
-* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
-update_compile_order -fileset sources_1
+reset_simulation -simset sim_1 -mode behavioral
+INFO: [Vivado 12-2266] Removing simulation data...
+INFO: [Vivado 12-2267] Reset complete
 launch_simulation
 Command: launch_simulation 
 INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
@@ -591,9 +3733,17 @@ INFO: [USF-XSim-2] XSim::Compile design
 INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
 xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
 Waiting for jobs to finish...
 No pending jobs, compilation finished.
-INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-69] 'compile' step finished in '3' seconds
 INFO: [USF-XSim-3] XSim::Elaborate design
 INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
 xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
@@ -603,28 +3753,43 @@ Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
 Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
 Using 8 slave threads.
 Starting static elaboration
-ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
-ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
-ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
-ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
-ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
-ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
-ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
-ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
-ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
-ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
-ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
-ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
-ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
-ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
-ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
-ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling architecture arch_operativeunit of entity xil_defaultlib.operativeUnit [operativeunit_default]
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
 /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
 INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
-INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
-ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
-ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
-launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 10235.348 ; gain = 0.000 ; free physical = 382 ; free virtual = 14139
-ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:09 ; elapsed = 00:00:08 . Memory (MB): peak = 10478.816 ; gain = 0.000 ; free physical = 1697 ; free virtual = 14050
+save_wave_config {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/tb_firUnit_behav.wcfg}
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
 exit
-INFO: [Common 17-206] Exiting Vivado at Fri May  9 10:45:13 2025...
+INFO: [Common 17-206] Exiting Vivado at Mon May 12 11:04:56 2025...
diff --git a/vivado_233430.backup.jou b/vivado_233430.backup.jou
new file mode 100644
index 0000000000000000000000000000000000000000..d435f83c8cbf7c401f58934e2bb1edc30a28cab1
--- /dev/null
+++ b/vivado_233430.backup.jou
@@ -0,0 +1,86 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Fri May  9 08:18:24 2025
+# Process ID: 233430
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
+# Command line: vivado
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :3092.524 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :17078 MB
+#-----------------------------------------------------------
+start_gui
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.xpr}
+update_compile_order -fileset sources_1
+close_project
+d tp-filtre-etudiant-t24autul/proj
+cd tp-filtre-etudiant-t24autul/proj
+ls
+cd proj
+source ./create_project.tcl
+update_compile_order -fileset sources_1
+update_compile_order -fileset sources_1
+set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+update_compile_order -fileset sources_1
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_firUnit [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+launch_simulation
+source tb_firUnit.tcl
+move_files -fileset sim_1 [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd}}]
+update_compile_order -fileset sim_1
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top audioProc [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+update_compile_order -fileset sim_1
+launch_simulation
+source audioProc.tcl
+# Disabling source management mode.  This is to allow the top design properties to be set without GUI intervention.
+set_property source_mgmt_mode None [current_project]
+set_property top tb_firUnit [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+# Re-enabling previously disabled source management mode.
+set_property source_mgmt_mode All [current_project]
+update_compile_order -fileset sim_1
+set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+update_compile_order -fileset sources_1
+set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+current_sim simulation_1
+close_sim
+launch_simulation
+set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+update_compile_order -fileset sources_1
+launch_simulation
+source tb_firUnit.tcl
+run 10 us
+run 10 us
+run 10 us
+set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+update_compile_order -fileset sources_1
+run all
+close_sim
+launch_simulation
+close_sim
+close_project
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
+update_compile_order -fileset sources_1
+launch_simulation
diff --git a/vivado_233430.backup.log b/vivado_233430.backup.log
new file mode 100644
index 0000000000000000000000000000000000000000..8ca056535d474159eab93cd0f90ff180cbb48743
--- /dev/null
+++ b/vivado_233430.backup.log
@@ -0,0 +1,630 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Fri May  9 08:18:24 2025
+# Process ID: 233430
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
+# Command line: vivado
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :3092.524 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :17078 MB
+#-----------------------------------------------------------
+start_gui
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.xpr}
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/-'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Traitement'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/audio/tp-synthe/tp-synthe-etudiant-t24autul-1/repo'.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Synthe.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/-'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/Traitement'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-synthe/tp-synthe-etudiant-t24autul-1/proj/audio/tp-synthe/tp-synthe-etudiant-t24autul-1/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
+* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
+open_project: Time (s): cpu = 00:00:10 ; elapsed = 00:00:10 . Memory (MB): peak = 8148.762 ; gain = 237.531 ; free physical = 215 ; free virtual = 15129
+update_compile_order -fileset sources_1
+close_project
+d tp-filtre-etudiant-t24autul/proj
+ambiguous command name "d": decrypt_bitstream delete_clock_networks_results delete_dashboard delete_dashboard_gadgets delete_debug_core delete_debug_port delete_drc_check delete_drc_ruledeck delete_fileset delete_interface delete_ip_run delete_macros delete_partition_defs delete_pblocks delete_port delete_power_rails delete_power_results delete_pr_configurations delete_property delete_qor_suggestions delete_reconfig_modules delete_report_configs delete_rpm delete_runs delete_timing_results delete_utilization_results delete_waivers device_enable dict diff_board disconnect_debug_port disconnect_net
+cd tp-filtre-etudiant-t24autul/proj
+couldn't change working directory to "tp-filtre-etudiant-t24autul/proj": no such file or directory
+ls
+WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
+docs
+proj
+README.md
+src
+vivado.jou
+vivado.log
+vivado_pid233430.str
+cd proj
+source ./create_project.tcl
+# if {[info exists ::create_path]} {
+# 	set dest_dir $::create_path
+# } else {
+# 	set dest_dir [pwd]
+# }
+# puts "INFO: Creating new project in $dest_dir"
+INFO: Creating new project in /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj
+# set proj_name "AudioProc"
+# set origin_dir ".."
+# set orig_proj_dir "[file normalize "$origin_dir/proj"]"
+# set src_dir $origin_dir/src
+# set repo_dir $origin_dir/repo
+# set part_num "xc7a200tsbg484-1"
+# create_project $proj_name $dest_dir
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+INFO: [IP_Flow 19-1704] No user IP repositories specified
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+# set proj_dir [get_property directory [current_project]]
+# set obj [get_projects $proj_name]
+# set_property "default_lib" "xil_defaultlib" $obj
+# set_property "part" "$part_num" $obj
+# set_property "simulator_language" "Mixed" $obj
+# set_property "target_language" "VHDL" $obj
+# if {[string equal [get_filesets -quiet sources_1] ""]} {
+#   create_fileset -srcset sources_1
+# }
+# if {[string equal [get_filesets -quiet constrs_1] ""]} {
+#   create_fileset -constrset constrs_1
+# }
+# set obj [get_filesets sources_1]
+# set_property "ip_repo_paths" "[file normalize $repo_dir]" $obj
+# add_files -quiet $src_dir/hdl
+# add_files -quiet [glob -nocomplain ../src/ip/*/*.xci]
+# add_files -fileset constrs_1 -quiet $src_dir/constraints
+# if {[string equal [get_runs -quiet synth_1] ""]} {
+#   create_run -name synth_1 -part $part_num -flow {Vivado Synthesis 2014} -strategy "Flow_PerfOptimized_High" -constrset constrs_1
+# } else {
+#   set_property strategy "Flow_PerfOptimized_High" [get_runs synth_1]
+#   set_property flow "Vivado Synthesis 2014" [get_runs synth_1]
+# }
+# set obj [get_runs synth_1]
+# set_property "part" "$part_num" $obj
+# set_property "steps.synth_design.args.fanout_limit" "400" $obj
+# set_property "steps.synth_design.args.fsm_extraction" "one_hot" $obj
+# set_property "steps.synth_design.args.keep_equivalent_registers" "1" $obj
+# set_property "steps.synth_design.args.resource_sharing" "off" $obj
+# set_property "steps.synth_design.args.no_lc" "1" $obj
+# set_property "steps.synth_design.args.shreg_min_size" "5" $obj
+# current_run -synthesis [get_runs synth_1]
+# if {[string equal [get_runs -quiet impl_1] ""]} {
+#   create_run -name impl_1 -part $part_num -flow {Vivado Implementation 2014} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1
+# } else {
+#   set_property strategy "Vivado Implementation Defaults" [get_runs impl_1]
+#   set_property flow "Vivado Implementation 2014" [get_runs impl_1]
+# }
+# set obj [get_runs impl_1]
+# set_property "part" "$part_num" $obj
+# set_property "steps.write_bitstream.args.bin_file" "1" $obj
+# current_run -implementation [get_runs impl_1]
+impl_1
+update_compile_order -fileset sources_1
+update_compile_order -fileset sources_1
+set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+update_compile_order -fileset sources_1
+set_property top tb_firUnit [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvlog --incr --relax -prj tb_firUnit_vlog.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v" into library xil_defaultlib
+INFO: [VRFC 10-311] analyzing module operativeUnit
+INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/glbl.v" into library xil_defaultlib
+INFO: [VRFC 10-311] analyzing module glbl
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/controlUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'controlUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'firUnit'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'tb_firUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+execute_script: Time (s): cpu = 00:00:05 ; elapsed = 00:00:12 . Memory (MB): peak = 9270.094 ; gain = 0.000 ; free physical = 257 ; free virtual = 14501
+INFO: [USF-XSim-69] 'compile' step finished in '12' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Pass Through NonSizing Optimizer
+WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478]
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.numeric_std
+Compiling package vl.vl_types
+Compiling module xil_defaultlib.glbl
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling module unisims_ver.GND
+Compiling module unisims_ver.BUFG
+Compiling module unisims_ver.IBUF
+Compiling module unisims_ver.OBUF
+Compiling module unisims_ver.x_lut3_mux8
+Compiling module unisims_ver.LUT3
+Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,...
+Compiling module unisims_ver.x_lut2_mux4
+Compiling module unisims_ver.LUT2
+Compiling module unisims_ver.LUT4
+Compiling module unisims_ver.LUT6
+Compiling module unisims_ver.MUXF8
+Compiling module unisims_ver.MUXF7
+Compiling module unisims_ver.FDCE_default
+Compiling module unisims_ver.CARRY4
+Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010)
+Compiling module unisims_ver.VCC
+Compiling module xil_defaultlib.operativeUnit
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture archi_tb_firunit of entity xil_defaultlib.tb_firunit
+Built simulation snapshot tb_firUnit_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+execute_script: Time (s): cpu = 00:00:07 ; elapsed = 00:00:14 . Memory (MB): peak = 9270.094 ; gain = 0.000 ; free physical = 279 ; free virtual = 14501
+INFO: [USF-XSim-69] 'elaborate' step finished in '14' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:18 ; elapsed = 00:00:35 . Memory (MB): peak = 9340.332 ; gain = 81.145 ; free physical = 268 ; free virtual = 14503
+move_files -fileset sim_1 [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd}}]
+update_compile_order -fileset sim_1
+set_property top audioProc [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+update_compile_order -fileset sim_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'audioProc'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Simulation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'audioProc' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvlog --incr --relax -prj audioProc_vlog.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0_clk_wiz.v" into library xil_defaultlib
+INFO: [VRFC 10-311] analyzing module clk_wiz_0_clk_wiz
+INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.v" into library xil_defaultlib
+INFO: [VRFC 10-311] analyzing module clk_wiz_0
+INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audio_init.v" into library xil_defaultlib
+INFO: [VRFC 10-311] analyzing module audio_init
+INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/debounce.v" into library xil_defaultlib
+INFO: [VRFC 10-311] analyzing module debounce
+INFO: [VRFC 10-2263] Analyzing Verilog file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v" into library xil_defaultlib
+INFO: [VRFC 10-311] analyzing module audioProc
+xvhdl --incr --relax -prj audioProc_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/TWICtl.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'TWICtl'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/fir.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'fir'
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/i2s_ctl.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'i2s_ctl'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '5' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot audioProc_behav xil_defaultlib.audioProc xil_defaultlib.glbl -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Pass Through NonSizing Optimizer
+WARNING: [VRFC 10-9380] size mismatch in mixed-language port association of VHDL port 'en_tx_i' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:138]
+WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478]
+WARNING: [VRFC 10-5021] port 'reset' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:87]
+WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:199]
+WARNING: [VRFC 10-5021] port 'dbg_output_0' is not connected on this instance [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/audioProc.v:208]
+Completed static elaboration
+Starting simulation data flow analysis
+Completed simulation data flow analysis
+Time Resolution for simulation is 1ps
+Compiling package std.standard
+Compiling package std.textio
+Compiling package ieee.std_logic_1164
+Compiling package ieee.std_logic_arith
+Compiling package ieee.std_logic_unsigned
+Compiling package ieee.math_real
+Compiling package ieee.numeric_std
+Compiling module unisims_ver.IBUF
+Compiling module unisims_ver.MMCME2_ADV(CLKFBOUT_MULT_F=6.0,C...
+Compiling module unisims_ver.BUFG
+Compiling module xil_defaultlib.clk_wiz_0_clk_wiz
+Compiling module xil_defaultlib.clk_wiz_0
+Compiling architecture behavioral of entity xil_defaultlib.TWICtl [twictl_default]
+Compiling module xil_defaultlib.audio_init
+Compiling module xil_defaultlib.debounce
+Compiling architecture behavioral of entity xil_defaultlib.i2s_ctl [i2s_ctl_default]
+Compiling architecture archi_operativeunit of entity xil_defaultlib.controlUnit [controlunit_default]
+Compiling module unisims_ver.GND
+Compiling module unisims_ver.OBUF
+Compiling module unisims_ver.x_lut3_mux8
+Compiling module unisims_ver.LUT3
+Compiling module unisims_ver.DSP48E1(ACASCREG=0,ALUMODEREG=0,...
+Compiling module unisims_ver.x_lut2_mux4
+Compiling module unisims_ver.LUT2
+Compiling module unisims_ver.LUT4
+Compiling module unisims_ver.LUT6
+Compiling module unisims_ver.MUXF8
+Compiling module unisims_ver.MUXF7
+Compiling module unisims_ver.FDCE_default
+Compiling module unisims_ver.CARRY4
+Compiling module unisims_ver.LUT5(INIT=32'b0110101010101010)
+Compiling module unisims_ver.VCC
+Compiling module xil_defaultlib.operativeUnit
+Compiling architecture archi_firunit of entity xil_defaultlib.firUnit [firunit_default]
+Compiling architecture myarch of entity xil_defaultlib.fir [\fir(ntaps=16)\]
+Compiling module xil_defaultlib.audioProc
+Compiling module xil_defaultlib.glbl
+Built simulation snapshot audioProc_behav
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+execute_script: Time (s): cpu = 00:00:12 ; elapsed = 00:00:08 . Memory (MB): peak = 9458.309 ; gain = 0.000 ; free physical = 382 ; free virtual = 14420
+INFO: [USF-XSim-69] 'elaborate' step finished in '8' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "audioProc_behav -key {Behavioral:sim_1:Functional:audioProc} -tclbatch {audioProc.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source audioProc.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'audioProc_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:20 ; elapsed = 00:00:17 . Memory (MB): peak = 9506.074 ; gain = 47.766 ; free physical = 394 ; free virtual = 14436
+set_property top tb_firUnit [get_filesets sim_1]
+set_property top_lib xil_defaultlib [get_filesets sim_1]
+update_compile_order -fileset sim_1
+set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+update_compile_order -fileset sources_1
+set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+current_sim simulation_1
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:121]
+ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:123]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:128]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:132]
+ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:134]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:139]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:142]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:143]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:146]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:154]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:158]
+ERROR: [VRFC 10-4982] syntax error near 'end' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:159]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:163]
+ERROR: [VRFC 10-8491] illegal identifier '_BLANK_' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:165]
+ERROR: [VRFC 10-2989] '_blank_' is not declared [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117]
+ERROR: [VRFC 10-9165] mismatch on label; expected 'shift' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:117]
+ERROR: [VRFC 10-9458] unit 'arch_operativeunit' is ignored due to previous errors [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:55]
+INFO: [VRFC 10-8704] VHDL file '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd' is ignored due to errors
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log'
+ERROR: [USF-XSim-62] 'compile' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/xvhdl.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v}}]
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvlog --incr --relax -prj tb_firUnit_vlog.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '4' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit xil_defaultlib.glbl -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+Pass Through NonSizing Optimizer
+WARNING: [VRFC 10-3091] actual bit length 36 differs from formal bit length 48 for port 'P' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:422]
+WARNING: [VRFC 10-3091] actual bit length 3 differs from formal bit length 4 for port 'CO' [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1478]
+Completed static elaboration
+INFO: [XSIM 43-4323] No Change in HDL. Linking previously generated obj files to create kernel
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-4] XSim::Simulate design
+INFO: [USF-XSim-61] Executing 'SIMULATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [USF-XSim-98] *** Running xsim
+   with args "tb_firUnit_behav -key {Behavioral:sim_1:Functional:tb_firUnit} -tclbatch {tb_firUnit.tcl} -log {simulate.log}"
+INFO: [USF-XSim-8] Loading simulator feature
+Time resolution is 1 ps
+source tb_firUnit.tcl
+# set curr_wave [current_wave_config]
+# if { [string length $curr_wave] == 0 } {
+#   if { [llength [get_objects]] > 0} {
+#     add_wave /
+#     set_property needs_save false [current_wave_config]
+#   } else {
+#      send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console."
+#   }
+# }
+# run 1000ns
+INFO: [USF-XSim-96] XSim completed. Design snapshot 'tb_firUnit_behav' loaded.
+INFO: [USF-XSim-97] XSim simulation ran for 1000ns
+launch_simulation: Time (s): cpu = 00:00:08 ; elapsed = 00:00:09 . Memory (MB): peak = 9642.078 ; gain = 26.844 ; free physical = 377 ; free virtual = 14417
+run 10 us
+run 10 us
+run 10 us
+set_property is_enabled true [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+update_compile_order -fileset sources_1
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/tb_firUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+WARNING: [filemgmt 56-199] Attempt to get parsing info during refresh. "On-the-fly" syntax checking information may be incorrect. [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd:]
+run all
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [VRFC 10-163] Analyzing VHDL file "/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd" into library xil_defaultlib
+INFO: [VRFC 10-3107] analyzing entity 'operativeUnit'
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '3' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:04 ; elapsed = 00:00:06 . Memory (MB): peak = 10235.348 ; gain = 0.000 ; free physical = 337 ; free virtual = 14096
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+close_sim
+INFO: [Simtcl 6-16] Simulation closed
+close_project
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
+* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
+update_compile_order -fileset sources_1
+launch_simulation
+Command: launch_simulation 
+INFO: [Vivado 12-12493] Simulation top is 'tb_firUnit'
+INFO: [Vivado 12-5698] Checking validity of IPs in the design for the 'XSim' simulator...
+INFO: [Vivado 12-5682] Launching behavioral simulation in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [Vivado 12-13660] Precompiled IP simulation library mode is enabled (default). The design IP libraries will be referenced from the compiled library path. Please note that if the precompiled version of the library is not found from the path or the IP is in locked state, then the library source files for these IPs will be compiled locally as part of the compile order.
+INFO: [Vivado 12-4795] Using compiled simulation libraries for IPs
+INFO: [SIM-utils-51] Simulation object is 'sim_1'
+INFO: [SIM-utils-72] Using boost library from '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/tps/boost_1_72_0'
+INFO: [USF-XSim-7] Finding pre-compiled libraries...
+INFO: [USF-XSim-11] File '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xsim/xsim.ini' copied to run dir:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+INFO: [SIM-utils-54] Inspecting design source files for 'tb_firUnit' in fileset 'sim_1'...
+INFO: [USF-XSim-97] Finding global include files...
+INFO: [USF-XSim-98] Fetching design files from 'sim_1'...
+INFO: [USF-XSim-2] XSim::Compile design
+INFO: [USF-XSim-61] Executing 'COMPILE and ANALYZE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xvhdl --incr --relax -prj tb_firUnit_vhdl.prj
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+Waiting for jobs to finish...
+No pending jobs, compilation finished.
+INFO: [USF-XSim-69] 'compile' step finished in '2' seconds
+INFO: [USF-XSim-3] XSim::Elaborate design
+INFO: [USF-XSim-61] Executing 'ELABORATE' step in '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim'
+xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log
+Vivado Simulator v2024.1
+Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+Copyright 2022-2024 Advanced Micro Devices, Inc. All Rights Reserved.
+Running: /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/unwrapped/lnx64.o/xelab --incr --debug typical --relax --mt 8 -L xil_defaultlib -L secureip -L xpm --snapshot tb_firUnit_behav xil_defaultlib.tb_firUnit -log elaborate.log 
+Using 8 slave threads.
+Starting static elaboration
+ERROR: [VRFC 10-2063] Module <GND> not found while processing module instance <GND> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:230]
+ERROR: [VRFC 10-2063] Module <BUFG> not found while processing module instance <I_clock_IBUF_BUFG_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:234]
+ERROR: [VRFC 10-2063] Module <IBUF> not found while processing module instance <I_clock_IBUF_inst> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:237]
+ERROR: [VRFC 10-2063] Module <OBUF> not found while processing module instance <\O_filteredSample_OBUF[0]_inst > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:309]
+ERROR: [VRFC 10-2063] Module <LUT3> not found while processing module instance <O_processingDone_OBUF_inst_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:360]
+ERROR: [VRFC 10-2063] Module <DSP48E1> not found while processing module instance <SC_addResult> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:367]
+ERROR: [VRFC 10-2063] Module <LUT2> not found while processing module instance <SC_addResult_i_1> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:434]
+ERROR: [VRFC 10-2063] Module <LUT4> not found while processing module instance <SC_addResult_i_10> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:440]
+ERROR: [VRFC 10-2063] Module <LUT6> not found while processing module instance <SC_addResult_i_100> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:448]
+ERROR: [VRFC 10-2063] Module <MUXF8> not found while processing module instance <SC_addResult_i_13> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:714]
+ERROR: [VRFC 10-2063] Module <MUXF7> not found while processing module instance <SC_addResult_i_29> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:802]
+ERROR: [VRFC 10-2063] Module <FDCE> not found while processing module instance <\SR_filteredSample_reg[0] > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1411]
+ERROR: [VRFC 10-2063] Module <CARRY4> not found while processing module instance <\SR_filteredSample_reg[11]_i_1 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1435]
+ERROR: [VRFC 10-2063] Module <LUT5> not found while processing module instance <\SR_readAddress[3]_i_2 > [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:1598]
+ERROR: [VRFC 10-2063] Module <VCC> not found while processing module instance <VCC> [/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.v:4197]
+ERROR: [XSIM 43-3321] Static elaboration of top level VHDL design unit tb_firunit in library work failed.
+/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/bin/setupEnv.sh: line 236: [: syntax error: `-' unexpected
+INFO: [USF-XSim-69] 'elaborate' step finished in '2' seconds
+INFO: [USF-XSim-99] Step results log file:'/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log'
+ERROR: [USF-XSim-62] 'elaborate' step failed with error(s). Please check the Tcl console output or '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim/sim_1/behav/xsim/elaborate.log' file for more information.
+ERROR: [Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.
+launch_simulation: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 10235.348 ; gain = 0.000 ; free physical = 382 ; free virtual = 14139
+ERROR: [Common 17-39] 'launch_simulation' failed due to earlier errors.
+exit
+INFO: [Common 17-206] Exiting Vivado at Fri May  9 10:45:13 2025...
diff --git a/vivado_379046.backup.jou b/vivado_379046.backup.jou
new file mode 100644
index 0000000000000000000000000000000000000000..64e7542f29db9f4ea342b1c9a173bde54d6a337e
--- /dev/null
+++ b/vivado_379046.backup.jou
@@ -0,0 +1,23 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:08:14 2025
+# Process ID: 379046
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
+# Command line: vivado
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :2294.599 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :17208 MB
+#-----------------------------------------------------------
diff --git a/vivado_379046.backup.log b/vivado_379046.backup.log
new file mode 100644
index 0000000000000000000000000000000000000000..64e7542f29db9f4ea342b1c9a173bde54d6a337e
--- /dev/null
+++ b/vivado_379046.backup.log
@@ -0,0 +1,23 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:08:14 2025
+# Process ID: 379046
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
+# Command line: vivado
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :2294.599 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :17208 MB
+#-----------------------------------------------------------
diff --git a/vivado_379771.backup.jou b/vivado_379771.backup.jou
new file mode 100644
index 0000000000000000000000000000000000000000..de0e31e6bbd6631d420b77f00871acad65b6c425
--- /dev/null
+++ b/vivado_379771.backup.jou
@@ -0,0 +1,67 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:09:49 2025
+# Process ID: 379771
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
+# Command line: vivado
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :3092.535 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :17160 MB
+#-----------------------------------------------------------
+start_gui
+cd src
+ls
+pwd
+cd
+pwd
+cd ./Documents/SAR - Traitement audio/tp-filtre/
+cd ./Documents/SAR - Traitement audio/
+pwd
+cd ./Documents/SAR - Traitement audio/
+cd /Documents/SAR - Traitement audio/
+cd "/Documents/SAR - Traitement audio/"
+cd "./Documents/SAR - Traitement audio/"
+pwd
+cd "./Documents/SAR - Traitement audio/tp-filtre-etudiant-t24autul/proj"
+cd "./tp-filtre-etudiant-t24autul/proj"
+cd "./tp-filtre-etudiant-t24autul/proj"
+cd "./tp-filtre-etudiant-t24autul/"
+pwd
+cd "./tp-filtre/"
+cd "./tp-filtre-etudiant-t24autul/"
+cd "./proj"
+source ./create_project.tcl
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
+update_compile_order -fileset sources_1
+set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+update_compile_order -fileset sources_1
+launch_runs impl_1 -to_step write_bitstream -jobs 2
+wait_on_run impl_1
+open_run impl_1
+open_hw_manager
+connect_hw_server -allow_non_jtag
+connect_hw_server -allow_non_jtag
+connect_hw_server -allow_non_jtag
+close_hw_manager
+open_hw_manager
+connect_hw_server -allow_non_jtag
+connect_hw_server -allow_non_jtag
+connect_hw_server -allow_non_jtag
+connect_hw_server -allow_non_jtag
+connect_hw_server -allow_non_jtag
+close_hw_manager
+open_hw_manager
+connect_hw_server -allow_non_jtag
diff --git a/vivado_379771.backup.log b/vivado_379771.backup.log
new file mode 100644
index 0000000000000000000000000000000000000000..b6277a7cabba04804d31e34a8b62b1f88e91165b
--- /dev/null
+++ b/vivado_379771.backup.log
@@ -0,0 +1,397 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:09:49 2025
+# Process ID: 379771
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
+# Command line: vivado
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :3092.535 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :17160 MB
+#-----------------------------------------------------------
+start_gui
+cd src
+ls
+WARNING: [Common 17-259] Unknown Tcl command 'ls' sending command to the OS shell for execution. It is recommended to use 'exec' to send the command to the OS shell.
+constraints
+hdl
+ip
+pwd
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+cd
+pwd
+/homes/t24autul
+cd ./Documents/SAR - Traitement audio/tp-filtre/
+wrong # args: should be "cd ?dirName?"
+cd ./Documents/SAR - Traitement audio/
+wrong # args: should be "cd ?dirName?"
+pwd
+/homes/t24autul
+cd ./Documents/SAR - Traitement audio/
+wrong # args: should be "cd ?dirName?"
+cd /Documents/SAR - Traitement audio/
+wrong # args: should be "cd ?dirName?"
+cd "/Documents/SAR - Traitement audio/"
+couldn't change working directory to "/Documents/SAR - Traitement audio/": no such file or directory
+cd "./Documents/SAR - Traitement audio/"
+pwd
+/homes/t24autul/Documents/SAR - Traitement audio
+cd "./Documents/SAR - Traitement audio/tp-filtre-etudiant-t24autul/proj"
+couldn't change working directory to "./Documents/SAR - Traitement audio/tp-filtre-etudiant-t24autul/proj": no such file or directory
+cd "./tp-filtre-etudiant-t24autul/proj"
+couldn't change working directory to "./tp-filtre-etudiant-t24autul/proj": no such file or directory
+cd "./tp-filtre-etudiant-t24autul/proj"
+couldn't change working directory to "./tp-filtre-etudiant-t24autul/proj": no such file or directory
+cd "./tp-filtre-etudiant-t24autul/"
+couldn't change working directory to "./tp-filtre-etudiant-t24autul/": no such file or directory
+pwd
+/homes/t24autul/Documents/SAR - Traitement audio
+cd "./tp-filtre/"
+cd "./tp-filtre-etudiant-t24autul/"
+cd "./proj"
+source ./create_project.tcl
+# if {[info exists ::create_path]} {
+# 	set dest_dir $::create_path
+# } else {
+# 	set dest_dir [pwd]
+# }
+# puts "INFO: Creating new project in $dest_dir"
+INFO: Creating new project in /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj
+# set proj_name "AudioProc"
+# set origin_dir ".."
+# set orig_proj_dir "[file normalize "$origin_dir/proj"]"
+# set src_dir $origin_dir/src
+# set repo_dir $origin_dir/repo
+# set part_num "xc7a200tsbg484-1"
+# create_project $proj_name $dest_dir
+ERROR: [Common 17-53] User Exception: Project already exists on disk, please use '-force' option to overwrite: 
+     /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr
+     /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.sim
+     /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.cache
+     /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.hw
+     /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.ip_user_files
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
+* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
+open_project: Time (s): cpu = 00:00:09 ; elapsed = 00:00:07 . Memory (MB): peak = 8118.301 ; gain = 174.906 ; free physical = 1990 ; free virtual = 15247
+update_compile_order -fileset sources_1
+set_property is_enabled false [get_files  {{/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/hdl/operativeUnit.vhd}}]
+update_compile_order -fileset sources_1
+launch_runs impl_1 -to_step write_bitstream -jobs 2
+INFO: [IP_Flow 19-6924] IPCACHE: Running cache check for IP inst: clk_wiz_0
+WARNING: [Vivado 12-4801] The synthesis checkpoint for IP '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci' is available but stale and the IP is locked. An out-of-context (OOC) run will be created and/or launched, but synthesis may not be able to complete or could result in incorrect behavior.
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Synthesis target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+WARNING: [Runs 36-337] The following IPs are either missing output products or output products are not up-to-date for Implementation target. Since these IPs are locked, no update to the output products can be done. 
+Please select 'Report IP Status' from the 'Tools/Report' menu or run Tcl command 'report_ip_status' for more information.
+/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/src/ip/clk_wiz_0/clk_wiz_0.xci
+
+[Mon May 12 08:21:55 2025] Launched synth_1...
+Run output will be captured here: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/synth_1/runme.log
+[Mon May 12 08:21:55 2025] Launched impl_1...
+Run output will be captured here: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/runme.log
+open_run impl_1
+INFO: [Device 21-403] Loading part xc7a200tsbg484-1
+INFO: [Device 21-9227] Part: xc7a200tsbg484-1 does not have CEAM library.
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.01 . Memory (MB): peak = 8608.773 ; gain = 0.000 ; free physical = 2556 ; free virtual = 14560
+INFO: [Netlist 29-17] Analyzing 121 Unisim elements for replacement
+INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds
+INFO: [Project 1-479] Netlist was created with Vivado 2024.1
+INFO: [Project 1-570] Preparing netlist for logic optimization
+Read ShapeDB Complete: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 8679.523 ; gain = 0.000 ; free physical = 2472 ; free virtual = 14479
+INFO: [Timing 38-478] Restoring timing data from binary archive.
+INFO: [Timing 38-479] Binary timing data restore complete.
+INFO: [Project 1-856] Restoring constraints from binary archive.
+INFO: [Project 1-853] Binary constraint restore complete.
+INFO: [Designutils 20-5722] Start Reading Physical Databases.
+Reading placement.
+Read Netlist Cache: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1981 ; free virtual = 13985
+Reading placer database...
+Read Device Cache: Time (s): cpu = 00:00:00.01 ; elapsed = 00:00:00.01 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1980 ; free virtual = 13985
+Read PlaceDB: Time (s): cpu = 00:00:00.06 ; elapsed = 00:00:00.07 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983
+Read PulsedLatchDB: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983
+Reading routing.
+Read RouteStorage: Time (s): cpu = 00:00:00.03 ; elapsed = 00:00:00.02 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983
+Read Physdb Files: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.12 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983
+Restored from archive | CPU: 0.130000 secs | Memory: 1.649918 MB |
+Finished XDEF File Restore: Time (s): cpu = 00:00:00.12 ; elapsed = 00:00:00.13 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983
+Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 9242.391 ; gain = 0.000 ; free physical = 1979 ; free virtual = 13983
+INFO: [Project 1-111] Unisim Transformation Summary:
+  A total of 2 instances were transformed.
+  IOBUF => IOBUF (IBUF, OBUFT): 2 instances
+
+open_run: Time (s): cpu = 00:00:26 ; elapsed = 00:00:32 . Memory (MB): peak = 9418.461 ; gain = 1180.125 ; free physical = 1806 ; free virtual = 13820
+open_hw_manager
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:39285 to hw_server at TCP:localhost:3121.
+connect_hw_server: Time (s): cpu = 00:00:04 ; elapsed = 00:00:09 . Memory (MB): peak = 9418.461 ; gain = 0.000 ; free physical = 1276 ; free virtual = 13416
+ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors.
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:50987 to hw_server at TCP:localhost:3121.
+connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.461 ; gain = 0.000 ; free physical = 1175 ; free virtual = 13362
+ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors.
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:36373 to hw_server at TCP:localhost:3121.
+connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.461 ; gain = 0.000 ; free physical = 1274 ; free virtual = 13406
+ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors.
+close_hw_manager
+open_hw_manager
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:55415 to hw_server at TCP:localhost:3121.
+connect_hw_server: Time (s): cpu = 00:00:03 ; elapsed = 00:00:07 . Memory (MB): peak = 9418.500 ; gain = 0.039 ; free physical = 1121 ; free virtual = 13297
+ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors.
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:50479 to hw_server at TCP:localhost:3121.
+connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.500 ; gain = 0.000 ; free physical = 1152 ; free virtual = 13322
+ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors.
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:45477 to hw_server at TCP:localhost:3121.
+connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.500 ; gain = 0.000 ; free physical = 1112 ; free virtual = 13282
+ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors.
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:58283 to hw_server at TCP:localhost:3121.
+connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.500 ; gain = 0.000 ; free physical = 1115 ; free virtual = 13285
+ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors.
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:47759 to hw_server at TCP:localhost:3121.
+connect_hw_server: Time (s): cpu = 00:00:02 ; elapsed = 00:00:06 . Memory (MB): peak = 9418.500 ; gain = 0.000 ; free physical = 1100 ; free virtual = 13270
+ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors.
+close_hw_manager
+open_hw_manager
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+ERROR: [Labtools 27-3733] Error during cs_server initialization: Failed to connect cs_server at TCP:localhost:40907 to hw_server at TCP:localhost:3121.
+connect_hw_server: Time (s): cpu = 00:00:03 ; elapsed = 00:00:07 . Memory (MB): peak = 9448.500 ; gain = 0.000 ; free physical = 1010 ; free virtual = 13180
+ERROR: [Common 17-39] 'connect_hw_server' failed due to earlier errors.
+exit
+INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:41:31 2025...
diff --git a/vivado_390034.backup.jou b/vivado_390034.backup.jou
new file mode 100644
index 0000000000000000000000000000000000000000..2a6841564d34ff1566c74fa02ad374c635eadb1b
--- /dev/null
+++ b/vivado_390034.backup.jou
@@ -0,0 +1,42 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:41:39 2025
+# Process ID: 390034
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
+# Command line: vivado
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :2472.069 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :16384 MB
+#-----------------------------------------------------------
+start_gui
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
+open_hw_manager
+connect_hw_server -allow_non_jtag
+open_hw_target
+set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+update_compile_order -fileset sources_1
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
diff --git a/vivado_390034.backup.log b/vivado_390034.backup.log
new file mode 100644
index 0000000000000000000000000000000000000000..b6b0d7aa7ccd304b365b09a0da59e57c432b9704
--- /dev/null
+++ b/vivado_390034.backup.log
@@ -0,0 +1,105 @@
+#-----------------------------------------------------------
+# Vivado v2024.1 (64-bit)
+# SW Build 5076996 on Wed May 22 18:36:09 MDT 2024
+# IP Build 5075265 on Wed May 22 21:45:21 MDT 2024
+# SharedData Build 5076995 on Wed May 22 18:29:18 MDT 2024
+# Start of session at: Mon May 12 08:41:39 2025
+# Process ID: 390034
+# Current directory: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul
+# Command line: vivado
+# Log file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.log
+# Journal file: /homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/vivado.jou
+# Running On        :fl-tp-br-543
+# Platform          :Ubuntu
+# Operating System  :Ubuntu 24.04.2 LTS
+# Processor Detail  :Intel(R) Xeon(R) CPU E5-1607 v4 @ 3.10GHz
+# CPU Frequency     :2472.069 MHz
+# CPU Physical cores:4
+# CPU Logical cores :4
+# Host memory       :16688 MB
+# Swap memory       :4294 MB
+# Total Virtual     :20983 MB
+# Available Virtual :16384 MB
+#-----------------------------------------------------------
+start_gui
+open_project {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.xpr}
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.0/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_a/1.1/board.xml as part xcve2802-vsvh1760-2lp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.0/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vek280_es_revb:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vek280/es/rev_b/1.1/board.xml as part xcve2802-vsvh1760-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.0/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:vhk158_es:part0:1.1 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/vhk158/es/1.1/board.xml as part xcvh1582-vsva3697-2mp-e-s-es1 specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu208ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu208ld/production/2.0/board.xml as part xczu58dr-fsvg1517-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu216ld:part0:2.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu216ld/production/2.0/board.xml as part xczu59dr-ffvf1760-2-i specified in board_part file is either invalid or not available
+WARNING: [Board 49-26] cannot add Board Part xilinx.com:zcu670ld:part0:1.0 available at /usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/xhub/boards/XilinxBoardStore/boards/Xilinx/zcu670ld/1.0/board.xml as part xczu57dr-fsve1156-2-i specified in board_part file is either invalid or not available
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'.
+WARNING: [filemgmt 56-3] IP Repository Path: Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'.
+INFO: [filemgmt 56-3] Default IP Output Path : Could not find the directory '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.gen/sources_1'.
+Scanning sources...
+Finished scanning sources
+INFO: [IP_Flow 19-234] Refreshing IP repositories
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/-'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/Traitement'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+WARNING: [IP_Flow 19-2248] Failed to load user IP repository '/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/audio/tp-filtre/tp-filtre-etudiant-t24autul/repo'; Can't find the specified path.
+If this directory should no longer be in your list of user repositories, go to the IP Settings dialog and remove it.
+INFO: [IP_Flow 19-2313] Loaded Vivado IP repository '/usr/home/enstb1/MEE/Xilinx/vivado2024.1/Vivado/2024.1/data/ip'.
+WARNING: [IP_Flow 19-2162] IP 'clk_wiz_0' is locked:
+* IP definition 'Clocking Wizard (5.2)' for IP 'clk_wiz_0' (customized with software release 2015.3) has a newer major version in the IP Catalog.
+open_project: Time (s): cpu = 00:00:51 ; elapsed = 00:00:42 . Memory (MB): peak = 8431.262 ; gain = 812.305 ; free physical = 2157 ; free virtual = 14292
+open_hw_manager
+connect_hw_server -allow_non_jtag
+INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121
+INFO: [Labtools 27-2222] Launching hw_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+****** Xilinx hw_server v2024.1
+  **** Build date : May 22 2024 at 19:19:01
+    ** Copyright 1986-2022 Xilinx, Inc. All Rights Reserved.
+
+
+INFO: [Labtools 27-3415] Connecting to cs_server url TCP:localhost:0
+INFO: [Labtools 27-3417] Launching cs_server...
+INFO: [Labtools 27-2221] Launch Output:
+
+
+******** Xilinx cs_server v2024.1.0
+  ****** Build date   : Apr 27 2024-03:40:49
+    **** Build number : 2024.1.1714182049
+      ** Copyright 2017-2022 Xilinx, Inc. All Rights Reserved.
+      ** Copyright 2022-2025 Advanced Micro Devices, Inc. All Rights Reserved.
+
+
+
+connect_hw_server: Time (s): cpu = 00:00:03 ; elapsed = 00:00:06 . Memory (MB): peak = 8511.176 ; gain = 9.977 ; free physical = 1984 ; free virtual = 14168
+open_hw_target
+INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210276A79435B
+set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+current_hw_device [get_hw_devices xc7a200t_0]
+refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1435] Device xc7a200t (JTAG device index = 0) is not programmed (DONE status = 0).
+update_compile_order -fileset sources_1
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+INFO: [Labtools 27-3164] End of startup status: HIGH
+program_hw_devices: Time (s): cpu = 00:00:05 ; elapsed = 00:00:06 . Memory (MB): peak = 8515.164 ; gain = 0.000 ; free physical = 2004 ; free virtual = 14188
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
+set_property PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property FULL_PROBES.FILE {} [get_hw_devices xc7a200t_0]
+set_property PROGRAM.FILE {/homes/t24autul/Documents/SAR - Traitement audio/tp-filtre/tp-filtre-etudiant-t24autul/proj/AudioProc.runs/impl_1/audioProc.bit} [get_hw_devices xc7a200t_0]
+program_hw_devices [get_hw_devices xc7a200t_0]
+INFO: [Labtools 27-3164] End of startup status: HIGH
+program_hw_devices: Time (s): cpu = 00:00:06 ; elapsed = 00:00:06 . Memory (MB): peak = 8515.164 ; gain = 0.000 ; free physical = 1848 ; free virtual = 14026
+refresh_hw_device [lindex [get_hw_devices xc7a200t_0] 0]
+INFO: [Labtools 27-1434] Device xc7a200t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it.
+ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210276A79435B
+exit
+INFO: [Common 17-206] Exiting Vivado at Mon May 12 08:52:01 2025...